WorldWideScience

Sample records for halogen atom layers

  1. Attosecond Time Delay in Photoionization of Noble-Gas and Halogen Atoms

    Directory of Open Access Journals (Sweden)

    Liang-Wen Pi

    2018-02-01

    Full Text Available Ultrafast processes are now accessible on the attosecond time scale due to the availability of ultrashort XUV laser pulses. Noble-gas and halogen atoms remain important targets due to their giant dipole resonance and Cooper minimum. Here, we calculate photoionization cross section, asymmetry parameter and Wigner time delay using the time-dependent local-density approximation (TDLDA, which includes the electron correlation effects. Our results are consistent with experimental data and other theoretical calculations. The asymmetry parameter provides an extra layer of access to the phase information of the photoionization processes. We find that halogen atoms bear a strong resemblance on cross section, asymmetry parameter and time delay to their noble-gas neighbors. Our predicted time delay should provide a guidance for future experiments on those atoms and related molecules.

  2. Tuning the electronic structure of graphene through alkali metal and halogen atom intercalation

    Science.gov (United States)

    Ahmad, Sohail; Miró, Pere; Audiffred, Martha; Heine, Thomas

    2018-04-01

    The deposition, intercalation and co-intercalation of heavy alkali metals and light halogens atoms in graphene mono- and bilayers have been studied using first principles density-functional calculations. Both the deposition and the intercalation of alkali metals gives rise to n-type doping due to the formation of M+-C- pairs. The co-intercalation of a 1:1 ratio of alkali metals and halogens derives into the formation of ionic pairs among the intercalated species, unaltering the electronic structure of the layered material.

  3. Engaging the Terminal: Promoting Halogen Bonding Interactions with Uranyl Oxo Atoms.

    Science.gov (United States)

    Carter, Korey P; Kalaj, Mark; Surbella, Robert G; Ducati, Lucas C; Autschbach, Jochen; Cahill, Christopher L

    2017-11-02

    Engaging the nominally terminal oxo atoms of the linear uranyl (UO 2 2+ ) cation in non-covalent interactions represents both a significant challenge and opportunity within the field of actinide hybrid materials. An approach has been developed for promoting oxo atom participation in a range of non-covalent interactions, through judicious choice of electron donating equatorial ligands and appropriately polarizable halogen-donor atoms. As such, a family of uranyl hybrid materials was generated based on a combination of 2,5-dihalobenzoic acid and aromatic, chelating N-donor ligands. Delineation of criteria for oxo participation in halogen bonding interactions has been achieved by preparing materials containing 2,5-dichloro- (25diClBA) and 2,5-dibromobenzoic acid (25diBrBA) coupled with 2,2'-bipyridine (bipy) (1 and 2), 1,10-phenanthroline (phen) (3-5), 2,2':6',2''-terpyridine (terpy) (6-8), or 4'-chloro-2,2':6',2''-terpyridine (Cl-terpy) (9-10), which have been characterized through single crystal X-ray diffraction, Raman, Infrared (IR), and luminescence spectroscopy, as well as through density functional calculations of electrostatic potentials. Looking comprehensively, these results are compared with recently published analogues featuring 2,5-diiodobenzoic acid which indicate that although inclusion of a capping ligand in the uranyl first coordination sphere is important, it is the polarizability of the selected halogen atom that ultimately drives halogen bonding interactions with the uranyl oxo atoms. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. A box model study on photochemical interactions between VOCs and reactive halogen species in the marine boundary layer

    Directory of Open Access Journals (Sweden)

    K. Toyota

    2004-01-01

    Full Text Available A new chemical scheme is developed for the multiphase photochemical box model SEAMAC (size-SEgregated Aerosol model for Marine Air Chemistry to investigate photochemical interactions between volatile organic compounds (VOCs and reactive halogen species in the marine boundary layer (MBL. Based primarily on critically evaluated kinetic and photochemical rate parameters as well as a protocol for chemical mechanism development, the new scheme has achieved a near-explicit description of oxidative degradation of up to C3-hydrocarbons (CH4, C2H6, C3H8, C2H4, C3H6, and C2H2 initiated by reactions with OH radicals, Cl- and Br-atoms, and O3. Rate constants and product yields for reactions involving halogen species are taken from the literature where available, but the majority of them need to be estimated. In particular, addition reactions of halogen atoms with alkenes will result in forming halogenated organic intermediates, whose photochemical loss rates are carefully evaluated in the present work. Model calculations with the new chemical scheme reveal that the oceanic emissions of acetaldehyde (CH3CHO and alkenes (especially C3H6 are important factors for regulating reactive halogen chemistry in the MBL by promoting the conversion of Br atoms into HBr or more stable brominated intermediates in the organic form. The latter include brominated hydroperoxides, bromoacetaldehyde, and bromoacetone, which sequester bromine from a reactive inorganic pool. The total mixing ratio of brominated organic species thus produced is likely to reach 10-20% or more of that of inorganic gaseous bromine species over wide regions over the ocean. The reaction between Br atoms and C2H2 is shown to be unimportant for determining the degree of bromine activation in the remote MBL. These results imply that reactive halogen chemistry can mediate a link between the oceanic emissions of VOCs and the behaviors of compounds that are sensitive to halogen chemistry such as dimethyl

  5. Retention of Halogenated Solutes on Stationary Phases Containing Heavy Atoms

    Directory of Open Access Journals (Sweden)

    Toshio Miwa

    2013-05-01

    Full Text Available To examine the effects of weak intermolecular interactions on solid-phase extraction (SPE and chromatographic separation, we synthesized some novel stationary phases with a heavy atom effect layer by immobilizing halogenated aromatic rings and hydroxyl groups onto the surface of a hydrophilic base polymer. Using SPE cartridges packed with the functionalized materials, we found that the heavy atom stationary phases could selectively retain halophenols in organic solvents, such as 1-propanol which blocks the hydrogen bonding, or acetonitrile which blocks the p-p interaction. The extraction efficiency of the materials toward the halophenols depended on the dipole moments of phenoxy groups present as functional groups. On the other hand, the extraction efficiency of solutes toward the functional group depended on their molar refractions, i.e., induced dipole moments. The retention of the solutes to the stationary phase ultimately depended on not only strong intermolecular interactions, but also the effects of weak interactions such as the dispersion force.

  6. Halogen bond preferences of thiocyanate ligand coordinated to Ru(II) via sulphur atom

    Science.gov (United States)

    Ding, Xin; Tuikka, Matti; Hirva, Pipsa; Haukka, Matti

    2017-09-01

    Halogen bonding between [Ru(bpy)(CO)2(S-SCN)2] (bpy = 2,2'-bipyridine), I2 was studied by co-crystallising the metal compound and diiodine from dichloromethane. The only observed crystalline product was found to be [Ru(bpy)(CO)2(S-SCN)2]ṡI2 with only one NCSṡṡṡI2 halogen bond between I2 and the metal coordinated S atom of one of the thiocyanate ligand. The dangling nitrogen atoms were not involved in halogen bonding. However, computational analysis suggests that there are no major energetic differences between the NCSṡṡṡI2 and SCNṡṡṡI2 bonding modes. The reason for the observed NCSṡṡṡI2 mode lies most probably in the more favourable packing effects rather than energetic preferences between NCSṡṡṡI2 and SCNṡṡṡI2 contacts.

  7. Atomic forces between noble gas atoms, alkali ions, and halogen ions for surface interactions

    Science.gov (United States)

    Wilson, J. W.; Outlaw, R. A.; Heinbockel, J. H.

    1988-01-01

    The components of the physical forces between noble gas atoms, alkali ions, and halogen ions are analyzed and a data base developed from analysis of the two-body potential data, the alkali-halide molecular data, and the noble gas crystal and salt crystal data. A satisfactory global fit to this molecular and crystal data is then reproduced by the model to within several percent. Surface potentials are evaluated for noble gas atoms on noble gas surfaces and salt crystal surfaces with surface tension neglected. Within this context, the noble gas surface potentials on noble gas and salt crystals are considered to be accurate to within several percent.

  8. Negative atomic halogens incident on argon and molecular nitrogen: electron detachment studies

    International Nuclear Information System (INIS)

    Jalbert, G; Medina, A; Magalhaes, S D; Wolff, W; Barros, A L F de; Carrilho, P; Rocha, A B; Faria, N V de Castro

    2007-01-01

    During the last years we have measured total detachment cross sections of atomic and cluster anions colliding with gases in the velocity range of 0.2 to 1.8 a.u. In particular, we measured negative atomic halogens incident on argon and molecular nitrogen. These last data are for the first time analyzed using the simple semi-classical model that we have developed. For that purpose, the values of elastic plus inelastic cross sections for impact of free electrons on Ar and N 2 , the latter showing a shape resonance, convoluted with the anion's outermost electron momentum distribution yielded the overall shape of the anion cross sections. Inclusion of a velocity independent additive term, interpreted as an effective area of the collision region, led to accurate absolute cross section values. The high affinity of the halogens and the existence of a not well described resonance in the e-N 2 collision, are characteristics that may be used to delimit the scope and validity of the model

  9. Effects of halogens on interactions between a reduced TiO{sub 2} (110) surface and noble metal atoms: A DFT study

    Energy Technology Data Exchange (ETDEWEB)

    Tada, Kohei, E-mail: k-tada@aist.go.jp [Department of Chemistry, Graduate School of Science, Osaka University, 1-1, Machikaneyama, Toyonaka, Osaka, 560-0043 (Japan); Research Institute of Electrochemical Energy, National Institute of Advanced Industrial Science and Technology (AIST), 1-8-31, Midorigaoka, Ikeda, Osaka, 563-8577 (Japan); Koga, Hiroaki [Element Strategy Initiative for Catalysts and Batteries (ESICB), Kyoto University, 1-30 Goryo Ohara, Nishikyo, Kyoto, 615-8245 (Japan); Hayashi, Akihide; Kondo, Yudai; Kawakami, Takashi; Yamanaka, Shusuke [Department of Chemistry, Graduate School of Science, Osaka University, 1-1, Machikaneyama, Toyonaka, Osaka, 560-0043 (Japan); Okumura, Mitsutaka [Department of Chemistry, Graduate School of Science, Osaka University, 1-1, Machikaneyama, Toyonaka, Osaka, 560-0043 (Japan); Element Strategy Initiative for Catalysts and Batteries (ESICB), Kyoto University, 1-30 Goryo Ohara, Nishikyo, Kyoto, 615-8245 (Japan)

    2017-07-31

    Highlights: • We investigated the halogen effect on the interactions of noble metals with TiO{sub 2}. • Halogen atoms inhibit electron transfer from TiO{sub 2} to noble metals. • Iodine stabilizes the adsorption of noble metals especially for Ag and Cu. • Electron transfer from the TiO{sub 2} is effective in anchoring Au and Pt atoms. • Covalent interaction with the support is effective in anchoring Ag and Cu atoms. - Abstract: Using DFT calculation, we investigate the effects of halogens on the interactions between rutile TiO{sub 2} (110) and noble metal atoms (Au, Ag, Cu, Pt, and Pd). Fluorine, chlorine, and bromine atoms occupy the oxygen defect sites of TiO{sub 2}, decreasing the stability of noble metal atoms on the surface. This decrease occurs because the halogens inhibit electron transfer from TiO{sub 2} to the noble metal atoms; the electron transfer from reduced TiO{sub 2} to the noble metal atom stabilizes the noble metal atom adsorption. In contrast, iodine strengthens the interactions between TiO{sub 2} and some noble metal atoms, namely Ag and Cu. This stabilization occurs because of the covalent interaction between iodine-doped TiO{sub 2} and the noble metal atom. Therefore, the stabilization is explained well by chemical hardness. This result suggests that iodine-doping of a TiO{sub 2} surface would be an effective method for the preparation of highly stabilized noble metal clusters.

  10. Halogenated fatty acids

    DEFF Research Database (Denmark)

    Mu, Huiling; Sundin, Peter; Wesén, Clas

    1997-01-01

    Halogenated fatty acids are the major contributors to organohalogen compounds in lipids of marine mammals, fish, and bivalves. For the initial characterization of these recently noticed compounds, a determination of the halogen concentration has usually been combined with some lipid isolation......), atomic emission spectrometry, and mass spectrometry. For most environmental samples, chlorinated FAMEs must be enriched prior to GC. ELCD is a useful detection method for indicating halogenated FAMEs in the chromatograms, and tentative identification of the halogenated species can be obtained...

  11. Halogen bond: a long overlooked interaction.

    Science.gov (United States)

    Cavallo, Gabriella; Metrangolo, Pierangelo; Pilati, Tullio; Resnati, Giuseppe; Terraneo, Giancarlo

    2015-01-01

    Because of their high electronegativity, halogen atoms are typically considered, in most of their derivatives, as sites of high electron density and it is commonly accepted that they can form attractive interactions by functioning as the electron donor site (nucleophilic site). This is the case when they work as hydrogen bond acceptor sites. However, the electron density in covalently bound halogens is anisotropically distributed. There is a region of higher electron density, accounting for the ability of halogens to function as electron donor sites in attractive interactions, and a region of lower electron density where the electrostatic potential is frequently positive (mainly in the heavier halogens). This latter region is responsible for the ability of halogen atoms to function as the electron-acceptor site (electrophilic site) in attractive interactions formed with a variety of lone pair-possessing atoms, anions, and π-systems. This ability is quite general and is shown by a wide diversity of halogenated compounds (e.g., organohalogen derivatives and dihalogens). According to the definition proposed by the International Union of Pure and Applied Chemistry, any attractive interactions wherein the halogen atom is the electrophile is named halogen bond (XB). In this chapter, it is discussed how the practice and the concept of XB developed and a brief history of the interaction is presented. Papers (either from the primary or secondary literature) which have reported major experimental findings in the field or which have given important theoretical contributions for the development of the concept are recollected in order to trace how a unifying and comprehensive categorization emerged encompassing all interactions wherein halogen atoms function as the electrophilic site.

  12. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1979-February 14, 1980

    Energy Technology Data Exchange (ETDEWEB)

    Rack, E.P.

    1980-02-01

    The program consists of six interrelated areas: (1) Reactions of iodine with alkenes and alkynes activated by radiative neutron capture and isomeric transition in low pressure gaseous systems employing additives and rare gas moderators, high pressure, and liquid systems. Special attention was given to the reactivity of excited complex formation and structural effects of electrophilic iodine attack on various pi-bond systems. (2) The gas-to-condensed phase transition in halogen high energy chemistry. Current interest involves the study of caging effects of an ice lattice on recombination reactions involving neutron-irradiated frozen aqueous solutions of halogenated organic and biochemical solutes in order to learn more about kinetic energy effects, halogen size, solute molecule size, steric effects and hydrogen bonding within an ice lattice cage. (3) Systematics of halogen hot atom reactions. The reactions of /sup 80m/Br, /sup 80/Br, /sup 82m/Br + /sup 82/Br, /sup 82/Br, /sup 82/Br, /sup 128/I, /sup 130/I, and /sup 130m/I + /sup 130/I activated by radiative neutron capture or isomeric transition in hydrocarbons and halo-substituted alkanes in low pressure and high pressure gaseous systems employing additives and rare gas moderators are currently being studied. (4) Mathematical and computer simulation studies of caging events within an ice lattice are being investigated. (5) At Brookhaven National Laboratory, cyclotron-produced chlorine and fluorine hot atoms substitution reactions with molecules possessing a single chiral center are under investigation to determine the role of hot atom kinetic energy, halogen atom, enantioner structure, steric effects and phase on the extent of substitution by retention of configuration or by Walden inversion. (6) The applications of high energy techniques and concepts to neutron activation analysis for trace element determinations in biological systems was continued.

  13. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15-December 31, 1984

    International Nuclear Information System (INIS)

    1985-01-01

    Energetic halogen atoms or ions, activated by various nuclear transformations are studied in gas, high pressure and condensed phase saturated and unsaturated hydrocarbons, halomethanes, and liquid and solid aqueous solutions of biomolecular and organic solutes in order to understand better the mechanisms and dynamics of high energy monovalent species. The experimental program and its goals remain the same, consisting of four interrelated areas: (1) The stereochemistry of energetic 18 F, /sup 34m/Cl, and 38 Cl substitution reactions with chiral molecules in the gas and condensed phase is studied. (2) The gas to condensed state transition in halogen high energy chemistry, involving energetic chlorine, bromine, and iodine reactions in halomethanes, saturated and unsaturated hydrocarbons and aqueous solutions of biomolecules and alkyl halides is being investigated in more detail. Current attention is given to defining the nature of the enhancement yields in the condensed phase. Specifically, energetic halogen reactions in liquid and frozen aqueous solutions or organic and biomolecular solutes are studied. (3) Reactions of bromine and iodine activated by isomeric transition with halogenated biomolecular and organic solutes in liquid and frozen aqueous solutions are being studied in an attempt to learn more about the activation events in the condensed phase. (4) The applications of hot chemistry techniques and theory to neutron activation analysis of biological systems are being continued. Current attention is given to developing procedures for trace molecular determinations in biological systems. The applications of hot halogen atoms as site indicators in liquid and frozen aqueous solutions of halogenated bases and nucleosides are currently being developed. 14 references

  14. Self-assembly of iodine in superfluid helium droplets. Halogen bonds and nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunteng; Zhang, Jie; Lei, Lei; Kong, Wei [Department of Chemistry, Oregon State University, Corvallis, OR (United States)

    2017-03-20

    We present evidence of halogen bond in iodine clusters formed in superfluid helium droplets based on results from electron diffraction. Iodine crystals are known to form layered structures with intralayer halogen bonds, with interatomic distances shorter than the sum of the van der Waals radii of the two neighboring atoms. The diffraction profile of dimer dominated clusters embedded in helium droplets reveals an interatomic distance of 3.65 Aa, much closer to the value of 3.5 Aa in iodine crystals than to the van der Waals distance of 4.3 Aa. The profile from larger iodine clusters deviates from a single layer structure; instead, a bi-layer structure qualitatively fits the experimental data. This work highlights the possibility of small halogen bonded iodine clusters, albeit in a perhaps limited environment of superfluid helium droplets. The role of superfluid helium in guiding the trapped molecules into local potential minima awaits further investigation. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Theoretical investigation of the use of nanocages with an adsorbed halogen atom as anode materials in metal-ion batteries.

    Science.gov (United States)

    Razavi, Razieh; Abrishamifar, Seyyed Milad; Rajaei, Gholamreza Ebrahimzadeh; Kahkha, Mohammad Reza Rezaei; Najafi, Meysam

    2018-02-21

    The applicability of C 44 , B 22 N 22 , Ge 44 , and Al 22 P 22 nanocages, as well as variants of those nanocages with an adsorbed halogen atom, as high-performance anode materials in Li-ion, Na-ion, and K-ion batteries was investigated theoretically via density functional theory. The results obtained indicate that, among the nanocages with no adsorbed halogen atom, Al 22 P 22 would be the best candidate for a novel anode material for use in metal-ion batteries. Calculations also suggest that K-ion batteries which utilize these nanocages as anode materials would give better performance and would yield higher cell voltages than the corresponding Li-ion and Na-ion batteries with nanocage-based anodes. Also, the results for the nanocages with an adsorbed halogen atom imply that employing them as anode materials would lead to higher cell voltages and better metal-ion battery performance than if the nanocages with no adsorbed halogen atom were to be used as anode materials instead. Results further implied that nanocages with an adsorbed F atom would give higher cell voltages and better battery performance than nanocages with an adsorbed Cl or Br atom. We were ultimately able to conclude that a K-ion battery that utilized Al 21 P 22 with an adsorbed F atom as its anode material would afford the best metal-ion battery performance; we therefore propose this as a novel highly efficient metal-ion battery. Graphical abstract The results of a theoretical investigation indicated that Al 22 P 22 is a better candidate for a high-performance anode material in metal-ion batteries than Ge 44 is. Calculations also showed that K-ion batteries with nanocage-based anodes would produce higher cell voltages and perform better than the equivalent Li-ion and Na-ion batteries with nanocage-based anodes, and that anodes based on nanocages with an adsorbed F atom would perform better than anodes based on nanocages with an adsorbed Cl or Br atom.

  16. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  17. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1979-February 14, 1980

    International Nuclear Information System (INIS)

    Rack, E.P.

    1980-02-01

    The program consists of six interrelated areas: (1) Reactions of iodine with alkenes and alkynes activated by radiative neutron capture and isomeric transition in low pressure gaseous systems employing additives and rare gas moderators, high pressure, and liquid systems. Special attention was given to the reactivity of excited complex formation and structural effects of electrophilic iodine attack on various pi-bond systems. (2) The gas-to-condensed phase transition in halogen high energy chemistry. Current interest involves the study of caging effects of an ice lattice on recombination reactions involving neutron-irradiated frozen aqueous solutions of halogenated organic and biochemical solutes in order to learn more about kinetic energy effects, halogen size, solute molecule size, steric effects and hydrogen bonding within an ice lattice cage. (3) Systematics of halogen hot atom reactions. The reactions of /sup 80m/Br, 80 Br, /sup 82m/Br + 82 Br, 82 Br, 82 Br, 128 I, 130 I, and /sup 130m/I + 130 I activated by radiative neutron capture or isomeric transition in hydrocarbons and halo-substituted alkanes in low pressure and high pressure gaseous systems employing additives and rare gas moderators are currently being studied. (4) Mathematical and computer simulation studies of caging events within an ice lattice are being investigated. (5) At Brookhaven National Laboratory, cyclotron-produced chlorine and fluorine hot atoms substitution reactions with molecules possessing a single chiral center are under investigation. (6) The applications of high energy techniques and concepts to neutron activation analysis for trace elements and trace molecule determinations in biological systems was continued

  18. Analysis of the physical atomic forces between noble gas atoms, alkali ions and halogen ions

    Science.gov (United States)

    Wilson, J. W.; Heinbockel, J. H.; Outlaw, R. A.

    1986-01-01

    The physical forces between atoms and molecules are important in a number of processes of practical importance, including line broadening in radiative processes, gas and crystal properties, adhesion, and thin films. The components of the physical forces between noble gas atoms, alkali ions, and halogen ions are analyzed and a data base for the dispersion forces is developed from the literature based on evaluations with the harmonic oscillator dispersion model for higher order coefficients. The Zener model of the repulsive core is used in the context of the recent asymptotic wave functions of Handler and Smith; and an effective ionization potential within the Handler and Smith wave functions is defined to analyze the two body potential data of Waldman and Gordon, the alkali-halide molecular data, and the noble gas crystal and salt crystal data. A satisfactory global fit to this molecular and crystal data is then reproduced by the model to within several percent. Surface potentials are evaluated for noble gas atoms on noble gas and salt crystal surfaces with surface tension neglected. Within this context, the noble gas surface potentials on noble gas and salt crystals are considered to be accurate to within several percent.

  19. Reactive Halogens in the Marine Boundary Layer (RHaMBLe: the tropical North Atlantic experiments

    Directory of Open Access Journals (Sweden)

    J. D. Lee

    2010-02-01

    Full Text Available The NERC UK SOLAS-funded Reactive Halogens in the Marine Boundary Layer (RHaMBLe programme comprised three field experiments. This manuscript presents an overview of the measurements made within the two simultaneous remote experiments conducted in the tropical North Atlantic in May and June 2007. Measurements were made from two mobile and one ground-based platforms. The heavily instrumented cruise D319 on the RRS Discovery from Lisbon, Portugal to São Vicente, Cape Verde and back to Falmouth, UK was used to characterise the spatial distribution of boundary layer components likely to play a role in reactive halogen chemistry. Measurements onboard the ARSF Dornier aircraft were used to allow the observations to be interpreted in the context of their vertical distribution and to confirm the interpretation of atmospheric structure in the vicinity of the Cape Verde islands. Long-term ground-based measurements at the Cape Verde Atmospheric Observatory (CVAO on São Vicente were supplemented by long-term measurements of reactive halogen species and characterisation of additional trace gas and aerosol species during the intensive experimental period.

    This paper presents a summary of the measurements made within the RHaMBLe remote experiments and discusses them in their meteorological and chemical context as determined from these three platforms and from additional meteorological analyses. Air always arrived at the CVAO from the North East with a range of air mass origins (European, Atlantic and North American continental. Trace gases were present at stable and fairly low concentrations with the exception of a slight increase in some anthropogenic components in air of North American origin, though NOx mixing ratios during this period remained below 20 pptv (note the non-IUPAC adoption in this manuscript of pptv and ppbv, equivalent to pmol mol−1 and nmol mol−1 to reflect common practice. Consistency with

  20. Ozone variability and halogen oxidation within the Arctic and sub-Arctic springtime boundary layer

    Directory of Open Access Journals (Sweden)

    J. B. Gilman

    2010-11-01

    Full Text Available The influence of halogen oxidation on the variabilities of ozone (O3 and volatile organic compounds (VOCs within the Arctic and sub-Arctic atmospheric boundary layer was investigated using field measurements from multiple campaigns conducted in March and April 2008 as part of the POLARCAT project. For the ship-based measurements, a high degree of correlation (r = 0.98 for 544 data points collected north of 68° N was observed between the acetylene to benzene ratio, used as a marker for chlorine and bromine oxidation, and O3 signifying the vast influence of halogen oxidation throughout the ice-free regions of the North Atlantic. Concurrent airborne and ground-based measurements in the Alaskan Arctic substantiated this correlation and were used to demonstrate that halogen oxidation influenced O3 variability throughout the Arctic boundary layer during these springtime studies. Measurements aboard the R/V Knorr in the North Atlantic and Arctic Oceans provided a unique view of the transport of O3-poor air masses from the Arctic Basin to latitudes as far south as 52° N. FLEXPART, a Lagrangian transport model, was used to quantitatively determine the exposure of air masses encountered by the ship to first-year ice (FYI, multi-year ice (MYI, and total ICE (FYI+MYI. O3 anti-correlated with the modeled total ICE tracer (r = −0.86 indicating that up to 73% of the O3 variability measured in the Arctic marine boundary layer could be related to sea ice exposure.

  1. Dissociative Photoionization of 1-Halogenated Silacyclohexanes: Silicon Traps the Halogen.

    Science.gov (United States)

    Bodi, Andras; Sigurdardottir, Katrin Lilja; Kvaran, Ágúst; Bjornsson, Ragnar; Arnason, Ingvar

    2016-11-23

    The threshold photoelectron spectra and threshold photoionization mass spectra of 1-halogenated-1-silacyclohexanes, for the halogens X = F, Cl, Br, and I, have been obtained using synchrotron vacuum ultraviolet radiation and photoelectron photoion coincidence spectroscopy. As confirmed by a similar ionization onset and density functional theory molecular orbitals, the ionization to the ground state is dominated by electron removal from the silacyclohexane ring for X = F, Cl, and Br, and from the halogen lone pair for X = I. The breakdown diagrams show that the dissociative photoionization mechanism is also different for X = I. Whereas the parent ions decay by ethylene loss for X = F to Br in the low-energy regime, the iodine atom is lost for X = I. The first step is followed by a sequential ethylene loss at higher internal energies in each of the compounds. It is argued that the tendency of silicon to lower bond angles stabilizes the complex cation in which C 2 H 4 is η 2 -coordinated to it, and which precedes ethylene loss. Together with the relatively strong silicon-halogen bonds and the increased inductive effect of the silacyclohexane ring in stabilizing the cation, this explains the main differences observed in the fragmentation of the halogenated silacyclohexane and halogenated cyclohexane ions. The breakdown diagrams have been modeled taking into account slow dissociations at threshold and the resulting kinetic shift. The 0 K appearance energies have been obtained to within 0.08 eV for the ethylene loss for X = F to Br (10.56, 10.51, and 10.51 eV, respectively), the iodine atom loss for X = I (10.11 eV), the sequential ethylene loss for X = F to I (12.29, 12.01, 11.94, and 11.86 eV, respectively), and the minor channels of H loss for X = F (10.56 eV) and propylene loss in X = Cl (also at 10.56 eV). The appearance energies for the major channels likely correspond to the dissociative photoionization reaction energy.

  2. Halonium Ions as Halogen Bond Donors in the Solid State [XL2]Y Complexes.

    Science.gov (United States)

    Rissanen, Kari; Haukka, Matti

    2015-01-01

    The utilization of halogen bonding interactions is one of the most rapidly developing areas of supramolecular chemistry. While the other weak non-covalent interactions and their influence on the structure and chemistry of various molecules, complexes, and materials have been investigated extensively, the understanding, utilizations, and true nature of halogen bonding are still relatively unexplored. Thus its final impact in chemistry in general and in materials science has not yet been fully established. Because of the polarized nature of a Z-X bond (Z=electron-withdrawing atom or moiety and X=halogen atom), such a moiety can act as halogen bond donor when the halogen is polarized enough by the atom/moiety Z. The most studied and utilized halogen bond donor molecules are the perfluorohalocarbons, where Z is a perfluorinated aryl or alkyl moiety and X is either iodine or bromine. Complementing the contemporary halogen bonding research, this chapter reviews the solid state structural chemistry of the most extremely polarized halogen atoms, viz. halonium ions, X+, and discussed them as halogen bond donors in the solid state [XL2]Y complexes (X=halonium ion, Y=any anion).

  3. Layer-by-Layer Assembly of Halogen-Free Polymeric Materials on Nylon/Cotton Blend for Flame Retardant Applications

    Science.gov (United States)

    2015-07-01

    Respondents should be aware that notwithstanding any other provision of law , no person shall be subject to any penalty for failing to comply with a collection...BY-LAYER ASSEMBLY OF HALOGEN-FREE POLYMERIC MATERIALS ON NYLON/COTTON BLEND FOR FLAME RETARDANT APPLICATIONS 5a. CONTRACT NUMBER W911NF-11-D-0001...Tensile strength and dynamic mechanical analysis. Malaysian Polymer Journal 2009; 4(2):52–61. 29. Hardin IR, Hsieh Y. Thermal conditions and

  4. Enzymatic Halogenation and Dehalogenation Reactions: Pervasive and Mechanistically Diverse.

    Science.gov (United States)

    Agarwal, Vinayak; Miles, Zachary D; Winter, Jaclyn M; Eustáquio, Alessandra S; El Gamal, Abrahim A; Moore, Bradley S

    2017-04-26

    Naturally produced halogenated compounds are ubiquitous across all domains of life where they perform a multitude of biological functions and adopt a diversity of chemical structures. Accordingly, a diverse collection of enzyme catalysts to install and remove halogens from organic scaffolds has evolved in nature. Accounting for the different chemical properties of the four halogen atoms (fluorine, chlorine, bromine, and iodine) and the diversity and chemical reactivity of their organic substrates, enzymes performing biosynthetic and degradative halogenation chemistry utilize numerous mechanistic strategies involving oxidation, reduction, and substitution. Biosynthetic halogenation reactions range from simple aromatic substitutions to stereoselective C-H functionalizations on remote carbon centers and can initiate the formation of simple to complex ring structures. Dehalogenating enzymes, on the other hand, are best known for removing halogen atoms from man-made organohalogens, yet also function naturally, albeit rarely, in metabolic pathways. This review details the scope and mechanism of nature's halogenation and dehalogenation enzymatic strategies, highlights gaps in our understanding, and posits where new advances in the field might arise in the near future.

  5. Halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1975--February 14, 1976

    International Nuclear Information System (INIS)

    Rack, E.P.

    1976-02-01

    High energy reactions of halogen atoms or ions, activated by nuclear transformations, are being studied in gaseous, high pressure, and condensed phase saturated and unsaturated hydrocarbons, halomethanes, and other organic systems. Experimental and theoretical data are presented in the following areas: systematics of iodine hot atom reactions in halomethanes, reactions and systematics of iodine reactions with pentene and butene isomers, radiative neutron capture activated reactions of iodine with acetylene, gas to liquid to solid transition in hot atom chemistry, kinetic theory applications of hot atom reactions and the mathematical development of caging reactions, solvent dependence of the stereochemistry of the 38 Cl for Cl substitution following 37 Cl(n,γ) 38 Cl in liquid meso and dl-(CHFCl) 2 . A technique was also developed for the radioassay of Al in urine specimens

  6. Boiling points of halogenated ethanes: an explanatory model implicating weak intermolecular hydrogen-halogen bonding.

    Science.gov (United States)

    Beauchamp, Guy

    2008-10-23

    This study explores via structural clues the influence of weak intermolecular hydrogen-halogen bonds on the boiling point of halogenated ethanes. The plot of boiling points of 86 halogenated ethanes versus the molar refraction (linked to polarizability) reveals a series of straight lines, each corresponding to one of nine possible arrangements of hydrogen and halogen atoms on the two-carbon skeleton. A multiple linear regression model of the boiling points could be designed based on molar refraction and subgroup structure as independent variables (R(2) = 0.995, standard error of boiling point 4.2 degrees C). The model is discussed in view of the fact that molar refraction can account for approximately 83.0% of the observed variation in boiling point, while 16.5% could be ascribed to weak C-X...H-C intermolecular interactions. The difference in the observed boiling point of molecules having similar molar refraction values but differing in hydrogen-halogen intermolecular bonds can reach as much as 90 degrees C.

  7. ESR studies of Bunsen-type methane-air flames. II. The effects of the addition of halogenated compounds to the secondary air on the hydrogen atoms in the flame

    Energy Technology Data Exchange (ETDEWEB)

    Noda, S; Fujimoto, S; Claesson, O; Yoshida, H

    1983-09-01

    Hydrogen atoms in a methane-air Bunsen-type flame were detected by the flame-in-cavity ESR method. The addition of a halogenated compound to the secondary air reduced the H-atom concentration linearly with an increase in additive concentration. These 8 halogenated compounds examined showed increased effectiveness in scavenging H atoms in this order: hydrochloric acid < dichlorodifluoromethane < chloroform < methyl chloride < methylene chloride < trichlorofluoromethane < carbon tetrachlorie < methyl bromide. The chemical effects of these additives on the combustion reactions agree well with the inhibitor indices for these compounds. 14 references, 3 figures.

  8. Linking precious metal enrichment and halogen cycling in mafic magmatic systems: insights from the Rum layered intrusion, NW Scotland

    Science.gov (United States)

    Kelly, A. P.; O'Driscoll, B.; Clay, P. L.; Burgess, R.

    2017-12-01

    Layered intrusions host the world's largest known concentrations of the platinum-group elements (PGE). Emphasis has been attached to the role of halogen-bearing fluids in concentrating the precious metals, but whether this occurs at the magmatic stage, or via subsequent metasomatism, is actively debated. One obstacle to progress has been the analytical difficulty of measuring low abundances of the halogens in the cumulate products of layered intrusions. To elucidate the importance of the halogens in facilitating PGE-mineralisation, as well as fingerprint halogen provenance and assess the importance of halogen cycling in mafic magma systems more generally, a suite of samples encompassing different stages of activity of the Palaeogene Rum layered intrusion was investigated. Halogen abundances were measured by neutron irradiation noble gas mass spectrometric analysis, permitting the detection of relatively low (ppm-ppb) abundances of Cl, Br and I in mg-sized samples. The samples include PGE-enriched chromite seams, various cumulates (e.g., peridotites), picrites (approximating the Rum parental magma), and pegmatites representing volatile-rich melts that circulated the intrusion at a late-stage in its solidification history. The new data reveal that PGE-bearing chromite seams contain relatively low Cl concentrations (2-3 ppm), with high molar ratios of Br/Cl and I/Cl (0.005 and 0.009, respectively). The picrites and cumulates have Br/Cl and I/Cl ratios close to sub-continental lithospheric mantle values of approximately 0.0013 and 0.00002, respectively, and thus likely reflect the Rum magma source region. A positive correlation between Cl and Br signifies comparable partitioning behaviour in all samples. However, I is more variable, displaying a positive correlation with Cl for more primitive samples (e.g. picrite and peridotite), and seemingly decoupling from Br and Cl in chromite seams and pegmatites. The relative enrichment of I over Cl in the chromite seams points

  9. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1980-February 14, 1981

    International Nuclear Information System (INIS)

    1981-02-01

    The stereochemistry of high energy 18 F, /sup 34m/Cl, and 76 Br substitution reactions involving enantiomeric molecules in the gas and condensed phase is studied. The gas to condensed state transition in halogen high energy chemistry, involving chlorine, bromine, and iodine activated by the (n,γ) and (I.T.) processes in halomethanes, saturated and unsaturated hydrocarbons is being investigated in more detail. Special attention is given to defining the nature of the enhancement yields in the condensed phase. High energy halogen reactions in liquid and frozen aqueous solutions of organic and biomolecular solutes are studied in an attempt to learn more about these reactions. The applications of high energy chemistry techniques and theory to neutron activation analysis of biological systems are being continued. Special attention is given to developing procedures for trace molecular determinations in biological systems. The applications of hot halogen atoms as indicators of solute-solute interactions in liquid and frozen aqueous solutions of halogenated bases and nucleosides are being developed. Experiments are designed to explain the mechanisms of the radioprotection offered biomolecular solutes trapped within the frozen ice lattice. Reactions of bromine and iodine activated by isomeric transition with halogenated biomolecular solutes in liquid and frozen aqueous solutions are studied. The high energy reactions of iodine with the isomers of pentene have been studied in low pressure gaseous systems employing additives and rare gas moderators and liquid systems. Reactivity of excited complex formation and structural effects of electrophilic iodine attack on the pi-bond systems are studied

  10. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1980-February 14, 1981

    Energy Technology Data Exchange (ETDEWEB)

    1981-02-01

    The stereochemistry of high energy /sup 18/F, /sup 34m/Cl, and /sup 76/Br substitution reactions involving enantiomeric molecules in the gas and condensed phase is studied. The gas to condensed state transition in halogen high energy chemistry, involving chlorine, bromine, and iodine activated by the (n,..gamma..) and (I.T.) processes in halomethanes, saturated and unsaturated hydrocarbons is being investigated in more detail. Special attention is given to defining the nature of the enhancement yields in the condensed phase. High energy halogen reactions in liquid and frozen aqueous solutions of organic and biomolecular solutes are studied in an attempt to learn more about these reactions. The applications of high energy chemistry techniques and theory to neutron activation analysis of biological systems are being continued. Special attention is given to developing procedures for trace molecular determinations in biological systems. The applications of hot halogen atoms as indicators of solute-solute interactions in liquid and frozen aqueous solutions of halogenated bases and nucleosides are being developed. Experiments are designed to explain the mechanisms of the radioprotection offered biomolecular solutes trapped within the frozen ice lattice. Reactions of bromine and iodine activated by isomeric transition with halogenated biomolecular solutes in liquid and frozen aqueous solutions are studied. The high energy reactions of iodine with the isomers of pentene have been studied in low pressure gaseous systems employing additives and rare gas moderators and liquid systems. Reactivity of excited complex formation and structural effects of electrophilic iodine attack on the pi-bond systems are studied.

  11. The effect of halogen hetero-atoms on the vapor pressures and thermodynamics of polycyclic aromatic compounds measured via the Knudsen effusion technique

    International Nuclear Information System (INIS)

    Goldfarb, Jillian L.; Suuberg, Eric M.

    2008-01-01

    Knowledge of vapor pressures of high molar mass organics is essential to predicting their behavior in combustion systems as well as their fate and transport within the environment. This study involved polycyclic aromatic compounds (PACs) containing halogen hetero-atoms, including bromine and chlorine. The vapor pressures of eight PACs, ranging in molar mass from (212 to 336) g . mol -1 , were measured using the isothermal Knudsen effusion technique over the temperature range of (296 to 408) K. These compounds included those with few or no data available in the literature, namely: 1,4-dibromonaphthalene, 5-bromoacenaphthene, 9-bromoanthracene, 1,5-dibromoanthracene, 9,10-dibromoanthracene, 2-chloroanthracene, 9,10-dichloroanthracene, and 1-bromopyrene. Enthalpies of sublimation of these compounds were determined via application of the Clausius-Clapeyron equation. An analysis is presented on the effects of the addition of halogen hetero-atoms to pure polycyclic aromatic hydrocarbons using these data as well as available literature data. As expected, the addition of halogens onto these PACs increases their enthalpies of sublimation and decreases their vapor pressures as compared to the parent compounds

  12. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  13. Isostructurality and non-isostructurality in the series of halogenated organic crystal substances. The structure of Hal-aggregates

    International Nuclear Information System (INIS)

    Grineva, O.V.; Zorkij, P.M.

    2001-01-01

    Local characteristics and the type of intermolecular Hal-aggregates (ensembles of contacting halogen atoms of adjacent molecules) present in chemically similar halogenated crystal substances, differing only in the nature of Hal atoms, are compared. 23 series of halogenated hydrocarbons, including 57 crystal structures were considered. A clearly pronounced specificity of Hal-aggregates for compounds with a low and intermediate content of halogen was revealed. It was found that, as a rule, coordination number of Hal atom by Hal adjacent atoms increases in the series F-Cl-Br-I [ru

  14. High energy halogen atom reactions activated by nuclear transformations. Progress report, February 15, 1978--February 14, 1979

    International Nuclear Information System (INIS)

    Rack, E.P.

    1979-02-01

    High energy reactions of halogen atoms or ions, activated by nuclear transformations, were studied in gaseous, high pressure and condensed phase saturated and unsaturated hydrocarbons, halomethanes and other organic systems in order to better understand the mechanisms and dynamics of high energy monovalent species. The experimental and theoretical program consists of six interrelated areas: (1) the reactions of iodine with alkenes and alkynes activated by radiative neutron capture and isomeric transition in low pressure gaseous systems employing additives and rare gas moderators, high pressure and liquid systems; (2) the gas to condensed state transition in halogen high chemistry, involving bromine activated by the (n,γ) and (I.T.) processes in ethane was investigated in more detail; (3) systematics of halogen hot atom reactions. The reactions of 80 Br/sup m/, 80 Br, 82 Br/sup m/ + 82 Br, 82 Br, 128 I, 130 I, and 130 I/sup m/ + 130 I activated by radiative neutron capture or isomeric transition in hydrocarbons and halo-substituted alkanes in low pressure and high pressure gaseous systems employing additives and rare gas moderators; (4) kinetic theory applications of high energy reactions and mathematical development of caging mechanisms were developed; (5) the sterochemistry of 38 Cl substitution reactions involving diastereomeric 1,2-dichloro-1,2-difluorethane in liquid mixtures was completed, suggesting that the stereochemical course of the substitution process is controlled by the properties of the solvent molecules; and (6) the applications of high energy chemistry techniques and theory to neutron activation analysis of biological systems was continued, especially involving aluminum and vanadium trace determinations

  15. Halogenated salicylaldehyde azines: The heavy atom effect on aggregation-induced emission enhancement properties

    International Nuclear Information System (INIS)

    Chen, Xiao-tong; Tong, Ai-jun

    2014-01-01

    This study investigates the heavy-atom effect (HAE) on aggregation-induced emission enhancement (AIEE) properties of salicylaldehyde azines. For this purpose, a series of halogenated salicylaldehyde azine derivatives, namely, chloro-salicylaldehyde azine (1), bromo-salicylaldehyde azine (2) and iodo-salicylaldehyde azine (3) are synthesized. 1 and 2 display typical AIEE characteristics of salicylaldehyde azine compounds; whereas for the iodo-substituent in 3, is found to be effective “external” heavy atom quenchers to salicylaldehyde azine fluorescence in aggregated state. Based on its weak fluorescence in aggregated state and relative strong fluorescence in dispersed state, 3 can also be applied as a turn-on fluorescence probe for egg albumin detection attributed to hydrophobic interaction. -- Highlights: • This study investigates the heavy-atom effect (HAE) on aggregation-induced emission enhancement (AIEE) properties of salicylaldehyde azines. • Chloro- and bromo-salicylaldehyde display typical AIEE properties of salicylaldehyde azine, whereas the iodo-substitute quenches AIEE in aggregated state. • Iodo-salicylaldehyde can be applied as a turn-on fluorescence probe for egg albumin detection attributed to hydrophobic interaction

  16. Independent Evolution of Six Families of Halogenating Enzymes.

    Science.gov (United States)

    Xu, Gangming; Wang, Bin-Gui

    2016-01-01

    Halogenated natural products are widespread in the environment, and the halogen atoms are typically vital to their bioactivities. Thus far, six families of halogenating enzymes have been identified: cofactor-free haloperoxidases (HPO), vanadium-dependent haloperoxidases (V-HPO), heme iron-dependent haloperoxidases (HI-HPO), non-heme iron-dependent halogenases (NI-HG), flavin-dependent halogenases (F-HG), and S-adenosyl-L-methionine (SAM)-dependent halogenases (S-HG). However, these halogenating enzymes with similar biological functions but distinct structures might have evolved independently. Phylogenetic and structural analyses suggest that the HPO, V-HPO, HI-HPO, NI-HG, F-HG, and S-HG enzyme families may have evolutionary relationships to the α/β hydrolases, acid phosphatases, peroxidases, chemotaxis phosphatases, oxidoreductases, and SAM hydroxide adenosyltransferases, respectively. These halogenating enzymes have established sequence homology, structural conservation, and mechanistic features within each family. Understanding the distinct evolutionary history of these halogenating enzymes will provide further insights into the study of their catalytic mechanisms and halogenation specificity.

  17. Rational design of organic semiconductors for texture control and self-patterning on halogenated surfaces

    KAUST Repository

    Ward, Jeremy W.

    2014-05-15

    Understanding the interactions at interfaces between the materials constituting consecutive layers within organic thin-film transistors (OTFTs) is vital for optimizing charge injection and transport, tuning thin-film microstructure, and designing new materials. Here, the influence of the interactions at the interface between a halogenated organic semiconductor (OSC) thin film and a halogenated self-assembled monolayer on the formation of the crystalline texture directly affecting the performance of OTFTs is explored. By correlating the results from microbeam grazing incidence wide angle X-ray scattering (μGIWAXS) measurements of structure and texture with OTFT characteristics, two or more interaction paths between the terminating atoms of the semiconductor and the halogenated surface are found to be vital to templating a highly ordered morphology in the first layer. These interactions are effective when the separating distance is lower than 2.5 dw, where dw represents the van der Waals distance. The ability to modulate charge carrier transport by several orders of magnitude by promoting "edge-on" versus "face-on" molecular orientation and crystallographic textures in OSCs is demonstrated. It is found that the "edge-on" self-assembly of molecules forms uniform, (001) lamellar-textured crystallites which promote high charge carrier mobility, and that charge transport suffers as the fraction of the "face-on" oriented crystallites increases. The role of interfacial halogenation in mediating texture formation and the self-patterning of organic semiconductor films, as well as the resulting effects on charge transport in organic thin-film transistors, are explored. The presence of two or more anchoring sites between a halogenated semiconductor and a halogenated self-assembled monolayer, closer than about twice the corresponding van der Waals distance, alter the microstructure and improve electrical properties. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Metal-Mediated Halogen Exchange in Aryl and Vinyl Halides: a Review

    Science.gov (United States)

    Evano, Gwilherm; Nitelet, Antoine; Thilmany, Pierre; Dewez, Damien F.

    2018-04-01

    Halogenated arenes and alkenes are of prime importance in many areas of science, especially in the pharmaceutical, agrochemical and chemical industries. While the simplest ones are commercially available, some of them are still hardly accessible depending on their substitution patterns and the nature of the halogen atom. Reactions enabling the selective and efficient replacement of the halogen atom of an aryl or alkenyl halide by another one, lighter or heavier, are therefore of major importance since they can be used for example to turn a less reactive aryl/alkenyl chloride into the more reactive iodinated derivatives or, in a reversed sense, to block an undesired reactivity, for late-stage modifications or for the introduction of a radionuclide. If some halogen exchange reactions are possible with activated substrates, they usually require catalysis with metal complexes. Remarkably efficient processes have been developed for metal-mediated halogen exchange in aryl and vinyl halides: they are overviewed, in a comprehensive manner, in this review article.

  19. New Type of Halogen Bond: Multivalent Halogen Interacting with π- and σ-Electrons

    Directory of Open Access Journals (Sweden)

    Sławomir J. Grabowski

    2017-12-01

    Full Text Available MP2/aug-cc-pVTZ calculations were performed for complexes of BrF3 and BrF5 acting as Lewis acids through the bromine centre, with species playing a role of Lewis base: dihydrogen, acetylene, ethylene, and benzene. The molecular hydrogen donates electrons by its σ-bond, while in remaining moieties—in complexes of hydrocarbons; such an electron transfer follows from π-electrons. The complexes are linked by a kind of the halogen bond that is analyzed for the first time in this study, i.e., it is the link between the multivalent halogen and π or σ-electrons. The nature of such a halogen bond is discussed, as well as various dependencies and correlations are presented. Different approaches are applied here, the Quantum Theory of Atoms in Molecules, Natural Bond Orbital method, the decomposition of the energy of interaction, the analysis of electrostatic potentials, etc.

  20. Reactive Halogens in the Marine Boundary Layer (RHaMBLe): the tropical North Atlantic experiments

    OpenAIRE

    J. D. Lee; G. McFiggans; J. D. Allan; A. R. Baker; S. M. Ball; A. K. Benton; L. J. Carpenter; R. Commane; B. D. Finley; M. Evans; E. Fuentes; K. Furneaux; A. Goddard; N. Good; J. F. Hamilton

    2010-01-01

    The NERC UK SOLAS-funded Reactive Halogens in the Marine Boundary Layer (RHaMBLe) programme comprised three field experiments. This manuscript presents an overview of the measurements made within the two simultaneous remote experiments conducted in the tropical North Atlantic in May and June 2007. Measurements were made from two mobile and one ground-based platforms. The heavily instrumented cruise D319 on the RRS Discovery from Lisbon, Portugal to São Vicente, Cape Verde and back to Falmouth...

  1. The structures of endohedral complexes between C60 and alkali or halogen atoms, and the interactions between them - a theoretical approach

    International Nuclear Information System (INIS)

    Yan Jimin; Xu Zhijin

    1994-01-01

    In this paper, some properties of endohedral complexes formed between C 60 and alkali or halogen atoms, (Alk rateat C 60 ) (Alk = Li, Na, K, Rb, Cs) and (Hal rateat C 60 ) (Hal = F, Cl, Br, I), which include electronic structures, stabilities, potential energies at different positions of the endohedral atoms, cage radius changes and charge distributions, have been computed by the quantum-chemical EHMO/ASED method. The computational results show that the potential energies of the systems have minima when the endohedral atoms are put at the center of the C 60 cage for K, Rb, Cs and F, Cl, Br, I, but the minimum points of the potential energies are at r ∝ 1.6 A for Li and at r ∝ 1.3 A for Na deviated from the cage center. The curves of potential energies along five different directions vary only a little, that is, the potential field is basically sphero-symmetrical in the C 60 cage. It has been pointed out that the endohedral complex systems of C 60 with alkalis and halogens, (Alk rateat aC 60 ) and (Hal rateat C 60 ), can be separated into two subsystems quite well, in which the interaction between the endohedral atom and the C's of the C 60 cage can be described with the (exp-6-1) potential function. (orig.)

  2. Development of no halogen incombustible cables for atomic energy

    International Nuclear Information System (INIS)

    Ishii, Nobumasa; Kimura, Hitoshi; Fujimura, Shun-ichi

    1990-01-01

    In upgrading light water reactor technology, it is important to improve the reliability of machinery and equipment, to make regular inspection efficient, to extend the period of continuous operation, to optimize operation cycle and to improve the maintainability of plant facilities. For the cables for nuclear power stations, high incombustibility is required, and at present halogen system incombustible materials are used. Recently the development of no halogen incombustible cables has been advanced, with which the generation of corrosive gas and smoke at the time of fires is slight. In this study, the application of such no halogen incombustible cables to nuclear power stations and the improvement of reliability of the cables were investigated. The cables to be developed are those for electric power, control and instrumentation in BWR plants and insulated electric wires. The required characteristics are incombustibility, no generation of smoke and corrosive gas at the time of fires, radiation resistance and steam resistance in LOCA. The selection of base polymers, metal hydrates and radiation protectors, the evaluation of radiation resistance and steam resistance, the examination of the corrosive and poisonous properties of generated gas and smoke generation and so on are reported. The development was successful. (K.I.)

  3. The unique role of halogen substituents in the design of modern agrochemicals.

    Science.gov (United States)

    Jeschke, Peter

    2010-01-01

    The past 30 years have witnessed a period of significant expansion in the use of halogenated compounds in the field of agrochemical research and development. The introduction of halogens into active ingredients has become an important concept in the quest for a modern agrochemical with optimal efficacy, environmental safety, user friendliness and economic viability. Outstanding progress has been made, especially in synthetic methods for particular halogen-substituted key intermediates that were previously prohibitively expensive. Interestingly, there has been a rise in the number of commercial products containing 'mixed' halogens, e.g. one or more fluorine, chlorine, bromine or iodine atoms in addition to one or more further halogen atoms. Extrapolation of the current trend indicates that a definite growth is to be expected in fluorine-substituted agrochemicals throughout the twenty-first century. A number of these recently developed agrochemical candidates containing halogen substituents represent novel classes of chemical compounds with new modes of action. However, the complex structure-activity relationships associated with biologically active molecules mean that the introduction of halogens can lead to either an increase or a decrease in the efficacy of a compound, depending on its changed mode of action, physicochemical properties, target interaction or metabolic susceptibility and transformation. In spite of modern design concepts, it is still difficult to predict the sites in a molecule at which halogen substitution will result in optimal desired effects. This review describes comprehensively the successful utilisation of halogens and their unique role in the design of modern agrochemicals, exemplified by various commercial products from Bayer CropScience coming from different agrochemical areas.

  4. Bibliography of electron and photon cross sections with atoms and molecules published in the 20th century. Halogen molecules

    International Nuclear Information System (INIS)

    Hayashi, Makoto

    2003-12-01

    A bibliographies of original and review reports of experiments or theories of electron and photon cross sections and also electron swarm data are presented for atomic or molecular species with specified targets. These works covered 17 atoms and 51 molecules. The present bibliography is only for halogen molecules (F 2 , Cl 2 , Br 2 , I 2 ). About 190(F 2 ), 360(Cl 2 ), 140(Br 2 ) and 240(I 2 ) papers were compiled respectively. A comprehensive author indexes for each molecule are included. The bibliography covers the period 1901 through 2000 for F 2 -I 2 . Finally, author's comments for F 2 -I 2 electron collision cross sections are given. (author)

  5. Halogenation dictates the architecture of amyloid peptide nanostructures.

    Science.gov (United States)

    Pizzi, Andrea; Pigliacelli, Claudia; Gori, Alessandro; Nonappa; Ikkala, Olli; Demitri, Nicola; Terraneo, Giancarlo; Castelletto, Valeria; Hamley, Ian W; Baldelli Bombelli, Francesca; Metrangolo, Pierangelo

    2017-07-20

    Amyloid peptides yield a plethora of interesting nanostructures though difficult to control. Here we report that depending on the number, position, and nature of the halogen atoms introduced into either one or both phenylalanine benzene rings of the amyloid β peptide-derived core-sequence KLVFF, four different architectures were obtained in a controlled manner. Our findings demonstrate that halogenation may develop as a general strategy to engineer amyloidal peptide self-assembly and obtain new amyloidal nanostructures.

  6. Halogenation processes of secondary organic aerosol and implications on halogen release mechanisms

    Directory of Open Access Journals (Sweden)

    J. Ofner

    2012-07-01

    Full Text Available Reactive halogen species (RHS, such as X·, X2 and HOX containing X = chlorine and/or bromine, are released by various sources like photo-activated sea-salt aerosol or from salt pans, and salt lakes. Despite many studies of RHS reactions, the potential of RHS reacting with secondary organic aerosol (SOA and organic aerosol derived from biomass-burning (BBOA has been neglected. Such reactions can constitute sources of gaseous organohalogen compounds or halogenated organic matter in the tropospheric boundary layer and can influence physicochemical properties of atmospheric aerosols.

    Model SOA from α-pinene, catechol, and guaiacol was used to study heterogeneous interactions with RHS. Particles were exposed to molecular chlorine and bromine in an aerosol smog-chamber in the presence of UV/VIS irradiation and to RHS, released from simulated natural halogen sources like salt pans. Subsequently, the aerosol was characterized in detail using a variety of physicochemical and spectroscopic methods. Fundamental features were correlated with heterogeneous halogenation, which results in new functional groups (FTIR spectroscopy, changes UV/VIS absorption, chemical composition (ultrahigh resolution mass spectroscopy (ICR-FT/MS, or aerosol size distribution. However, the halogen release mechanisms were also found to be affected by the presence of organic aerosol. Those interaction processes, changing chemical and physical properties of the aerosol are likely to influence e.g. the ability of the aerosol to act as cloud condensation nuclei, its potential to adsorb other gases with low-volatility, or its contribution to radiative forcing and ultimately the Earth's radiation balance.

  7. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  8. Selective C-H Halogenation with a Highly Fluorinated Manganese Porphyrin.

    Science.gov (United States)

    Li, Gang; Dilger, Andrew K; Cheng, Peter T; Ewing, William R; Groves, John T

    2018-01-26

    The selective C-H functionalization of aliphatic molecules remains a challenge in organic synthesis. While radical chain halogenation reactions provide efficient access to many halogenated molecules, the use of typical protocols for the selective halogenation of electron-deficient and strained aliphatic molecules is rare. Herein, we report selective C-H chlorination and fluorination reactions promoted by an electron-deficient manganese pentafluorophenyl porphyrin catalyst, Mn(TPFPP)Cl. This catalyst displays superior properties for the aliphatic halogenation of recalcitrant, electron-deficient, and strained substrates with unique regio- and stereoselectivity. UV/Vis analysis during the course of the reaction indicated that an oxo-Mn V species is responsible for hydrogen-atom abstraction. The observed stereoselectivity results from steric interactions between the bulky porphyrin ligand and the intermediate substrate radical in the halogen rebound step. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. SYNTHESIS AND CHARACTERIZATION OF NEW HALOGENATED CURCUMINOIDS

    Directory of Open Access Journals (Sweden)

    Eugenio Torres

    2013-12-01

    Full Text Available In this work a novel procedure of synthesis of compounds analogues to curcumin with halogens atoms in its structure is described, which can increase its solubility and biological activity. Four halogenated curcuminoids were obtained with great pharmacological interest, none of them reported in literature before. Synthesis was carried out by means of the aldol condensation assisted by microwaves of halogenated aromatic aldehydes and acetylacetona, using morpholine as basic catalyst, in absence of solvent, and the reaction just needed 1 min. The products were purified by treatment of the reaction mixture with methanol under ultrasound irradiation, followed by chromatographic column. All obtained compounds were characterized by infrared spectroscopy, nuclear magnetic resonance, quantitative elementary analysis and high resolution mass spectrometry. The RMN-1H data demonstrate in all structures of synthesized curcuminoids the enol form is the most favored.

  10. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  11. Photofragmentation spectra of halogenated methanes in the VUV photon energy range

    Energy Technology Data Exchange (ETDEWEB)

    Cartoni, Antonella, E-mail: antonella.cartoni@uniroma1.it [Dipartimento di Chimica e Tecnologie del Farmaco, Sapienza Università di Roma, P.le Aldo Moro 5, Roma 00185 (Italy); Bolognesi, Paola; Fainelli, Ettore; Avaldi, Lorenzo [CNR-IMIP, Area della Ricerca di Roma 1, Monterotondo Scalo (Rm) 00015 (Italy)

    2014-05-14

    In this paper an investigation of the photofragmentation of dihalomethanes CH{sub 2}X{sub 2} (X = F, Cl, Br, I) and chlorinated methanes (CH{sub n}Cl{sub 4−n} with n = 0–3) with VUV helium, neon, and argon discharge lamps is reported and the role played by the different halogen atoms is discussed. Halogenated methanes are a class of molecules used in several fields of chemistry and the study of their physical and chemical proprieties is of fundamental interest. In particular their photodissociation and photoionization are of great importance since the decomposition of these compounds in the atmosphere strongly affects the environment. The results of the present work show that the halogen-loss is the predominant fragmentation channel for these molecules in the VUV photon energy range and confirm their role as reservoir of chlorine, bromine, and iodine atoms in the atmosphere. Moreover, the results highlight the peculiar feature of CH{sub 2}F{sub 2} as a source of both fluorine and hydrogen atoms and the characteristic formation of I{sub 2}{sup +} and CH{sub 2}{sup +} ions from the photofragmentation of the CH{sub 2}I{sub 2} molecule.

  12. Prediction of enthalpy and standard Gibbs energy of vaporization of haloaromatics from atomic properties.

    Science.gov (United States)

    Monte, M J S; Almeida, A R R P; Liebman, J F

    2015-11-01

    Halogenated benzenes form a class of pollutants with a huge number of members - 1504 distinct benzene compounds, where one or more hydrogen atoms are replaced by halogens, may exist theoretically. This study presents a user friendly method for accurate prediction of vapor pressures and enthalpies of vaporization, at 298.15 K, of any mono or poly halobenzene compound. The derived equations for the prediction of those vaporization properties depend just on the number of each constituent halogen atom. This is a consequence of the absence of intramolecular interactions between the halogen atoms, revealed after examining vaporization results of ca. 40 halogenated benzenes. In order to rationalize the estimation equations, the contribution of the halogen atoms for the referred to above properties of vaporization was decomposed into two atomic properties - the volume and electron affinity. Extension of the applicability of the estimation method to substituted benzenes containing other substituent groups beyond halogen atoms as well as to some polycyclic aromatic species was tested with success. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  14. Computational Investigation of the Influence of Halogen Atoms on the Photophysical Properties of Tetraphenylporphyrin and Its Zinc(II) Complexes.

    Science.gov (United States)

    De Simone, Bruna C; Mazzone, Gloria; Russo, Nino; Sicilia, Emilia; Toscano, Marirosa

    2018-03-15

    How the tetraphenylporphyrin (TPP) and its zinc(II) complexes (ZnTPP) photophysical properties (absorption energies, singlet-triplet energy gap and spin-orbit coupling contributions) can change due to the presence of an increasing number of heavy atoms in their molecular structures has been investigated by means of density functional theory and its time-dependent formulation. Results show that the increase of the atomic mass of the substituted halogen strongly enhances the spin-orbit coupling values, allowing a more efficient singlet-triplet intersystem crossing. Different deactivation channels have been considered and rationalized on the basis of El-Sayed and Kasha rules. Most of the studied compounds possess the appropriate properties to generate cytotoxic singlet molecular oxygen ( 1 Δ g ) and, consequently, they can be proposed as photosensitizers in photodynamic therapy.

  15. Spatial Atmospheric Pressure Atomic Layer Deposition of Tin Oxide as an Impermeable Electron Extraction Layer for Perovskite Solar Cells with Enhanced Thermal Stability.

    Science.gov (United States)

    Hoffmann, Lukas; Brinkmann, Kai O; Malerczyk, Jessica; Rogalla, Detlef; Becker, Tim; Theirich, Detlef; Shutsko, Ivan; Görrn, Patrick; Riedl, Thomas

    2018-02-14

    Despite the notable success of hybrid halide perovskite-based solar cells, their long-term stability is still a key-issue. Aside from optimizing the photoactive perovskite, the cell design states a powerful lever to improve stability under various stress conditions. Dedicated electrically conductive diffusion barriers inside the cell stack, that counteract the ingress of moisture and prevent the migration of corrosive halogen species, can substantially improve ambient and thermal stability. Although atomic layer deposition (ALD) is excellently suited to prepare such functional layers, ALD suffers from the requirement of vacuum and only allows for a very limited throughput. Here, we demonstrate for the first time spatial ALD-grown SnO x at atmospheric pressure as impermeable electron extraction layers for perovskite solar cells. We achieve optical transmittance and electrical conductivity similar to those in SnO x grown by conventional vacuum-based ALD. A low deposition temperature of 80 °C and a high substrate speed of 2.4 m min -1 yield SnO x layers with a low water vapor transmission rate of ∼10 -4 gm -2 day -1 (at 60 °C/60% RH). Thereby, in perovskite solar cells, dense hybrid Al:ZnO/SnO x electron extraction layers are created that are the key for stable cell characteristics beyond 1000 h in ambient air and over 3000 h at 60 °C. Most notably, our work of introducing spatial ALD at atmospheric pressure paves the way to the future roll-to-roll manufacturing of stable perovskite solar cells.

  16. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  17. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  18. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  19. Collisions of halogen (2P) and rare gas (1S) atoms

    International Nuclear Information System (INIS)

    Becker, C.H.

    1978-12-01

    Differential cross sections I (THETA) at several collision energies measured in crossed molecular beam experiments are reported for several combinations of halogen atoms ( 2 P) scattered off rare gas-rare gas atoms ( 1 S 0 ), namely, F + Ne, F + Ar, F + Kr, F + Xe, C1 + Xe. The scattering is described by an elastic model appropriate to Hund's case c coupling. With the use of this model, the X 1/2, I 3/2, and II 1/2 interaction potential energy curves are derived by fitting calculated differential cross sections, based on analytic representations of the potentials, to the data. The F - Xe X 1/2 potential shows a significant bonding qualitatively different than for the other F-rare gases. The I 3/2 and II 1/2 potentials closely resemble the van der Waals interactions of the one electron richer ground state rare gas-rare gas systems. Coupled-channel scattering calculations are carried out for F + Ar, F + Xe, and C1 + Xe using the realistic potential curves derived earlier. The results justify the use of the elastic model, and give additional information on intramultiplet and intermultiplet transitions. The transitions are found to be governed by the crossing of the two Ω = 1/2 potentials in the complex plane. The measured I (theta) and I (THETA) derived from the coupled-channel computations show small oscillations or perturbations (Stueckelberg oscillations) though quantitative agreement is not obtained.The nature of the anomalous F - Xe X 1/2 potential is discussed as is the approximation of a constant spin orbit coupling over the experimentally accessible range of internuclear distances for these open shell molecules. 55 references

  20. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  1. Energy distributions of atoms sputtered from alkali halides by 540 eV electrons, Ch.1

    International Nuclear Information System (INIS)

    Overeijnder, H.; Szymonski, M.; Haring, A.; Vries, A.E. de

    1978-01-01

    The emission of halogen and alkali atoms, occurring under bombardment of alkali halides with electrons has been investigated. The electron energy was 540 eV and the temperature of the target was varied between room temperature and 400 0 C. The energy distribution of the emitted neutral particles was measured with a time of flight method. It was found that either diffusing interstitial halogen atoms or moving holes dominate the sputtering process above 200 0 C. Below 150 0 C alkali halides with lattice parameters s/d >= 0.33 show emission of non-thermal halogen atoms. s is the interionic space between two halogen ions in a direction and d is the diameter of a halogen atom. In general the energy distribution of the alkali and halogen atoms is thermal above 200 0 C, but not Maxwellian. (Auth.)

  2. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  3. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  4. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  5. First-principles studies on the effects of halogen adsorption on monolayer antimony.

    Science.gov (United States)

    Yeoh, Keat Hoe; Yoon, Tiem Leong; Ong, Duu Sheng; Lim, Thong Leng; Zuntu Abdullahi, Yusuf

    2017-09-27

    Using first-principles calculations, we carry out systematic studies on the electronic, magnetic and structural properties of halogenated β-phase antimonene. We consider two different levels of halogen adatom coverage i.e. Θ = 1/8 and Θ = 1/18. It is found that F, Cl and Br adatoms act as acceptors whereas the I adatom acts as a donor. For a high coverage of Θ = 1/8, halogenated β-phase antimonene exhibits metallic characteristics. With a lower coverage of Θ = 1/18, through the adsorption of F, Cl and Br the semiconducting unstrained antimonene becomes metallic. In contrast, I-adsorbed antimonene remains semiconducting but exhibits magnetic behavior. We further investigate the effects of bi-axial strain on the halogenated β-phase antimonene. It is found that bi-axial strain can only induce ferromagnetism on the halogenated antimonene at Θ = 1/18. However, the ferromagnetism is suppressed when the applied strain is high. We uncover that the emergence of strain-dependent magnetism is attributed to the presence of localized states in the bandgap resulting from collective effects of bi-axial strain and the adsorption of halogen atoms.

  6. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  7. Allergic contact dermatitis due to highly reactive halogenated compounds

    Energy Technology Data Exchange (ETDEWEB)

    Pickering, F C; Ive, F A

    1983-11-01

    Ten cases of dermatitis in a fine organic chemicals plant are reported. These cases were all due to exposure to chemical compounds with reactive bromine or chlorine atoms. This type of chemical is always extremely irritant, but evidence is put forward to suggest that these cases were the result of allergic sensitization. Chemicals with reactive halogen atoms should always be handled with extreme care and patch testing should be approached with caution.

  8. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  9. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  10. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  11. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  12. Hot atom chemistry of monovalent atoms in organic condensed phases

    International Nuclear Information System (INIS)

    Stoecklin, G.

    1975-01-01

    The advantages and disadvantages of hot atom studies in condensed organic phases are considered, and recent advances in condensed phase organic hot atom chemistry of recoil tritium and halogen atoms are discussed. Details are presented of the present status and understanding of liquid phase hot atom chemistry and also that of organic solids. The consequences of the Auger effect in condensed organic systems are also considered. (author)

  13. Halogen poisoning effect of Pt-TiO{sub 2} for formaldehyde catalytic oxidation performance at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaofeng; Cheng, Bei [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Luoshi Road 122#, Wuhan 430070 (China); Yu, Jiaguo, E-mail: jiaguoyu@yahoo.com [State Key Laboratory of Advanced Technology for Material Synthesis and Processing, Wuhan University of Technology, Luoshi Road 122#, Wuhan 430070 (China); Department of Physics, Faculty of Science, King Abdulaziz University, Jeddah 21589 (Saudi Arabia); Ho, Wingkei, E-mail: keithho@ied.edu.hk [Department of Science and Environmental Studies and Centre for Education in Environmental Sustainability, The Hong Kong Institute of Education, Tai Po, N.T. Hong Kong (China)

    2016-02-28

    Graphical abstract: - Highlights: • The Pt-TiO{sub 2} catalyst is deactivated by adsorption of halogen ions. • The halogen poison is mainly attributed to the active site blocking of the Pt surface. • Halogen ions and Pt form Pt−X coordination bonds. • Large halogen diameter exhibits severe poisoning effect. - Abstract: Catalytic decomposition of formaldehyde (HCHO) at room temperature is an important method for HCHO removal. Pt-based catalysts are the optimal catalyst for HCHO decomposition at room temperature. However, the stability of this catalyst remains unexplored. In this study, Pt-TiO{sub 2} (Pt-P25) catalysts with and without adsorbed halogen ions (including F{sup −}, Cl{sup −}, Br{sup −}, and I{sup −}) were prepared through impregnation and ion modification. Pt-TiO{sub 2} samples with adsorbed halogen ions exhibited reduced catalytic activity for formaldehyde decomposition at room temperature compared with the Pt-TiO{sub 2} sample; the catalytic activity followed the order of F-Pt-P25, Cl-Pt-P25, Br-Pt-P25, and I-Pt-P25. Characterization results (including XRD, TEM, HRTEM, BET, XPS, and metal dispersion) showed that the adsorbed halogen ions can poison Pt nanoparticles (NPs), thereby reducing the HCHO oxidation activity of Pt-TiO{sub 2}. The poison mechanism is due to the strong adsorption of halogen ions on the surface of Pt NPs. The adsorbed ions form coordination bonds with surface Pt atoms by transferring surplus electrons into the unoccupied 5d orbit of the Pt atom, thereby inhibiting oxygen adsorption and activation of the Pt NP surface. Moreover, deactivation rate increases with increasing diameter of halogen ions. This study provides new insights into the fabrication of high-performance Pt-based catalysts for indoor air purification.

  14. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  15. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  16. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  17. Isomorphous Crystals from Diynes and Bromodiynes Involved in Hydrogen and Halogen Bonds

    Directory of Open Access Journals (Sweden)

    Pierre Baillargeon

    2016-04-01

    Full Text Available Isomorphous crystals of two diacetylene derivatives with carbamate functionality (BocNH-CH2-diyne-X, where X = H or Br have been obtained. The main feature of these structures is the original 2D arrangement (as supramolecular sheets or walls in which the H bond and halogen bond have a prominent effect on the whole architecture. The two diacetylene compounds harbor neighboring carbamate (Boc protected amine and conjugated alkyne functionalities. They differ only by the nature of the atom located at the penultimate position of the diyne moiety, either a hydrogen atom or a bromine atom. Both of them adopt very similar 2D wall organizations with antiparallel carbamates (as in antiparallel beta pleated sheets. Additional weak interactions inside the same walls between molecular bricks are H bond interactions (diyne-H···O=C or halogen bond interactions (diyne-Br···O=C, respectively. Based on crystallographic atom coordinates, DFT (B3LYP/6-31++G(d,p and DFT (M06-2X/6-31++G(d,p calculations were performed on these isostructural crystals to gain insight into the intermolecular interactions.

  18. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  19. Reconciliation of Halogen-Induced Ozone Loss with the Total-Column Ozone Record

    Science.gov (United States)

    Shepherd, T. G.; Plummer, D. A.; Scinocca, J. F.; Hegglin, M. I.; Fioletov, V. E.; Reader, M. C.; Remsberg, E.; von Clarmann, T.; Wang, H. J.

    2014-01-01

    The observed depletion of the ozone layer from the 1980s onwards is attributed to halogen source gases emitted by human activities. However, the precision of this attribution is complicated by year-to-year variations in meteorology, that is, dynamical variability, and by changes in tropospheric ozone concentrations. As such, key aspects of the total-column ozone record, which combines changes in both tropospheric and stratospheric ozone, remain unexplained, such as the apparent absence of a decline in total-column ozone levels before 1980, and of any long-term decline in total-column ozone levels in the tropics. Here we use a chemistry-climate model to estimate changes in halogen-induced ozone loss between 1960 and 2010; the model is constrained by observed meteorology to remove the eects of dynamical variability, and driven by emissions of tropospheric ozone precursors to separate out changes in tropospheric ozone. We show that halogen-induced ozone loss closely followed stratospheric halogen loading over the studied period. Pronounced enhancements in ozone loss were apparent in both hemispheres following the volcanic eruptions of El Chichon and, in particular, Mount Pinatubo, which significantly enhanced stratospheric aerosol loads. We further show that approximately 40% of the long-term non-volcanic ozone loss occurred before 1980, and that long-term ozone loss also occurred in the tropical stratosphere. Finally, we show that halogeninduced ozone loss has declined by over 10% since stratospheric halogen loading peaked in the late 1990s, indicating that the recovery of the ozone layer is well underway.

  20. Tropospheric Halogen Chemistry

    Science.gov (United States)

    von Glasow, R.; Crutzen, P. J.

    2003-12-01

    compilations of laboratory studies that were made to elucidate chemical reaction paths are given by, e.g., DeMore et al. (1997), Sander et al. (2000), and Atkinson et al. (1999, 2000). Emission inventories for chlorine were compiled by Graedel and Keene (1995) and Keene et al. (1999).In Section 4.02.2 of this overview we will first describe the main halogen reaction mechanisms and then discuss, in Section 4.02.3, the springtime surface ozone depletion events in high latitudes that were first observed in the Arctic. Another main part of this chapter is concerned with halogens in the marine boundary layer ( Section 4.02.4). In Section 4.02.5 we describe interactions of halogens with some other elements of atmospheric importance. A very recently discovered environment where halogen chemistry plays a large role are salt lakes ( Section 4.02.6). There the chemistry bears similarity to that of the high-latitude ozone depletion events. This is followed in Section 4.02.7 by a discussion of halogen chemistry in the free troposphere and in Section 4.02.8 by other sources of halogens such as industry and biomass burning.

  1. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  2. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  3. The anomalous halogen bonding interactions between chlorine and bromine with water in clathrate hydrates.

    Science.gov (United States)

    Dureckova, Hana; Woo, Tom K; Udachin, Konstantin A; Ripmeester, John A; Alavi, Saman

    2017-10-13

    Clathrate hydrate phases of Cl 2 and Br 2 guest molecules have been known for about 200 years. The crystal structure of these phases was recently re-determined with high accuracy by single crystal X-ray diffraction. In these structures, the water oxygen-halogen atom distances are determined to be shorter than the sum of the van der Waals radii, which indicates the action of some type of non-covalent interaction between the dihalogens and water molecules. Given that in the hydrate phases both lone pairs of each water oxygen atom are engaged in hydrogen bonding with other water molecules of the lattice, the nature of the oxygen-halogen interactions may not be the standard halogen bonds characterized recently in the solid state materials and enzyme-substrate compounds. The nature of the halogen-water interactions for the Cl 2 and Br 2 molecules in two isolated clathrate hydrate cages has recently been studied with ab initio calculations and Natural Bond Order analysis (Ochoa-Resendiz et al. J. Chem. Phys. 2016, 145, 161104). Here we present the results of ab initio calculations and natural localized molecular orbital analysis for Cl 2 and Br 2 guests in all cage types observed in the cubic structure I and tetragonal structure I clathrate hydrates to characterize the orbital interactions between the dihalogen guests and water. Calculations with isolated cages and cages with one shell of coordinating molecules are considered. The computational analysis is used to understand the nature of the halogen bonding in these materials and to interpret the guest positions in the hydrate cages obtained from the X-ray crystal structures.

  4. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Recoil halogen reactions in liquid and frozen aqueous solutions of biomolecules

    International Nuclear Information System (INIS)

    Arsenault, L.J.; Blotcky, A.J.; Firouzbakht, M.L.; Rack, E.P.; Nebraska Univ., Omaha

    1982-01-01

    Reactions of recoil 38 Cl, 80 Br and 128 I have been studied in crystalline systems of 5-halouracil, 5-halo-2'-deoxyuridine and 5-halouridine as well as liquid and frozen aqueous solutions of these halogenated biomolecules. In all systems expect crystalline 5-iuodouracil the major product was the radio-labelled halide ion. There was no evidence for other halogen inorganic species. The major labelled organic product was the parent molecule. A recoil atom tracer technique was developed to acquire site information of the biomolecule solutes in the liquid and frozen aqueous systems. For all liquid and frozen aqueous systems, the halogenated biomolecules tended to aggregate. For liquid systems, the tendency for aggregation diminished as the solute concentration approached zero, where the probable state of the solute approached a monomolecular dispersion. Unlike the liquid state, the frozen ice lattice demonstated a ''caging effect'' for the solute aggregates which resulted in constant product yields over the whole concentration range. (orig.)

  7. Quadrupole coupling constants and isomeric Moessbauer shifts for halogen-containing gold, platinum, niobium, tantalum and antimony compounds

    International Nuclear Information System (INIS)

    Poleshchuk, O. K.; Branchadell, V.; Ritter, R. A.; Fateev, A. V.

    2008-01-01

    We have analyzed by means of Density functional theory calculations the nuclear quadrupole coupling constants of a range of gold, antimony, platinum, niobium and tantalum compounds. The geometrical parameters and halogen nuclear quadrupole coupling constants obtained by these calculations substantially corresponded to the data of microwave and nuclear quadrupole resonance spectroscopy. An analysis of the quality of the calculations that employ pseudo-potentials and all-electron basis sets for the halogen compounds was carried out. The zero order regular approximation (ZORA) method is shown to be a viable alternative for the calculation of halogen coupling constants in molecules. In addition, the ZORA model, in contrast to the pseudo-potential model, leads to realistic values of all metal nuclear quadrupole coupling constants. From Klopman's approach, it follows that the relationship between the electrostatic bonding and covalent depends on the nature of the central atom. The results on Moessbauer chemical shifts are also in a good agreement with the coordination number of the central atom.

  8. Quadrupole coupling constants and isomeric Moessbauer shifts for halogen-containing gold, platinum, niobium, tantalum and antimony compounds

    Energy Technology Data Exchange (ETDEWEB)

    Poleshchuk, O. K., E-mail: poleshch@tspu.edu.ru [Tomsk State Pedagogical University (Russian Federation); Branchadell, V. [Universitat Autonoma de Barcelona, Departament de Quimica (Spain); Ritter, R. A.; Fateev, A. V. [Tomsk State Pedagogical University (Russian Federation)

    2008-01-15

    We have analyzed by means of Density functional theory calculations the nuclear quadrupole coupling constants of a range of gold, antimony, platinum, niobium and tantalum compounds. The geometrical parameters and halogen nuclear quadrupole coupling constants obtained by these calculations substantially corresponded to the data of microwave and nuclear quadrupole resonance spectroscopy. An analysis of the quality of the calculations that employ pseudo-potentials and all-electron basis sets for the halogen compounds was carried out. The zero order regular approximation (ZORA) method is shown to be a viable alternative for the calculation of halogen coupling constants in molecules. In addition, the ZORA model, in contrast to the pseudo-potential model, leads to realistic values of all metal nuclear quadrupole coupling constants. From Klopman's approach, it follows that the relationship between the electrostatic bonding and covalent depends on the nature of the central atom. The results on Moessbauer chemical shifts are also in a good agreement with the coordination number of the central atom.

  9. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  10. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  11. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  12. Ab Initio Calculations on Halogen Bond Between N-Br and Electron-donating Groups

    Institute of Scientific and Technical Information of China (English)

    WANG Yan-hua; CHEN Xue-song; ZOU Jian-wei; YU Qing-sen

    2007-01-01

    Ab initio calculations of complexes formed between N-bromosuccinimide and a series of electron-donating groups were performed at the level of MP2/Lanl2DZ* to gain a deeper insight into the nature of the N-Br halogen stronger halogen-bonding complex than the C-Br. A comparison of neutral hydrogen bond complex series reveals that the electron-donating capacities of the atoms decrease in the order, N>O>S; O(sp3)>O(sp2), which is adequate for the C-Br halogen bonding. Interaction energies, in conjunction with the geometrical parameters show that the affinitive capacity of trihalide anions X-3 with N-bromosuccinimide are markedly lower than that of the corresponding X- with N-bromosuccinimide, even lower than those of neutral molecules with N-bromosuccinimide. AIM analyses further confirmed the above results.

  13. Apparatus for washing out halogens

    Energy Technology Data Exchange (ETDEWEB)

    Pier, M; Hahn, J; Kroenig, W

    1941-03-26

    An apparatus is described for washing out of halogens and the like or liquid halogen compounds from the products, which are formed on pressure hydrogenation or splitting of carbon-containing material in the presence of halogens or halogen compounds, consisting of a washing apparatus installed between the reaction vessel and the hot separator, which is inclined in relatively small space for steam regulation and contains, with the steam, arranged baffles, especially spirals.

  14. Is halogen content the most important factor in the removal of halogenated trace organics by MBR treatment?

    Science.gov (United States)

    Hai, Faisal I; Tadkaew, Nichanan; McDonald, James A; Khan, Stuart J; Nghiem, Long D

    2011-05-01

    This study investigated the relationship between physicochemical properties (namely halogen content and hydrophobicity) of halogenated trace organics and their removal efficiencies by a laboratory scale membrane bioreactor (MBR) under stable operating conditions. The reported results demonstrated a combined effect of halogen content and hydrophobicity on the removal. Compounds with high halogen content (>0.3) were well removed (>85%) when they possessed high hydrophobicity (Log D>3.2), while those with lower Log D values were also well removed if they had low halogen content (BIOWIN index (which is based on only biodegradation) or a more specific index such as the halogen content (which captures a chemical aspect) appeared insufficient to predict the removal efficiency of halogenated compounds in MBR. Experimental data confirmed that the ratio of halogen content and Log D, which incorporates two important physico-chemical properties, is comparatively more suitable. Copyright © 2011 Elsevier Ltd. All rights reserved.

  15. Probing the Influence of the Conjugated Structure and Halogen Atoms of Poly-Iron-Phthalocyanine on the Oxygen Reduction Reaction by X-ray Absorption Spectroscopy and Density Functional Theory

    International Nuclear Information System (INIS)

    Peng, Yingxiang; Cui, Lufang; Yang, Shifeng; Fu, Jingjing; Zheng, Lirong; Liao, Yi; Li, Kai; Zuo, Xia; Xia, Dingguo

    2015-01-01

    Metal-phthalocyanine (MPc) macrocyclic catalysts have been perceived as promising alternatives to Pt and Pt-based catalysts for the oxygen reduction reaction (ORR). However, the effect of different MPc molecular structures on the ORR has rarely been reported in depth. Herein, iron-phthalocyanine polymers (poly-FePcs) and multi-walled carbon nanotubes (MWCNTs) composites with different structures were synthesized using microwave method. The relationship between their molecular structure and electrocatalytic activity was fully revealed by density functional theory (DFT) and X-ray fine absorption spectroscopy (XAFS). DFT calculations revealed that the introduction of halogen atoms can increase the ion potential (IP) and the dioxo-binding energy () of the poly-FePcs. Meanwhile, their conjugated structure not only facilitates electronic transmission, but also significantly increases . XAFS analysis indicated that the poly-FePc/MWCNTs composites had a square planar structure and a smaller of phthalocyanine ring (Fe-N 4 structure) skeleton structure radius when a larger conjugated structure or introduced halogen atoms was present. The experimental results suggest that the these changes in properties arising from the different structures of the MPc macrocyclic compounds led to a huge effect on their ORR electrochemical activities, and provide a guide to obtaining promising electrochemical catalysts

  16. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  17. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  18. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  19. Pyrimidine and halogenated pyrimidines near edge x-ray absorption fine structure spectra at C and N K-edges: experiment and theory

    International Nuclear Information System (INIS)

    Bolognesi, P.; O'Keeffe, P.; Ovcharenko, Y.; Coreno, M.; Avaldi, L.; Feyer, V.; Plekan, O.; Prince, K. C.; Zhang, W.; Carravetta, V.

    2010-01-01

    The inner shell excitation of pyrimidine and some halogenated pyrimidines near the C and N K-edges has been investigated experimentally by near edge x-ray absorption fine structure spectroscopy and theoretically by density functional theory calculations. The selected targets, 5-Br-pyrimidine, 2-Br-pyrimidine, 2-Cl-pyrimidine, and 5-Br-2-Cl-pyrimidine, allow the effects of the functionalization of the pyrimidine ring to be studied either as a function of different halogen atoms bound to the same molecular site or as a function of the same halogen atom bound to different molecular sites. The results show that the individual characteristics of the different spectra of the substituted pyrimidines can be rationalized in terms of variations in electronic and geometrical structures of the molecule depending on the localization and the electronegativity of the substituent.

  20. Halogenated arsenenes as Dirac materials

    International Nuclear Information System (INIS)

    Tang, Wencheng; Sun, Minglei; Ren, Qingqiang; Wang, Sake; Yu, Jin

    2016-01-01

    Highlights: • We have revealed the presence of Dirac cone in fully-halogenated arsenene compounds. • All fully-halogenated arsenene except As_2I_2 would spontaneously form and stable in defending the thermal fluctuation in room temperature. - Abstract: Arsenene is the graphene-like arsenic nanosheet, which has been predicted very recently [S. Zhang, Z. Yan, Y. Li, Z. Chen, and H. Zeng, Angewandte Chemie, 127 (2015) 3155–3158]. Using first-principles calculations, we systematically investigate the structures and electronic properties of fully-halogenated arsenenes. Formation energy analysis reveals that all the fully-halogenated arsenenes except iodinated arsenene are energetically favorable and could be synthesized. We have revealed the presence of Dirac cone in fully-halogenated arsenene compounds. They may have great potential applications in next generation of high-performance devices.

  1. Computational insights into the photocyclization of diclofenac in solution: effects of halogen and hydrogen bonding.

    Science.gov (United States)

    Bani-Yaseen, Abdulilah Dawoud

    2016-08-21

    The effects of noncovalent interactions, namely halogen and hydrogen bonding, on the photochemical conversion of the photosensitizing drug diclofenac (DCF) in solution were investigated computationally. Both explicit and implicit solvent effects were qualitatively and quantitatively assessed employing the DFT/6-31+G(d) and SQM(PM7) levels of theory. Full geometry optimizations were performed in solution for the reactant DCF, hypothesized radical-based intermediates, and the main product at both levels of theories. Notably, in good agreement with previous experimental results concerning the intermolecular halogen bonding of DCF, the SQM(PM7) method revealed different values for d(ClO, Å) and ∠(C-ClO, °) for the two chlorine-substituents of DCF, with values of 2.63 Å/162° and 3.13 Å/142° for the trans and cis orientations, respectively. Employing the DFT/6-31+G(d) method with implicit solvent effects was not conclusive; however, explicit solvent effects confirmed the key contribution of hydrogen and halogen bonding in stabilizing/destabilizing the reactant and hypothesized intermediates. Interestingly, the obtained results revealed that a protic solvent such as water can increase the rate of photocyclization of DCF not only through hydrogen bonding effects, but also through halogen bonding. Furthermore, the atomic charges of atoms majorly involved in the photocyclization of DCF were calculated using different methods, namely Mulliken, Hirshfeld, and natural bond orbital (NBO). The obtained results revealed that in all cases there is a notable nonequivalency in the noncovalent intermolecular interactions of the two chlorine substituents of DCF and the radical intermediates with the solvent, which in turn may account for the discrepancy of their reactivity in different media. These computational results provide insight into the importance of halogen and hydrogen bonding throughout the progression of the photochemical conversion of DCF in solution.

  2. Halogen Bonding from Dispersion-Corrected Density-Functional Theory: The Role of Delocalization Error.

    Science.gov (United States)

    Otero-de-la-Roza, A; Johnson, Erin R; DiLabio, Gino A

    2014-12-09

    Halogen bonds are formed when a Lewis base interacts with a halogen atom in a different molecule, which acts as an electron acceptor. Due to its charge transfer component, halogen bonding is difficult to model using many common density-functional approximations because they spuriously overstabilize halogen-bonded dimers. It has been suggested that dispersion-corrected density functionals are inadequate to describe halogen bonding. In this work, we show that the exchange-hole dipole moment (XDM) dispersion correction coupled with functionals that minimize delocalization error (for instance, BH&HLYP, but also other half-and-half functionals) accurately model halogen-bonded interactions, with average errors similar to other noncovalent dimers with less charge-transfer effects. The performance of XDM is evaluated for three previously proposed benchmarks (XB18 and XB51 by Kozuch and Martin, and the set proposed by Bauzá et al.) spanning a range of binding energies up to ∼50 kcal/mol. The good performance of BH&HLYP-XDM is comparable to M06-2X, and extends to the "extreme" cases in the Bauzá set. This set contains anionic electron donors where charge transfer occurs even at infinite separation, as well as other charge transfer dimers belonging to the pnictogen and chalcogen bonding classes. We also show that functional delocalization error results in an overly delocalized electron density and exact-exchange hole. We propose intermolecular Bader delocalization indices as an indicator of both the donor-acceptor character of an intermolecular interaction and the delocalization error coming from the underlying functional.

  3. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  4. Are superhalogens without halogen ligand capable of transcending traditional halogen-based superhalogens? Ab initio case study of binuclear anions based on pseudohalogen ligand

    Science.gov (United States)

    Li, Jin-Feng; Sun, Yin-Yin; Bai, Hongcun; Li, Miao-Miao; Li, Jian-Li; Yin, Bing

    2015-06-01

    The superhalogen properties of polynuclear structures without halogen ligand are theoretically explored here for several [M2(CN)5]-1 (M = Ca, Be) clusters. At CCSD(T) level, these clusters have been confirmed to be superhalogens due to their high vertical electron detachment energies (VDE). The largest one is 9.70 eV for [Ca2(CN)5]-1 which is even higher than those of corresponding traditional structures based on fluorine or chlorine ligands. Therefore the superhalogens stronger than the traditional halogen-based structures could be realized by ligands other than halogen atoms. Compared with CCSD(T), outer valence Green's function (OVGF) method either overestimates or underestimates the VDEs for different structures while MP2 results are generally consistent in the aspect of relative values. The extra electrons of the highest VDE anions here aggregate on the bridging CN units with non-negligible distribution occurring on other CN units too. These two features lower both the potential and kinetic energies of the extra electron respectively and thus lead to high VDE. Besides superhalogen properties, the structures, relative stabilities and thermodynamic stabilities with respect to the detachment of cyanide ligand were also investigated. The sum of these results identifies the potential of polynuclear structures with pseudohalogen ligand as suitable candidates with enhanced superhalogens properties.

  5. GC-MS Study of Mono- and Bishaloethylphosphonates Related to Schedule 2.B.04 of the Chemical Weapons Convention: The Discovery of a New Intramolecular Halogen Transfer

    Science.gov (United States)

    Picazas-Márquez, Nerea; Sierra, María; Nova, Clara; Moreno, Juan Manuel; Aboitiz, Nuria; de Rivas, Gema; Sierra, Miguel A.; Martínez-Álvarez, Roberto; Gómez-Caballero, Esther

    2016-09-01

    A new class of compounds, mono- and bis-haloethylphosphonates (HAPs and bisHAPs, respectively), listed in Schedule 2.B.04 of the Chemical Weapons Convention (CWC), has been synthesized and studied by GC-MS with two aims. First, to improve the identification of this type of chemicals by the Organization for the Prohibition of Chemical Weapons, (OPCW). Second, to study the synergistic effect of halogen and silicon atoms in molecules undergoing mass spectrometry. Fragmentation patterns of trimethylsilyl derivatives of HAPs were found to depend on the nature of the halogen atom; this was in agreement with DFT-calculations. The data suggest that a novel intramolecular halogen transfer takes place during the fragmentation process.

  6. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  7. Low molecular weight halogenated hydrocarbons (LMHHs) in Mediterranean sea water: Preliminary observations

    International Nuclear Information System (INIS)

    Elder, D.L.; Villeneuve, J.P.; Harvey, G.R.

    1976-01-01

    Halogenated organic compounds containing 1-3 carbon atoms are among the most extensively produced synthetic chemicals. Within this group of compounds are solvents such as chloroform, carbon tetrachloride, trichloroethane and the chlorofluoro carbons or freons which are used as refrigerants and aerosol sprays. Once produced many of these compounds are eventually released to the environment

  8. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  9. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  10. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  11. Evidence for Interfacial Halogen Bonding.

    Science.gov (United States)

    Swords, Wesley B; Simon, Sarah J C; Parlane, Fraser G L; Dean, Rebecca K; Kellett, Cameron W; Hu, Ke; Meyer, Gerald J; Berlinguette, Curtis P

    2016-05-10

    A homologous series of donor-π-acceptor dyes was synthesized, differing only in the identity of the halogen substituents about the triphenylamine (TPA; donor) portion of each molecule. Each Dye-X (X=F, Cl, Br, and I) was immobilized on a TiO2 surface to investigate how the halogen substituents affect the reaction between the light-induced charge-separated state, TiO2 (e(-) )/Dye-X(+) , with iodide in solution. Transient absorption spectroscopy showed progressively faster reactivity towards nucleophilic iodide with more polarizable halogen substituents: Dye-F < Dye-Cl < Dye-Br < Dye-I. Given that all other structural and electronic properties for the series are held at parity, with the exception of an increasingly larger electropositive σ-hole on the heavier halogens, the differences in dye regeneration kinetics for Dye-Cl, Dye-Br, and Dye-I are ascribed to the extent of halogen bonding with the nucleophilic solution species. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  13. New small molecule inhibitors of histone methyl transferase DOT1L with a nitrile as a non-traditional replacement for heavy halogen atoms.

    Science.gov (United States)

    Spurr, Sophie S; Bayle, Elliott D; Yu, Wenyu; Li, Fengling; Tempel, Wolfram; Vedadi, Masoud; Schapira, Matthieu; Fish, Paul V

    2016-09-15

    A number of new nucleoside derivatives are disclosed as inhibitors of DOT1L activity. SARs established that DOT1L inhibition could be achieved through incorporation of polar groups and small heterocycles at the 5-position (5, 6, 12) or by the application of alternative nitrogenous bases (18). Based on these results, CN-SAH (19) was identified as a potent and selective inhibitor of DOT1L activity where the polar 5-nitrile group was shown by crystallography to bind in the hydrophobic pocket of DOT1L. In addition, we show that a polar nitrile group can be used as a non-traditional replacement for heavy halogen atoms. Copyright © 2016 Elsevier Ltd. All rights reserved.

  14. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  15. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  16. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  17. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  18. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  19. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  20. Are superhalogens without halogen ligand capable of transcending traditional halogen-based superhalogens? Ab initio case study of binuclear anions based on pseudohalogen ligand

    International Nuclear Information System (INIS)

    Li, Jin-Feng; Sun, Yin-Yin; Li, Miao-Miao; Li, Jian-Li; Yin, Bing; Bai, Hongcun

    2015-01-01

    The superhalogen properties of polynuclear structures without halogen ligand are theoretically explored here for several [M 2 (CN) 5 ] −1 (M =  Ca, Be) clusters. At CCSD(T) level, these clusters have been confirmed to be superhalogens due to their high vertical electron detachment energies (VDE). The largest one is 9.70 eV for [Ca 2 (CN) 5 ] −1 which is even higher than those of corresponding traditional structures based on fluorine or chlorine ligands. Therefore the superhalogens stronger than the traditional halogen-based structures could be realized by ligands other than halogen atoms. Compared with CCSD(T), outer valence Green’s function (OVGF) method either overestimates or underestimates the VDEs for different structures while MP2 results are generally consistent in the aspect of relative values. The extra electrons of the highest VDE anions here aggregate on the bridging CN units with non-negligible distribution occurring on other CN units too. These two features lower both the potential and kinetic energies of the extra electron respectively and thus lead to high VDE. Besides superhalogen properties, the structures, relative stabilities and thermodynamic stabilities with respect to the detachment of cyanide ligand were also investigated. The sum of these results identifies the potential of polynuclear structures with pseudohalogen ligand as suitable candidates with enhanced superhalogens properties

  1. Are superhalogens without halogen ligand capable of transcending traditional halogen-based superhalogens? Ab initio case study of binuclear anions based on pseudohalogen ligand

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jin-Feng; Sun, Yin-Yin; Li, Miao-Miao; Li, Jian-Li; Yin, Bing, E-mail: rayinyin@nwu.edu.cn [MOE Key Laboratory of Synthetic and Natural Functional Molecule Chemistry, Shaanxi Key Laboratory of Physico-Inorganic Chemistry, College of Chemistry and Materials Science, Northwest University, Xi’an 710069 (China); Bai, Hongcun [Key Laboratory of Energy Source and Chemical Engineering, Ningxia University, Yinchuan, Ningxia 750021 (China)

    2015-06-15

    The superhalogen properties of polynuclear structures without halogen ligand are theoretically explored here for several [M{sub 2}(CN){sub 5}]{sup −1} (M =  Ca, Be) clusters. At CCSD(T) level, these clusters have been confirmed to be superhalogens due to their high vertical electron detachment energies (VDE). The largest one is 9.70 eV for [Ca{sub 2}(CN){sub 5}]{sup −1} which is even higher than those of corresponding traditional structures based on fluorine or chlorine ligands. Therefore the superhalogens stronger than the traditional halogen-based structures could be realized by ligands other than halogen atoms. Compared with CCSD(T), outer valence Green’s function (OVGF) method either overestimates or underestimates the VDEs for different structures while MP2 results are generally consistent in the aspect of relative values. The extra electrons of the highest VDE anions here aggregate on the bridging CN units with non-negligible distribution occurring on other CN units too. These two features lower both the potential and kinetic energies of the extra electron respectively and thus lead to high VDE. Besides superhalogen properties, the structures, relative stabilities and thermodynamic stabilities with respect to the detachment of cyanide ligand were also investigated. The sum of these results identifies the potential of polynuclear structures with pseudohalogen ligand as suitable candidates with enhanced superhalogens properties.

  2. Structures and anti-inflammatory properties of 4-halogenated -mofebutazones

    Science.gov (United States)

    Reichelt, Hendrik; Paradies, Henrich H.

    2018-02-01

    The crystal structures of the 4-halogenated (hal: F, Cl, Br)-4-butyl-1-phenyl-1,3-pyrolidine-dione (mofebutazone) are determined, and compared with their solution structures. The racemic 4-halogenated mofebutazone approximants crystallize in a monoclinic space group with four molecules in the unit cell. The 4-hal-mofebutazone molecules reveal strong hydrogen bonding between the hydrogen atom located at the N-2 nitrogen atom and a carbonyl oxygen atom of an adjacent 4-hal-mofebutazone molecule. The hydrogen bond angle for 4-Br-mifebutazone N (2)sbnd H (1)⋯O (1) is 173(3) °, so that the hydrogen bond is essentially linear indicating an infinite chain hydrogen bond network. The 3d and 2d structures are stabilized by π-π and σ-π interactions, short intermolecular distances, and apolar forces between adjacently stacked phenyl rings. Small-angle-X-ray scattering (SAXS) experiments and osmometric measurements reveal the presence of dimers for the 4-hal-mofebutazone molecules. Molecular simulations indicate similar solution structure factors for the 4-hal-mofebutazones solutions, S(Q), and in the solid state. There is a strong indication that the [1,1,0], [1,0,0], and [1,0,0] periodicities of the 4-Brsbnd , 4-Clsbnd and 4-F-mofebutazone in the crystalline solid state were also present in the solution phase. The biochemical and cellular activities of the different 4-hal-mofebutazones were monitored by the magnitude of their inhibition of the PGE2 biosynthesis through the cyclo-oxygenase (COX-1) in macrophages, and on the inhibition of LTD4 (5-lipoxygenase) in polymorphonuclear leukocytes.

  3. Elemental analysis of halogens using molecular emission by laser-induced breakdown spectroscopy in air

    Energy Technology Data Exchange (ETDEWEB)

    Gaft, M.; Nagli, L.; Eliezer, N.; Groisman, Y. [Laser Distance Spectrometry, 9 Mota Gur St., Petah Tikva 49514 (Israel); Forni, O. [Université de Toulouse, UPS-OMP, IRAP, Toulouse (France); CNRS, IRAP, 9 Av. Colonel Roche, BP 44346, F-31028 Toulouse cedex 4 (France)

    2014-08-01

    Fluorine and chlorine do not produce atomic and ionic line spectra of sufficient intensity to permit their detection by laser-induced breakdown spectroscopy. They do, however, combine with alkali-earths and other elements to form molecules whose spectra may be easily identified, enabling detection in ambient conditions with much higher sensitivity than using F I and Cl I atomic lines. - Highlights: • We studied laser induced breakdown spectra of halogens with alkali-earth elements. • Emission and temporal behavior of CaF and CaCl molecules were determined. • Sensitivity of F and Cl detection by molecules and atoms was compared.

  4. Halogen bonding in solution: thermodynamics and applications.

    Science.gov (United States)

    Beale, Thomas M; Chudzinski, Michael G; Sarwar, Mohammed G; Taylor, Mark S

    2013-02-21

    Halogen bonds are noncovalent interactions in which covalently bound halogens act as electrophilic species. The utility of halogen bonding for controlling self-assembly in the solid state is evident from a broad spectrum of applications in crystal engineering and materials science. Until recently, it has been less clear whether, and to what extent, halogen bonding could be employed to influence conformation, binding or reactivity in the solution phase. This tutorial review summarizes and interprets solution-phase thermodynamic data for halogen bonding interactions obtained over the past six decades and highlights emerging applications in molecular recognition, medicinal chemistry and catalysis.

  5. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  6. Synthesis and improved photochromic properties of pyrazolones in the solid state by incorporation of halogen

    Science.gov (United States)

    Guo, Jixi; Yuan, Hui; Jia, Dianzeng; Guo, Mingxi; Li, Yinhua

    2017-01-01

    Four novel photochromic pyrazolones have been prepared by introducing halogen atoms as substituents on the benzene ring. All as-synthesized compounds exhibited excellent reversible photochromic performances in the solid state. Upon UV light irradiation, the as-synthesized compounds can change their structures from E-form to K-form with yellow coloration. Further processed by heating, they rapidly reverted to their initial states at 120 °С. Their photo-response and thermal bleaching kinetics were detailed investigated by UV absorption spectra. The results showed that the time constants were higher than that of our previously reported compounds at least one order of magnitude and the rate constants of the as-synthesized compounds were significantly influenced by the size and electronegativity of different halogen atoms. The fluorescence emission were modulated in a high degree via photoisomerization of pyrazolones, which might be due to the efficient energy transfer from E-form to K-form isomers for their partly overlaps between their E-form absorption spectra and K-form fluorescence spectra.

  7. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  8. Long-term Studies of Marine Halogen Release

    Science.gov (United States)

    Tschritter, J.; Holla, R.; Frieß, U.; Platt, U.

    2009-04-01

    Institute of Enviromental Physics, Heidelberg, Germany. Long term measurements of atmospheric trace gases using multi-axis DOAS instruments are pursued at the new SOLAS observatory on the island of Sao Vicente, (Cape Verde). This research is part of the SOPRAN (Surface Ocean Processes in the ANthropocene) project (Fördernummer:03F0462F). Reactive halogen species (RHS) such as bromine- and iodine- containing species play major roles in the chemistry of ozone in both the troposphere and lower stratosphere and thus possibly influence the ozone budget on a global scale. In addition iodine-species emitted from the ocean surface have been shown to be responsible for the production of new atmospheric particles in the marine boundary layer. This may have an effect on cloud formation and radiation transfer on local and global scales. Long term measurements of RHS abundances will help to identify their key regions and processes for formation. A new long term Multi-MAX-DOAS instrument has been installed at the SOLAS observatory on the island of Sao Vicente, (Cape Verde). The main focus of these unique measurements is the investigation of reactive halogen chemistry in the subtropical marine boundary layer based on measurements of BrO, IO, and possibly OIO. Because of its wide spectral range also the use for O4-retrievals to gain aerosol profiles is possible. IO has been detected with mixing ratios up to 1.3 ppt. For BrO an upper limit of 2 ppt could be determined.

  9. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  10. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  11. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  12. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  13. Iron Coordination and Halogen-Bonding Assisted Iodosylbenzene Activation

    DEFF Research Database (Denmark)

    Wegeberg, Christina; Poulsen de Sousa, David; McKenzie, Christine

    catalytic mixtures using soluble terminal oxygen transfer agents. Isolation of a reactive iron-terminal oxidant adduct, an unique Fe(III)-OIPh complex, is facilitated by strong stabilizing supramolecular halogen-bonding. L3-edge XANES suggests +1.6 for the average oxidation state for the iodine atom3......The iron complex of the hexadentate ligand N,N,N'-tris(2-pyridylmethyl)ethylendiamine-N'-acetate (tpena) efficiently catalyzes selective oxidations of electron-rich olefins and sulfides by insoluble iodosylbenzene (PhIO). Surprisingly, these reactions are faster and more selective than homogenous...... in the iron(III)-coordinated PhIO. This represents a reduction of iodine relative to the original “hypervalent” (+3) PhIO. The equivalent of electron density must be removed from the {(tpena)Fe(III)O} moiety, however Mössbauer spectroscopy shows that the iron atom is not high valent....

  14. Organic halogens in landfill leachates

    DEFF Research Database (Denmark)

    Grøn, C.; Christensen, J. B.; Jensen, Dorthe Lærke

    2000-01-01

    Using a group parameter, total organic halogens (TOX), high TOX concentrations were found in leachates and leachate contaminated groundwaters at two Danish mixed sanitary and hazardous waste sites. With commonly used screening procedures for organic contaminants, the individual halogenated organi...

  15. Origin of the X-Hal (Hal = Cl, Br) bond-length change in the halogen-bonded complexes.

    Science.gov (United States)

    Wang, Weizhou; Hobza, Pavel

    2008-05-01

    The origin of the X-Hal bond-length change in the halogen bond of the X-Hal...Y type has been investigated at the MP2(full)/6-311++G(d,p) level of theory using a natural bond orbital analysis, atoms in molecules procedure, and electrostatic potential fitting methods. Our results have clearly shown that various theories explaining the nature of the hydrogen bond cannot be applied to explain the origin of the X-Hal bond-length change in the halogen bond. We provide a new explanation for this change. The elongation of the X-Hal bond length is caused by the electron-density transfer to the X-Hal sigma* antibonding orbital. For the blue-shifting halogen bond, the electron-density transfer to the X-Hal sigma* antibonding orbital is only of minor importance; it is the electrostatic attractive interaction that causes the X-Hal bond contraction.

  16. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  17. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  18. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  19. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  20. Chain reaction on de-halogenation of 1,2-dibromotetrafluoroethane and 1,1,2-trichlorotrifluoroethane induced by irradiation in alcohols

    International Nuclear Information System (INIS)

    Nakagawa, Seiko

    2015-01-01

    Methanol and 2-propanol solutions of 1,2-dibromotetrafluoroethane and 1,1,2-trichlorotrifluoroethane were irradiated with γ-rays after perfect de-oxygenation. The product, formed by the substitution of one of the bromine or chlorine atoms with a hydrogen atom, was observed by radiation-induced degradation and the product was also de-halogenated. The G-value of de-halogenation was more than a thousand times higher than G(e solv − ) and increased with the decreasing dose rate, meaning that a chain reaction is involved in the process. The efficiency of the degradation in 2-propanol was several times higher than that in methanol. It is concluded that the charge transfer from an alcohol radical will be the trigger of the chain reaction the same as in the degradation of hexachloroethane in alcohol solutions (Sawai et al., 1978). - Highlights: • Halone2402 and Furon113 were de-halogenated by radiation-induced chain reaction in pure alcohol. • The efficiency of the degradation in 2-propanol was several times higher than that in methanol. • The charge transfer from an alcohol radical will be the trigger of the chain reaction

  1. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  2. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  3. Crystallochemical analysis of rare-earth halogen-containing π-complexes

    International Nuclear Information System (INIS)

    Blatova, O.A.; Blatov, V.A.; Serezhkin, V.N.

    2001-01-01

    Dependence of the size of Ln atom action in coordination polyhedrons LnC n X m (X = F, Cl, Br) on its nature, coordination number, oxidation state and number of Ln-X bonds, was studied using 96 halogen-containing π-complexes of rare earth and yttrium (Ln) by way of example. A method of estimating ligand sizes in coordination sphere using the Voronoi-Dirichlet molecular polyhedrons was suggested. Influence of the ligands sizes on stability of π-complexes and presence of specific interactions in their structure was analyzed [ru

  4. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  5. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  6. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  7. Halogen bonding from a hard and soft acids and bases perspective: investigation by using density functional theory reactivity indices.

    Science.gov (United States)

    Pinter, Balazs; Nagels, Nick; Herrebout, Wouter A; De Proft, Frank

    2013-01-07

    Halogen bonds between the trifluoromethyl halides CF(3)Cl, CF(3)Br and CF(3)I, and dimethyl ether, dimethyl sulfide, trimethylamine and trimethyl phosphine were investigated using Pearson's hard and soft acids and bases (HSAB) concept with conceptual DFT reactivity indices, the Ziegler-Rauk-type energy-decomposition analysis, the natural orbital for chemical valence (NOCV) framework and the non-covalent interaction (NCI) index. It is found that the relative importance of electrostatic and orbital (charge transfer) interactions varies as a function of both the donor and acceptor molecules. Hard and soft interactions were distinguished and characterised by atomic charges, electrophilicity and local softness indices. Dual-descriptor plots indicate an orbital σ hole on the halogen similar to the electrostatic σ hole manifested in the molecular electrostatic potential. The predicted high halogen-bond-acceptor affinity of N-heterocyclic carbenes was evidenced in the highest complexation energy for the hitherto unknown CF(3) I·NHC complex. The dominant NOCV orbital represents an electron-density deformation according to a n→σ*-type interaction. The characteristic signal found in the reduced density gradient versus electron-density diagram corresponds to the non-covalent interaction between contact atoms in the NCI plots, which is the manifestation of halogen bonding within the NCI theory. The unexpected C-X bond strengthening observed in several cases was rationalised within the molecular orbital framework. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  9. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  10. Biogeochemistry of Halogenated Hydrocarbons

    Science.gov (United States)

    Adriaens, P.; Gruden, C.; McCormick, M. L.

    2003-12-01

    Halogenated hydrocarbons originate from both natural and industrial sources. Whereas direct anthropogenic emissions to the atmosphere and biosphere are often easy to assess, particularly when they are tied to major industrial activities, the attribution of emissions to other human activities (e.g., biomass burning), diffuse sources (e.g., atmospheric discharge, run off), and natural production (e.g., soils, fungi, algae, microorganisms) are difficult to quantify. The widespread occurrence of both alkyl and aryl halides in groundwater, surface water, soils, and various trophic food chains, even those not affected by known point sources, suggests a substantial biogeochemical cycling of these compounds (Wania and Mackay, 1996; Adriaens et al., 1999; Gruden et al., 2003). The transport and reactive fate mechanisms controlling their reactivity are compounded by the differences in sources of alkyl-, aryl-, and complex organic halides, and the largely unknown impact of biogenic processes, such as enzymatically mediated halogenation of organic matter, fungal production of halogenated hydrocarbons, and microbial or abiotic transformation reactions (e.g., Asplund and Grimvall, 1991; Gribble, 1996; Watling and Harper, 1998; Oberg, 2002). The largest source may be the natural halogenation processes in the terrestrial environment, as the quantities detected often exceed the amount that can be explained by human activities in the surrounding areas ( Oberg, 1998). Since biogeochemical processes result in the distribution of a wide range of halogenated hydrocarbon profiles, altered chemical structures, and isomer distributions in natural systems, source apportionment (or environmental forensics) can often only be resolved using multivariate statistical methods (e.g., Goovaerts, 1998; Barabas et al., 2003; Murphy and Morrison, 2002).This chapter will describe the widespread occurrence of halogenated hydrocarbons, interpret their distribution and biogeochemical cycling in light of

  11. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  12. Studies on halogen quenching through the Stern-Volmer plot

    International Nuclear Information System (INIS)

    Takiue, Makoto; Ishikawa, Hiroaki.

    1978-01-01

    The quenching effect for halogenated benzenes, methanes and ethanes have been investigated. The halogen quenching was accurately measured using the internal conversion electrons emitted from 113 Sn-sup(113m)In. From the quenching constants determined by the Stern-Volmer plots with respect to various halogen quenchers, the following results have been obtained. (1) The quenching constants increase with the number of halogen substituents, so as linearly in halogenated benzenes and exponentially in halogenated methanes and ethanes. Even the isomers of halogenides have different quenching constants. (2) There is a linearity between logarithm of the quenching constant and a polarographic half-wave reduction potential. (3) Electron excitation provides larger quenching constants than UV excitation for halogenated methanes. Based on these results, the mechanism of halogen quenching have been discussed in connection with the exciplex formation. (auth.)

  13. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  14. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  15. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  16. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  17. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  18. Radiolysis of aqueous solutions of nucleosides halogenated at the sugar moiety

    Energy Technology Data Exchange (ETDEWEB)

    Hissung, A; Isildar, M; von Sonntag, C [Max-Planck-Institut fuer Kohlenforschung, Muelheim an der Ruhr (Germany, F.R.). Inst. fuer Strahlenforschung; Witzel, H [Biochemisches Institut der Westfaelischen Wilhelms-Universitaet, Muenster, West Germany

    1981-02-01

    The pulse radiolysis of aqueous solutions of nucleosides halogenated at the sugar moiety (2'-bromo-2'-deoxyuridine 4, 3'-deoxy-3'-iodothymidine 5, 5'-deoxy-5'-iodouridine 6) has been studied. G(Hal) were determined by conductometry varying the experimental conditions (pH, saturation with Ar, N/sub 2/O or air, addition of t-butanol). The results indicate that solvated electrons both add to the nucleobases and eliminate halogen ions from the halogenated sugar moiety. In the case of 4(and possibly of 5) the radical anion of the base transfers (k approximately 10/sup 5/s/sup -1/) an electron to the sugar-bound halogen atom thus cleaving the C-Hal bond. In competition with this reaction there is a protonation of the radical anion of the base by protons and by water. For the latter reaction constant of k = 5 x 10/sup 3/ M/sup -1/s/sup -1/ was estimated. Compound 4 has also been investigated by product analysis after 60-Co-..gamma..-irradiation. In aerated solutions erythrose is formed with a G-value of 0.12. Its precursor radical is the 2'-radical generated from 4 by dissociative electron capture which reacts with O/sub 2/ to the corresponding peroxyl radical. Erythrose is formed after a sequence of reactions, one of which involves the scission of the C-1'-C-2'bond. Under this condition G(HBr) as measured by pulse radiolysis is 0.8. Thus erythrose is formed in 15 per cent yield with respect to its precursor radical. This result is of importance in assessing the precursor radical of a similar product observed in irradiated DNA.

  19. Radiolysis of aqueous solutions of nucleosides halogenated at the sugar moiety

    International Nuclear Information System (INIS)

    Hissung, A.; Isildar, M.; Sonntag, C. von; Witzel, H.

    1981-01-01

    The pulse radiolysis of aqueous solutions of nucleosides halogenated at the sugar moiety (2'-bromo-2'-deoxyuridine 4, 3'-deoxy-3'-iodothymidine 5, 5'-deoxy-5'-iodouridine 6) has been studied. G(Hal) were determined by conductometry varying the experimental conditions (pH, saturation with Ar, N 2 O or air, addition of t-butanol). The results indicate that solvated electrons both add to the nucleobases and eliminate halogen ions from the halogenated sugar moiety. In the case of 4(and possibly of 5) the radical anion of the base transfers (k approximately 10 5 s -1 ) an electron to the sugar-bound halogen atom thus cleaving the C-Hal bond. In competition with this reaction there is a protonation of the radical anion of the base by protons and by water. For the latter reaction constant of k = 5 x 10 3 M -1 s -1 was estimated. Compound 4 has also been investigated by product analysis after 60-Co-γ-irradiation. In aerated solutions erythrose is formed with a G-value of 0.12. Its precursor radical is the 2'-radical generated from 4 by dissociative electron capture which reacts with O 2 to the corresponding peroxyl radical. Erythrose is formed after a sequence of reactions, one of which involves the scission of the C-1'-C-2'bond. Under this condition G(HBr) as measured by pulse radiolysis is 0.8. Thus erythrose is formed in 15 per cent yield with respect to its precursor radical. This result is of importance in assessing the precursor radical of a similar product observed in irradiated DNA. (author)

  20. A novel class of halogen-free, super-conductive lithium argyrodites: Synthesis and characterization

    Science.gov (United States)

    Schneider, Holger; Du, Hui; Kelley, Tracy; Leitner, Klaus; ter Maat, Johan; Scordilis-Kelley, Chariclea; Sanchez-Carrera, Roel; Kovalev, Igor; Mudalige, Anoma; Kulisch, Jörn; Safont-Sempere, Marina M.; Hartmann, Pascal; Weiβ, Thomas; Schneider, Ling; Hinrichsen, Bernd

    2017-10-01

    Solid electrolytes are the core components for many next generation lithium battery concepts such as all-solid-state batteries (ASSB) or batteries based on metallic lithium anodes protected by a ceramic or composite passivation layer. Therefore, the search for new solid state Li-ion conductors with superior properties and improved electrochemical stabilities remains of high interest. In this work, the synthesis of a new class of silicon-containing, sulfide-based lithium-ion conductors is reported. Very good conductivities of up to ∼2.0-3.0·10-3 S/cm could be achieved for compositions such as Li22SiP2S18, among the highest for silicon sulfide containing materials. Based on the recorded powder XRD diffraction patterns and simulations it could be confirmed that they constitute novel members of the argyrodite family of sulfide lithium-ion conductors. The cubic high-temperature modification of such argyrodites with high lithium-ion conductivity can therefore be stabilized by implementation of silicon into the lattice, while additional doping with halogen atoms is not necessary.

  1. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  2. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  3. Passivation of quartz for halogen-containing light sources

    Science.gov (United States)

    Falkenstein, Zoran

    1999-01-01

    Lifetime of halogen containing VUV, UV, visible or IR light sources can be extended by passivating the quartz or glass gas containers with halogens prior to filling the quartz with the halogen and rare gas mixtures used to produce the light.

  4. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  5. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  6. METHOD FOR PRODUCING ISOTOPIC METHANES AND PARTIALLY HALOGENATED DERIVATIVES THEROF

    Science.gov (United States)

    Frazer, J.W.

    1959-08-18

    A method is given for producing isotopic methanes and/ or partially halogenated derivatives. Lithium hydride, deuteride, or tritide is reacted with a halogenated methane or with a halogenated methane in combination with free halogen. The process is conveniently carried out by passing a halogenated methane preferably at low pressures or in an admixture with an inert gas through a fixed bed of finely divided lithium hydride heated initially to temperatures of 100 to 200 deg C depending upon the halogenated methane used.

  7. Extending Halogen-based Medicinal Chemistry to Proteins: IODO-INSULIN AS A CASE STUDY.

    Science.gov (United States)

    El Hage, Krystel; Pandyarajan, Vijay; Phillips, Nelson B; Smith, Brian J; Menting, John G; Whittaker, Jonathan; Lawrence, Michael C; Meuwly, Markus; Weiss, Michael A

    2016-12-30

    Insulin, a protein critical for metabolic homeostasis, provides a classical model for protein design with application to human health. Recent efforts to improve its pharmaceutical formulation demonstrated that iodination of a conserved tyrosine (Tyr B26 ) enhances key properties of a rapid-acting clinical analog. Moreover, the broad utility of halogens in medicinal chemistry has motivated the use of hybrid quantum- and molecular-mechanical methods to study proteins. Here, we (i) undertook quantitative atomistic simulations of 3-[iodo-Tyr B26 ]insulin to predict its structural features, and (ii) tested these predictions by X-ray crystallography. Using an electrostatic model of the modified aromatic ring based on quantum chemistry, the calculations suggested that the analog, as a dimer and hexamer, exhibits subtle differences in aromatic-aromatic interactions at the dimer interface. Aromatic rings (Tyr B16 , Phe B24 , Phe B25 , 3-I-Tyr B26 , and their symmetry-related mates) at this interface adjust to enable packing of the hydrophobic iodine atoms within the core of each monomer. Strikingly, these features were observed in the crystal structure of a 3-[iodo-Tyr B26 ]insulin analog (determined as an R 6 zinc hexamer). Given that residues B24-B30 detach from the core on receptor binding, the environment of 3-I-Tyr B26 in a receptor complex must differ from that in the free hormone. Based on the recent structure of a "micro-receptor" complex, we predict that 3-I-Tyr B26 engages the receptor via directional halogen bonding and halogen-directed hydrogen bonding as follows: favorable electrostatic interactions exploiting, respectively, the halogen's electron-deficient σ-hole and electronegative equatorial band. Inspired by quantum chemistry and molecular dynamics, such "halogen engineering" promises to extend principles of medicinal chemistry to proteins. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  8. Organic halogens in spruce forest throughfall

    DEFF Research Database (Denmark)

    Öberg, G.; Johansen, C.; Grøn, C.

    1998-01-01

    . No relationship between the position of the collectors and the forest edge or dominating wind-direction was found, suggesting that dry deposition was not a major source. The concentration of organic halogens was related to that of organic carbon and decreased from the tree-trunk and outwards. In addition......, the concentrations were higher during the growing season than during the dormant season. This indicates that the major part of the organic carbon and organic halogens in spruce forest throughfall originates from canopy leachates or other internal sources. (C) 1998 Elsevier Science Ltd.......Deposition of dissolved organic halogens by throughfall was determined in a small spruce forest site in Denmark (56 degrees 28'N, 8 degrees 24'E). The mean annual deposition of dissolved organic halogens was 377 g ha(-1)yr(-1), and larger than the general deposition by precipitation...

  9. Halogenation dictates the architecture of amyloid peptide nanostructures† †Electronic supplementary information (ESI) available. See DOI: 10.1039/c7nr03263c

    Science.gov (United States)

    Pizzi, Andrea; Pigliacelli, Claudia; Gori, Alessandro; Nonappa; Ikkala, Olli; Demitri, Nicola; Terraneo, Giancarlo; Castelletto, Valeria; Hamley, Ian W.; Baldelli Bombelli, Francesca

    2017-01-01

    Amyloid peptides yield a plethora of interesting nanostructures though difficult to control. Here we report that depending on the number, position, and nature of the halogen atoms introduced into either one or both phenylalanine benzene rings of the amyloid β peptide-derived core-sequence KLVFF, four different architectures were obtained in a controlled manner. Our findings demonstrate that halogenation may develop as a general strategy to engineer amyloidal peptide self-assembly and obtain new amyloidal nanostructures. PMID:28696473

  10. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  11. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  12. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  13. Atomic and molecular beams production and collimation

    CERN Document Server

    Lucas, Cyril Bernard

    2013-01-01

    Atomic and molecular beams are employed in physics and chemistry experiments and, to a lesser extent, in the biological sciences. These beams enable atoms to be studied under collision-free conditions and allow the study of their interaction with other atoms, charged particles, radiation, and surfaces. Atomic and Molecular Beams: Production and Collimation explores the latest techniques for producing a beam from any substance as well as from the dissociation of hydrogen, oxygen, nitrogen, and the halogens.The book not only provides the basic expressions essential to beam design but also offers

  14. Experimental and computational evidence of halogen bonds involving astatine

    Science.gov (United States)

    Guo, Ning; Maurice, Rémi; Teze, David; Graton, Jérôme; Champion, Julie; Montavon, Gilles; Galland, Nicolas

    2018-03-01

    The importance of halogen bonds—highly directional interactions between an electron-deficient σ-hole moiety in a halogenated compound and an acceptor such as a Lewis base—is being increasingly recognized in a wide variety of fields from biomedicinal chemistry to materials science. The heaviest halogens are known to form stronger halogen bonds, implying that if this trend continues down the periodic table, astatine should exhibit the highest halogen-bond donating ability. This may be mitigated, however, by the relativistic effects undergone by heavy elements, as illustrated by the metallic character of astatine. Here, the occurrence of halogen-bonding interactions involving astatine is experimentally evidenced. The complexation constants of astatine monoiodide with a series of organic ligands in cyclohexane solution were derived from distribution coefficient measurements and supported by relativistic quantum mechanical calculations. Taken together, the results show that astatine indeed behaves as a halogen-bond donor—a stronger one than iodine—owing to its much more electrophilic σ-hole.

  15. Determination of inorganic arsenic in algae using bromine halogenation and on-line nonpolar solid phase extraction followed by hydride generation atomic fluorescence spectrometry.

    Science.gov (United States)

    Zhang, Weihong; Qi, Yuehan; Qin, Deyuan; Liu, Jixin; Mao, Xuefei; Chen, Guoying; Wei, Chao; Qian, Yongzhong

    2017-08-01

    Accurate, stable and fast analysis of toxic inorganic arsenic (iAs) in complicated and arsenosugar-rich algae matrix is always a challenge. Herein, a novel analytical method for iAs in algae was reported, using bromine halogenation and on-line nonpolar solid phase extraction (SPE) followed by hydride generation atomic fluorescence spectrometry (HG-AFS). The separation of iAs from algae was first performed by nonpolar SPE sorbent using Br - for arsenic halogenation. Algae samples were extracted with 1% perchloric acid. Then, 1.5mL extract was reduced by 1% thiourea, and simultaneously reacted (for 30min) with 50μL of 10% KBr for converting iAs to AsBr 3 after adding 3.5mL of 70% HCl to 5mL. A polystyrene (PS) resin cartridge was employed to retain arsenicals, which were hydrolyzed, eluted from the PS resin with H 2 O, and categorized as iAs. The total iAs was quantified by HG-AFS. Under optimum conditions, the spiked recoveries of iAs in real algae samples were in the 82-96% range, and the method achieved a desirable limit of detection of 3μgkg -1 . The inter-day relative standard deviations were 4.5% and 4.1% for spiked 100 and 500μgkg -1 respectively, which proved acceptable for this method. For real algae samples analysis, the highest presence of iAs was found in sargassum fusiforme, followed by kelp, seaweed and laver. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Transition from metal-ligand bonding to halogen bonding involving a metal as halogen acceptor a study of Cu, Ag, Au, Pt, and Hg complexes

    Science.gov (United States)

    Oliveira, Vytor; Cremer, Dieter

    2017-08-01

    Utilizing all-electron Dirac-exact relativistic calculations with the Normalized Elimination of the Small Component (NESC) method and the local vibrational mode approach, the transition from metal-halide to metal halogen bonding is determined for Au-complexes interacting with halogen-donors. The local stretching force constants of the metal-halogen interactions reveal a smooth transition from weak non-covalent halogen bonding to non-classical 3-center-4-electron bonding and finally covalent metal-halide bonding. The strongest halogen bonds are found for dialkylaurates interacting with Cl2 or FCl. Differing trends in the intrinsic halogen-metal bond strength, the binding energy, and the electrostatic potential are explained.

  17. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  18. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  19. Ninth international symposium on hot atom chemistry. Abstracts

    International Nuclear Information System (INIS)

    1977-01-01

    Abstracts of the papers presented at the Symposium are compiled. The topics considered were chemical dynamics of high energy reactions, hot atom chemistry in organic compounds of tritium, nitrogen, oxygen, and halogens, theory and chemical dynamics of hot atom reactions as determined by beam studies, solid state reactions of recoil atoms and implanted ions, hot atom chemistry in energy-related research, hot atom chemistry in inorganic compounds of oxygen and tritium, hot positronium chemistry, applied hot atom chemistry in labelling, chemical effects of radioactive decay, decay-induced reactions and excitation labelling, physical methods in hot atom chemistry, and hot atom reactions in radiation and stratospheric chemistry

  20. Removal of Intermediate Aromatic Halogenated DBPs by Activated Carbon Adsorption: A New Approach to Controlling Halogenated DBPs in Chlorinated Drinking Water.

    Science.gov (United States)

    Jiang, Jingyi; Zhang, Xiangru; Zhu, Xiaohu; Li, Yu

    2017-03-21

    During chlorine disinfection of drinking water, chlorine may react with natural organic matter (NOM) and bromide ion in raw water to generate halogenated disinfection byproducts (DBPs). To mitigate adverse effects from DBP exposure, granular activated carbon (GAC) adsorption has been considered as one of the best available technologies for removing NOM (DBP precursor) in drinking water treatment. Recently, we have found that many aromatic halogenated DBPs form in chlorination, and they act as intermediate DBPs to decompose and form commonly known DBPs including trihalomethanes and haloacetic acids. In this work, we proposed a new approach to controlling drinking water halogenated DBPs by GAC adsorption of intermediate aromatic halogenated DBPs during chlorination, rather than by GAC adsorption of NOM prior to chlorination (i.e., traditional approach). Rapid small-scale column tests were used to simulate GAC adsorption in the new and traditional approaches. Significant reductions of aromatic halogenated DBPs were observed in the effluents with the new approach; the removals of total organic halogen, trihalomethanes, and haloacetic acids by the new approach always exceeded those by the traditional approach; and the effluents with the new approach were considerably less developmentally toxic than those with the traditional approach. Our findings indicate that the new approach is substantially more effective in controlling halogenated DBPs than the traditional approach.

  1. Mid-latitude Ozone Depletion Events Caused by Halogens from the Great Salt Lake in Utah

    Science.gov (United States)

    Fibiger, D. L.; Goldberger, L.; Womack, C.; McDuffie, E. E.; Dube, W. P.; Franchin, A.; Middlebrook, A. M.; Thornton, J. A.; Brown, S. S.

    2017-12-01

    Halogens are highly reactive chemicals and play an important role in atmospheric chemistry. They can be involved in many cycles which influence the oxidizing capacity of the atmosphere, including through destruction of ozone (O3). While the influence of halogens on O3 is well documented in the arctic, there are very few observations of O3 depletion driven by halogens in the mid-latitudes. To date, the most comprehensive study observed co-occurring plumes of BrO and depleted O3 near the Dead Sea in 1997. During the Utah Wintertime Fine Particulate Study (UWFPS) in winter 2017, simultaneous measurements of a comprehensive suite of halogen measurements by I- chemical ionization mass spectrometry and O3 from cavity ring-down spectroscopy, both at 1-second time resolution, were taken on a NOAA Twin Otter Aircraft over the Great Salt Lake and in the surrounding valleys. Many O3 depletion events were observed over the lake with O3 values sometimes below the instrument detection limit of 0.5 ppbv. Corresponding increases in BrO and/or ClO were observed. Many of these events were caused by extremely high levels of halogens (up to 1 ppmv Cl2) emitted from the U.S. Magnesium plant on the edge of the lake. The O3 depletion caused by U.S. Magnesium was usually isolated to a distinct vertical layer, but in other cases O3 depletion was vertically mixed and the origin of halogen activation was not immediately clear. The most complete O3 depletion was observed over the lake, but there were smaller events of a few ppbv observed in the adjacent valleys, including the highly populated Salt Lake Valley, with corresponding plumes of BrO and ClO, due to transport from the lake. Additionally, meteorology played a role in the observed O3 depletion. The strongest O3 depletion was observed during inversion events, when there is a low boundary layer and little mixing out of the air above the lake. During non-inversion conditions, only small depletions were observed, covering a much smaller

  2. The halogen bond: Nature and applications

    Science.gov (United States)

    Costa, Paulo J.

    2017-10-01

    The halogen bond, corresponding to an attractive interaction between an electrophilic region in a halogen (X) and a nucleophile (B) yielding a R-X⋯B contact, found applications in many fields such as supramolecular chemistry, crystal engineering, medicinal chemistry, and chemical biology. Their large range of applications also led to an increased interest in their study using computational methods aiming not only at understanding the phenomena at a fundamental level, but also to help in the interpretation of results and guide the experimental work. Herein, a succinct overview of the recent theoretical and experimental developments is given starting by discussing the nature of the halogen bond and the latest theoretical insights on this topic. Then, the effects of the surrounding environment on halogen bonds are presented followed by a presentation of the available method benchmarks. Finally, recent experimental applications where the contribution of computational chemistry was fundamental are discussed, thus highlighting the synergy between the lab and modeling techniques.

  3. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  4. Model study of multiphase DMS oxidation with a focus on halogens

    Directory of Open Access Journals (Sweden)

    R. von Glasow

    2004-01-01

    Full Text Available We studied the oxidation of dimethylsulfide (DMS in the marine boundary layer (MBL with a one-dimensional numerical model and focused on the influence of halogens. Our model runs show that there is still significant uncertainty about the end products of the DMS addition pathway, which is especially caused by uncertainty in the product yield of the reaction of the intermediate product methyl sulfinic acid (MSIA with OH. BrO strongly increases the importance of the addition branch in the oxidation of DMS even when present at mixing ratios smaller than 0.5pmol mol-1. The inclusion of halogen chemistry leads to higher DMS oxidation rates and smaller DMS to SO2 conversion efficiencies. The DMS to SO2 conversion efficiency is also drastically reduced under cloudy conditions. In cloud-free model runs between 5 and 15% of the oxidized DMS reacts further to particulate sulfur, in cloudy runs this fraction is almost 100%. Sulfate production by HOClaq and HOBraq is important in cloud droplets even for small Br- deficits and related small gas phase halogen concentrations. In general, more particulate sulfur is formed when halogen chemistry is included. A possible enrichment of HCO3- in fresh sea salt aerosol would increase pH values enough to make the reaction of S(IV* (=SO2,aq+HSO3-+SO32- with O3 dominant for sulfate production. It leads to a shift from methyl sulfonic acid (MSA to non-sea salt sulfate (nss-SO42- production but increases the total nss-SO42- only somewhat because almost all available sulfur is already oxidized to particulate sulfur in the base scenario. We discuss how realistic this is for the MBL. We found the reaction MSAaq+OH to contribute about 10% to the production of nss-SO42- in clouds. It is unimportant for cloud-free model runs. Overall we find that the presence of halogens leads to processes that decrease the albedo of stratiform clouds in the MBL.

  5. Molecular activation analysis for organo-halogen contaminants in yogurt

    International Nuclear Information System (INIS)

    Zhang Hong; Chai Zhifang

    2004-01-01

    The concentrations of total halogen (TX), extractable organo-halogen (EOX), extractable persistent organo-halogen (EPOX), organo-chlorine pesticides (OCPs) and polychlorinated biphenyls (PCBs) in 18 different yogurt specimens of 14 brands from Beijing, Tianjin, Shanghai, Guangzhou and Shijiazhuang were determined by epithermal neutron activation analysis (ENAA), molecular activation analysis (MAA) and GC-Mass Spectrometry (GC-MS), respectively. The results indicated that the halogen in yogurt mainly existed as inorganic species and non-extractable organo-halogen compounds. About 1/3 to 1/4 of EOX was EPOX. Further, EOCl and EPOCl were the main organo-halogen species in yogurt. The average concentration of the unknown organo-chlorine was 96% of the EPOCl. HCHs and DDTs were still the main contaminants of OCPs in the yogurt of interest. Also, PCB202, PCB103 and PCB208 were the main contaminants of PCBs. (authors)

  6. FIELD SCREENING FOR HALOGENATED VOLATILE ORGANIC COMPOUNDS

    Energy Technology Data Exchange (ETDEWEB)

    John F. Schabron; Joseph F. Rovani Jr.; Theresa M. Bomstad

    2002-06-01

    Western Research Institute (WRI) initiated exploratory work towards the development of new field screening methodology and a test kit to measure halogenated volatile organic compounds (VOCs) in the field. Heated diode and corona discharge sensors are commonly used to detect leaks of refrigerants from air conditioners, freezers, and refrigerators. They are both selective to the presence of carbon-halogen bonds. Commercially available heated diode and corona discharge leak detectors were procured and evaluated for halogenated VOC response. The units were modified to provide a digital readout of signal related to VOC concentration. Sensor response was evaluated with carbon tetrachloride and tetrachloroethylene (perchloroethylene, PCE), which represent halogenated VOCs with and without double bonds. The response characteristics were determined for the VOCs directly in headspace in Tedlar bag containers. Quantitation limits in air were estimated. Potential interferences from volatile hydrocarbons, such as toluene and heptane, were evaluated. The effect of humidity was studied also. The performance of the new devices was evaluated in the laboratory by spiking soil samples and monitoring headspace for halogenated VOCs. A draft concept of the steps for a new analytical method was outlined. The results of the first year effort show that both devices show potential utility for future analytical method development work towards the goal of developing a portable test kit for screening halogenated VOCs in the field.

  7. Efficient Annealing-Free P3HT:PC_6_1BM-Based Organic Solar Cells by Using a Novel Solvent Additive without a Halogen or Sulphur Atom

    International Nuclear Information System (INIS)

    Xiao Man-Jun; Zhu Wei-Guo; Shen Wen-Fei; Wang Jun-Yi; Han Liang-Liang; Chen Wei-Chao; Bao Xi-Chang; Yang Ren-Qiang

    2015-01-01

    The power conversion efficiency (PCE) of poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61-butyric acid methyl ester (PC_6_1BM) based organic solar cells (OSCs) is significantly improved by using benzyl acetate (BA), an organic compound without any halogen or sulphur atom, as a processing additive to control the blend morphology. The solar cells show PCE of 3.85% with a fill factor (FF) of 65.22%, which are higher than those of the common thermal annealing device (PCE 3.30%, FF 60.83%). The overall increased PCE depends upon the enhanced crystallinity of P3HT and good carriers transport, with a high balanced charge carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  8. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  9. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  10. Halogens in chondritic meteorites and terrestrial accretion

    Science.gov (United States)

    Clay, Patricia L.; Burgess, Ray; Busemann, Henner; Ruzié-Hamilton, Lorraine; Joachim, Bastian; Day, James M. D.; Ballentine, Christopher J.

    2017-11-01

    Volatile element delivery and retention played a fundamental part in Earth’s formation and subsequent chemical differentiation. The heavy halogens—chlorine (Cl), bromine (Br) and iodine (I)—are key tracers of accretionary processes owing to their high volatility and incompatibility, but have low abundances in most geological and planetary materials. However, noble gas proxy isotopes produced during neutron irradiation provide a high-sensitivity tool for the determination of heavy halogen abundances. Using such isotopes, here we show that Cl, Br and I abundances in carbonaceous, enstatite, Rumuruti and primitive ordinary chondrites are about 6 times, 9 times and 15-37 times lower, respectively, than previously reported and usually accepted estimates. This is independent of the oxidation state or petrological type of the chondrites. The ratios Br/Cl and I/Cl in all studied chondrites show a limited range, indistinguishable from bulk silicate Earth estimates. Our results demonstrate that the halogen depletion of bulk silicate Earth relative to primitive meteorites is consistent with the depletion of lithophile elements of similar volatility. These results for carbonaceous chondrites reveal that late accretion, constrained to a maximum of 0.5 ± 0.2 per cent of Earth’s silicate mass, cannot solely account for present-day terrestrial halogen inventories. It is estimated that 80-90 per cent of heavy halogens are concentrated in Earth’s surface reservoirs and have not undergone the extreme early loss observed in atmosphere-forming elements. Therefore, in addition to late-stage terrestrial accretion of halogens and mantle degassing, which has removed less than half of Earth’s dissolved mantle gases, the efficient extraction of halogen-rich fluids from the solid Earth during the earliest stages of terrestrial differentiation is also required to explain the presence of these heavy halogens at the surface. The hydropilic nature of halogens, whereby they track

  11. Organic halogen compounds in the environment

    International Nuclear Information System (INIS)

    1979-07-01

    There are 20 research reports on selected problems concerning the analysis, the occurence, and the behaviour of a wide spectrum of organic halogen compounds. The work was carried out in the framework of the project 'Organic Halogen Compounds in the Environment', financed by the BMFT, between 1975 and 1978. (orig.) [de

  12. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  13. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  14. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  15. Process for removal of hydrogen halides or halogens from incinerator gas

    Science.gov (United States)

    Huang, H.S.; Sather, N.F.

    1987-08-21

    A process for reducing the amount of halogens and halogen acids in high temperature combustion gas and through their removal, the formation of halogenated organics at lower temperatures, with the reduction being carried out electrochemically by contacting the combustion gas with the negative electrode of an electrochemical cell and with the halogen and/or halogen acid being recovered at the positive electrode.

  16. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  17. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  18. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  19. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  20. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  1. Computational Tools To Model Halogen Bonds in Medicinal Chemistry.

    Science.gov (United States)

    Ford, Melissa Coates; Ho, P Shing

    2016-03-10

    The use of halogens in therapeutics dates back to the earliest days of medicine when seaweed was used as a source of iodine to treat goiters. The incorporation of halogens to improve the potency of drugs is now fairly standard in medicinal chemistry. In the past decade, halogens have been recognized as direct participants in defining the affinity of inhibitors through a noncovalent interaction called the halogen bond or X-bond. Incorporating X-bonding into structure-based drug design requires computational models for the anisotropic distribution of charge and the nonspherical shape of halogens, which lead to their highly directional geometries and stabilizing energies. We review here current successes and challenges in developing computational methods to introduce X-bonding into lead compound discovery and optimization during drug development. This fast-growing field will push further development of more accurate and efficient computational tools to accelerate the exploitation of halogens in medicinal chemistry.

  2. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  3. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  4. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  5. The UKB prescription and the heavy atom effects on the nuclear magnetic shielding of vicinal heavy atoms.

    Science.gov (United States)

    Maldonado, Alejandro F; Aucar, Gustavo A

    2009-07-21

    Fully relativistic calculations of NMR magnetic shielding on XYH3 (X = C, Si, Ge and Sn; Y = Br, I), XHn (n = 1-4) molecular systems and noble gases performed with a fully relativistic polarization propagator formalism at the RPA level of approach are presented. The rate of convergence (size of basis set and time involved) for calculations with both kinetic balance prescriptions, RKB and UKB, were investigated. Calculations with UKB makes it feasible to obtain reliable results for two or more heavy-atom-containing molecules. For such XYH3 systems, the influence of heavy vicinal halogen atoms on sigma(X) is such that heavy atom effects on heavy atoms (vicinal plus their own effects or HAVHA + HAHA effects) amount to 30.50% for X = Sn and Y = I; being the HAHA effect of the order of 25%. So the vicinal effect alone is of the order of 5.5%. The vicinal heavy atom effect on light atoms (HALA effect) is of the order of 28% for X = C and Y = I. A similar behaviour, but of opposite sign, is observed for sigma(Y) for which sigmaR-NR (I; X = C) (HAHA effect) is around 27% and sigmaR-NR(I; X = Sn) (HAVHA + HAHA effects) is close to 21%. Its electronic origin is paramagnetic for halogen atoms but both dia- and paramagnetic for central atoms. The effect on two bond distant hydrogen atoms is such that the largest variation of sigma(H) within the same family of XYH3 molecules appears for X = Si and Y = I: around 20%. In this case sigma(H; X = Sn, Y = I) = 33.45 ppm and sigma(H; X = Sn, Y = H) = 27.82 ppm.

  6. Passivation and alloying element retention in gas atomized powders

    Science.gov (United States)

    Heidloff, Andrew J.; Rieken, Joel R.; Anderson, Iver E.

    2017-12-05

    A method for gas atomization of a titanium alloy, nickel alloy, or other alumina (Al.sub.2O.sub.3)-forming alloy wherein the atomized particles are exposed as they solidify and cool in a very short time to multiple gaseous reactive agents for the in-situ formation of a passivation reaction film on the atomized particles wherein the reaction film retains a precursor halogen alloying element that is subsequently introduced into a microstructure formed by subsequent thermally processing of the atomized particles to improve oxidation resistance.

  7. Ozone Depletion in Tropospheric Volcanic Plumes: From Halogen-Poor to Halogen-Rich Emissions

    Directory of Open Access Journals (Sweden)

    Tjarda J. Roberts

    2018-02-01

    Full Text Available Volcanic halogen emissions to the troposphere undergo a rapid plume chemistry that destroys ozone. Quantifying the impact of volcanic halogens on tropospheric ozone is challenging, only a few observations exist. This study presents measurements of ozone in volcanic plumes from Kīlauea (HI, USA, a low halogen emitter. The results are combined with published data from high halogen emitters (Mt Etna, Italy; Mt Redoubt, AK, USA to identify controls on plume processes. Ozone was measured during periods of relatively sustained Kīlauea plume exposure, using an Aeroqual instrument deployed alongside Multi-Gas SO2 and H2S sensors. Interferences were accounted for in data post-processing. The volcanic H2S/SO2 molar ratio was quantified as 0.03. At Halema‘uma‘u crater-rim, ozone was close to ambient in the emission plume (at 10 ppmv SO2. Measurements in grounding plume (at 5 ppmv SO2 about 10 km downwind of Pu‘u ‘Ō‘ō showed just slight ozone depletion. These Kīlauea observations contrast with substantial ozone depletion reported at Mt Etna and Mt Redoubt. Analysis of the combined data from these three volcanoes identifies the emitted Br/S as a strong but non-linear control on the rate of ozone depletion. Model simulations of the volcanic plume chemistry highlight that the proportion of HBr converted into reactive bromine is a key control on the efficiency of ozone depletion. This underlines the importance of chemistry in the very near-source plume on the fate and atmospheric impacts of volcanic emissions to the troposphere.

  8. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  9. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  10. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  11. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  12. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  13. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  14. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  15. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  16. 2D-PES/XAS method for atomic-layer-resolved magnetic structure analysis

    International Nuclear Information System (INIS)

    Matsui, F.; Daimon, H.; Matsushita, T.; Guo, F.Z.

    2008-01-01

    Photoelectron and Auger electron angular distributions from a localized core level provide information on atomic configurations. Forward-focusing peaks indicate the directions of atoms surrounding the excited atom. X-ray absorption fine structure and X-ray magnetic circular dichroism measurements by Auger electron yield detection on the other hand are excellent methods for studying of the electronic and magnetic structures of surfaces, adsorbates, and thin films. However, all the information from atoms within the electron mean-free-path region is averaged into the obtained spectra. Here, we introduce a new method of X-ray absorption spectroscopy (XAS) combined with measurements of Auger electron angular distribution using a display-type analyzer. Taking advantage of the forward-focusing peak as an excellent element- and site-selective probe, 2D-XAS enables direct access to the individual electronic and magnetic structures of each atomic layer. This method was applied to studying the electronic and magnetic structures of Ni thin film at atomic level. (author)

  17. Retention of Halogens in Waste Glass

    Energy Technology Data Exchange (ETDEWEB)

    Hrma, Pavel R.

    2010-05-01

    In spite of their potential roles as melting rate accelerators and foam breakers, halogens are generally viewed as troublesome components for glass processing. Of five halogens, F, Cl, Br, I, and At, all but At may occur in nuclear waste. A nuclear waste feed may contain up to 10 g of F, 4 g of Cl, and ≤100 mg of Br and I per kg of glass. The main concern is halogen volatility, producing hazardous fumes and particulates, and the radioactive iodine 129 isotope of 1.7x10^7-year half life. Because F and Cl are soluble in oxide glasses and tend to precipitate on cooling, they can be retained in the waste glass in the form of dissolved constituents or as dispersed crystalline inclusions. This report compiles known halogen-retention data in both high-level waste (HLW) and low-activity waste (LAW) glasses. Because of its radioactivity, the main focus is on I. Available data on F and Cl were compiled for comparison. Though Br is present in nuclear wastes, it is usually ignored; no data on Br retention were found.

  18. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  19. Halogen-Mediated Conversion of Hydrocarbons to Commodities.

    Science.gov (United States)

    Lin, Ronghe; Amrute, Amol P; Pérez-Ramírez, Javier

    2017-03-08

    Halogen chemistry plays a central role in the industrial manufacture of various important chemicals, pharmaceuticals, and polymers. It involves the reaction of halogens or halides with hydrocarbons, leading to intermediate compounds which are readily converted to valuable commodities. These transformations, predominantly mediated by heterogeneous catalysts, have long been successfully applied in the production of polymers. Recent discoveries of abundant conventional and unconventional natural gas reserves have revitalized strong interest in these processes as the most cost-effective gas-to-liquid technologies. This review provides an in-depth analysis of the fundamental understanding and applied relevance of halogen chemistry in polymer industries (polyvinyl chloride, polyurethanes, and polycarbonates) and in the activation of light hydrocarbons. The reactions of particular interest include halogenation and oxyhalogenation of alkanes and alkenes, dehydrogenation of alkanes, conversion of alkyl halides, and oxidation of hydrogen halides, with emphasis on the catalyst, reactor, and process design. Perspectives on the challenges and directions for future development in this exciting field are provided.

  20. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  1. E. S. R. studies of halogenated pyrimidines in. gamma. -irradiated alkaline glasses. [Halogenated uracil bases; bromouridine; bromodeoxyuridine

    Energy Technology Data Exchange (ETDEWEB)

    Simpson, L D; Zimbrick, J D [Kansas Univ., Lawrence (USA)

    1975-11-01

    The reactions of mobile electrons (e/sup -//sub m/) and oxygen radical anions (O./sup -/) with halogenated bases and nucleosides have been studied in ..gamma..-irradiated alkaline glasses by e.s.r. and specific halogen-ion electrode techniques. It was shown that electrons react with halogenated uracil bases (XUr where X = Cl, Br, I but not F) by dissociative electron attachment to form uracil-5-yl radicals (U.) and halogen anions. The relative rates of reaction of e/sup -//sub m/ with XUr decreased in the sequence BrUr > ClUr > FUr > IUr. Thermal annealing studies carried out on U. in H/sub 2/O and D/sub 2/O matrices supported the hypothesis that U. in H/sub 2/O hydrates across the 5-6 double bond in the temperature region 135/sup 0/ to 155/sup 0/ K, and deuterates to a much smaller extent in D/sub 2/O at temperatures above 155/sup 0/ K. Studies on bromouridine and bromodeoxyuridine suggested that e/sup -/sub(m) reacts with the base moieties to form U. type radicals which abstract H. from the sugar moieties of adjacent nucleosides.

  2. Experimental investigation of halogen-bond hard-soft acid-base complementarity.

    Science.gov (United States)

    Riel, Asia Marie S; Jessop, Morly J; Decato, Daniel A; Massena, Casey J; Nascimento, Vinicius R; Berryman, Orion B

    2017-04-01

    The halogen bond (XB) is a topical noncovalent interaction of rapidly increasing importance. The XB employs a `soft' donor atom in comparison to the `hard' proton of the hydrogen bond (HB). This difference has led to the hypothesis that XBs can form more favorable interactions with `soft' bases than HBs. While computational studies have supported this suggestion, solution and solid-state data are lacking. Here, XB soft-soft complementarity is investigated with a bidentate receptor that shows similar associations with neutral carbonyls and heavy chalcogen analogs. The solution speciation and XB soft-soft complementarity is supported by four crystal structures containing neutral and anionic soft Lewis bases.

  3. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  4. Cooperativity of halogen, chalcogen, and pnictogen bonds in infinite molecular chains by electronic structure theory.

    Science.gov (United States)

    George, Janine; Deringer, Volker L; Dronskowski, Richard

    2014-05-01

    Halogen bonds (XBs) are intriguing noncovalent interactions that are frequently being exploited for crystal engineering. Recently, similar bonding mechanisms have been proposed for adjacent main-group elements, and noncovalent "chalcogen bonds" and "pnictogen bonds" have been identified in crystal structures. A fundamental question, largely unresolved thus far, is how XBs and related contacts interact with each other in crystals; similar to hydrogen bonding, one might expect "cooperativity" (bonds amplifying each other), but evidence has been sparse. Here, we explore the crucial step from gas-phase oligomers to truly infinite chains by means of quantum chemical computations. A periodic density functional theory (DFT) framework allows us to address polymeric chains of molecules avoiding the dreaded "cluster effects" as well as the arbitrariness of defining a "large enough" cluster. We focus on three types of molecular chains that we cut from crystal structures; furthermore, we explore reasonable substitutional variants in silico. We find evidence of cooperativity in chains of halogen cyanides and also in similar chalcogen- and pnictogen-bonded systems; the bonds, in the most extreme cases, are amplified through cooperative effects by 79% (I···N), 90% (Te···N), and 103% (Sb···N). Two experimentally known organic crystals, albeit with similar atomic connectivity and XB characteristics, show signs of cooperativity in one case but not in another. Finally, no cooperativity is observed in alternating halogen/acetone and halogen/1,4-dioxane chains; in fact, these XBs weaken each other by up to 26% compared to the respective gas-phase dimers.

  5. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  6. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  7. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  8. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  9. The 79,81Br and 127I NQR spectra of some halogenated anions of nido-7,8-dicarbaundecaborates

    International Nuclear Information System (INIS)

    Semin, G.K.; Zakharkin, L.I.; Kuznetsov, S.I.; Zhigareva, G.G.; Bryukhova, E.V.

    1998-01-01

    Spectra of nuclear quadrupole resonance of bromine and iodine atoms in the series of halogenated anions of cesium nido-7,8-dicarbaundecaborates have been studied. Considerable shift of the spectrum in the low-frequency range compared to closo-carborane derivatives can be explained by negative charge localization in anion of nido-7,8-dicarbaundecaborates with its certain prevalence in the pentagonal plane [ru

  10. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  11. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  12. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  13. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  14. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  15. Effect of heavy atoms on photochemically induced dynamic nuclear polarization in liquids

    Science.gov (United States)

    Okuno, Yusuke; Cavagnero, Silvia

    2018-01-01

    Given its short hyperpolarization time (∼10-6 s) and mostly non-perturbative nature, photo-chemically induced dynamic nuclear polarization (photo-CIDNP) is a powerful tool for sensitivity enhancement in nuclear magnetic resonance. In this study, we explore the extent of 1H-detected 13C nuclear hyperpolarization that can be gained via photo-CIDNP in the presence of small-molecule additives containing a heavy atom. The underlying rationale for this methodology is the well-known external-heavy-atom (EHA) effect, which leads to significant enhancements in the intersystem-crossing rate of selected photosensitizer dyes from photoexcited singlet to triplet. We exploited the EHA effect upon addition of moderate amounts of halogen-atom-containing cosolutes. The resulting increase in the transient triplet-state population of the photo-CIDNP sensitizer fluorescein resulted in a significant increase in the nuclear hyperpolarization achievable via photo-CIDNP in liquids. We also explored the internal-heavy-atom (IHA) effect, which is mediated by halogen atoms covalently incorporated into the photosensitizer dye. Widely different outcomes were achieved in the case of EHA and IHA, with EHA being largely preferable in terms of net hyperpolarization.

  16. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  17. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  18. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  19. Element selective detection of molecular species applying chromatographic techniques and diode laser atomic absorption spectrometry.

    Science.gov (United States)

    Kunze, K; Zybin, A; Koch, J; Franzke, J; Miclea, M; Niemax, K

    2004-12-01

    Tunable diode laser atomic absorption spectroscopy (DLAAS) combined with separation techniques and atomization in plasmas and flames is presented as a powerful method for analysis of molecular species. The analytical figures of merit of the technique are demonstrated by the measurement of Cr(VI) and Mn compounds, as well as molecular species including halogen atoms, hydrogen, carbon and sulfur.

  20. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  1. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  2. Scientific conferences: A big hello to halogen bonding

    Science.gov (United States)

    Erdelyi, Mate

    2014-09-01

    Halogen bonding connects a wide range of subjects -- from materials science to structural biology, from computation to crystal engineering, and from synthesis to spectroscopy. The 1st International Symposium on Halogen Bonding explored the state of the art in this fast-growing field of research.

  3. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  4. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  5. Inference on carbon atom arrangement in the turbostatic graphene layers in Tikak coal (India) by X-ray pair distribution function analysis

    Energy Technology Data Exchange (ETDEWEB)

    Saikia, Binoy K. [Indian Oil Corporation Ltd., West Bengal (India)

    2010-07-01

    This paper communicates the distribution of carbon atoms in a single poly-cyclic aromatic (PCA) layer (graphene) in Tikak coal from Assam, India. The pair distribution function (PDF) analysis performed indicates no evidence of any graphite like structure in this coal. The aromatic fraction is observed to be 74%; with the aliphatic fraction correspondingly estimated to be 26% in this coal. The average carbon atom has 2.5 nearest carbon atom neighbours at an average bond distance of 1.50{angstrom}. The average stacking height of the parallel aromatic layers (Lc) and the average diameter of the aromatic layers (La) are estimated to be 9.86 {angstrom} and 4.80 {angstrom} respectively. For this coal, the average number of stacking layers and the average number of atoms per layer are estimated to be four and eight respectively. In addition, the gamma band is observed at a d-value of 4.34{angstrom}. The comparison of the atom-pair correlation function to simulated one-dimensional structure function calculated for a model compound benzene (C{sub 6}H{sub 6}) also indicates that C{sub 6} unit is the major components in this coal. The average carbon atom has at least one and one nearest aryl and alkyl C-C atom pairs separated by 1.39 and 1.54{angstrom} respectively.

  6. Influence of Halogen Substituents on the Catalytic Oxidation of 2,4,6-Halogenated Phenols by Fe(III-Tetrakis(p-hydroxyphenyl porphyrins and Potassium Monopersulfate

    Directory of Open Access Journals (Sweden)

    Seiya Nagao

    2011-12-01

    Full Text Available The influence of halogen substituents on the catalytic oxidation of 2,4,6-trihalogenated phenols (TrXPs by iron(III-porphyrin/KHSO5 catalytic systems was investigated. Iron(III-5,10,15,20-tetrakis(p-hydroxyphenylporphyrin (FeTHP and its supported variants were employed, where the supported catalysts were synthesized by introducing FeTHP into hydroquinone-derived humic acids via formaldehyde poly-condensation. F (TrFP, Cl (TrCP, Br (TrBP and I (TrIP were examined as halogen substituents for TrXPs. Although the supported catalysts significantly enhanced the degradation and dehalogenation of TrFP and TrCP, the oxidation of TrBP and TrIP was not enhanced, compared to the FeTHP catalytic system. These results indicate that the degree of oxidation of TrXPs is strongly dependent on the types of halogen substituent. The order of dehalogenation levels for halogen substituents in TrXPs was F > Cl > Br > I, consistent with their order of electronegativity. The electronegativity of a halogen substituent affects the nucleophilicity of the carbon to which it is attached. The levels of oxidation products in the reaction mixtures were analyzed by GC/MS after extraction with n-hexane. The most abundant dimer product from TrFP via 2,6-difluoroquinone is consistent with a scenario where TrXP, with a more electronegative halogen substituent, is readily oxidized, while less electronegative halogen substituents are oxidized less readily by iron(III-porphyrin/KHSO5 catalytic systems.

  7. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  8. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  9. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  10. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  11. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  12. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  13. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  14. 40 CFR 721.5452 - Alkali metal salt of halogenated organoborate (generic).

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 30 2010-07-01 2010-07-01 false Alkali metal salt of halogenated... Specific Chemical Substances § 721.5452 Alkali metal salt of halogenated organoborate (generic). (a... generically as alkali metal salt of halogenated organoborate (PMN P-00-0638) is subject to reporting under...

  15. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  16. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  17. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  18. Ab initio study of weakly bound halogen complexes: RX⋯PH3.

    Science.gov (United States)

    Georg, Herbert C; Fileti, Eudes E; Malaspina, Thaciana

    2013-01-01

    Ab initio calculations were employed to study the role of ipso carbon hybridization in halogenated compounds RX (R=methyl, phenyl, acetyl, H and X=F, Cl, Br and I) and its interaction with a phosphorus atom, as occurs in the halogen bonded complex type RX⋯PH3. The analysis was performed using ab initio MP2, MP4 and CCSD(T) methods. Systematic energy analysis found that the interaction energies are in the range -4.14 to -11.92 kJ mol(-1) (at MP2 level without ZPE correction). Effects of electronic correlation levels were evaluated at MP4 and CCSD(T) levels and a reduction of up to 27% in interaction energy obtained in MP2 was observed. Analysis of the electrostatic maps confirms that the PhCl⋯PH3 and all MeX⋯PH3 complexes are unstable. NBO analysis suggested that the charge transfer between the moieties is bigger when using iodine than bromine and chlorine. The electrical properties of these complexes (dipole and polarizability) were determined and the most important observed aspect was the systematic increase at the dipole polarizability, given by the interaction polarizability. This increase is in the range of 0.7-6.7 u.a. (about 3-7%).

  19. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  20. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  1. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  2. Construction of Tungsten Halogen, Pulsed LED, and Combined Tungsten Halogen-LED Solar Simulators for Solar Cell I-V Characterization and Electrical Parameters Determination

    Directory of Open Access Journals (Sweden)

    Anon Namin

    2012-01-01

    Full Text Available I-V characterization of solar cells is generally done under natural sunlight or solar simulators operating in either a continuous mode or a pulse mode. Simulators are classified on three features of irradiance, namely, spectral match with respect to air mass 1.5, spatial uniformity, and temporal stability. Commercial solar simulators use Xenon lamps and halogen lamps, whereas LED-based solar simulators are being developed. In this work, we build and test seven simulators for solar cell characterization, namely, one tungsten halogen simulator, four monochromatic (red, green, blue, and white LED simulators, one multicolor LED simulator, and one tungsten halogen-blue LED simulator. The seven simulators provide testing at nonstandard test condition. High irradiance from simulators is obtained by employing elevated supply voltage to tungsten halogen lamps and high pulsing voltages to LEDs. This new approach leads to higher irradiance not previously obtained from tungsten halogen lamps and LEDs. From I-V curves, electrical parameters of solar cell are made and corrected based on methods recommended in the IEC 60891 Standards. Corrected values obtained from non-STC measurements are in good agreement with those obtained from Class AAA solar simulator.

  3. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  4. QSPR models of n-octanol/water partition coefficients and aqueous solubility of halogenated methyl-phenyl ethers by DFT method.

    Science.gov (United States)

    Zeng, Xiao-Lan; Wang, Hong-Jun; Wang, Yan

    2012-02-01

    The possible molecular geometries of 134 halogenated methyl-phenyl ethers were optimized at B3LYP/6-31G(*) level with Gaussian 98 program. The calculated structural parameters were taken as theoretical descriptors to establish two new novel QSPR models for predicting aqueous solubility (-lgS(w,l)) and n-octanol/water partition coefficient (lgK(ow)) of halogenated methyl-phenyl ethers. The two models achieved in this work both contain three variables: energy of the lowest unoccupied molecular orbital (E(LUMO)), most positive atomic partial charge in molecule (q(+)), and quadrupole moment (Q(yy) or Q(zz)), of which R values are 0.992 and 0.970 respectively, their standard errors of estimate in modeling (SD) are 0.132 and 0.178, respectively. The results of leave-one-out (LOO) cross-validation for training set and validation with external test sets both show that the models obtained exhibited optimum stability and good predictive power. We suggests that two QSPR models derived here can be used to predict S(w,l) and K(ow) accurately for non-tested halogenated methyl-phenyl ethers congeners. Copyright © 2011 Elsevier Ltd. All rights reserved.

  5. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  6. 40 CFR 721.8900 - Substituted halogenated pyridinol, alkali salt.

    Science.gov (United States)

    2010-07-01

    ..., alkali salt. 721.8900 Section 721.8900 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY... Specific Chemical Substances § 721.8900 Substituted halogenated pyridinol, alkali salt. (a) Chemical... as substituted halogenated pyridinols, alkali salts (PMNs P-88-1271 and P-88-1272) are subject to...

  7. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  8. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    Science.gov (United States)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  9. Atomic emission spectroscopic investigations for determining depth profiles at boride layers on iron materials

    International Nuclear Information System (INIS)

    Danzer, K.; Marx, G.

    1980-01-01

    A combination of atomic emission spectroscopic surface analysis and mechanical removement of defined surface areas in layers by grinding yields information about the depth distribution of boron in iron. In addition, the evaluation with the aid of the two-dimensional variance analysis leads to statements on the homogeneous distribution within individual layers at different depth. The results obtained in this way are in agreement with those of other methods

  10. Special features of self-compensation of halogen donor action in lead telluride

    International Nuclear Information System (INIS)

    Kajdanov, V.I.; Nemov, S.A.; Ravich, Yu.I.; Dereza, A.Yu.

    1985-01-01

    Specific features of self-compensation of halogen donor action in lead telluride are investigasted. Lead telluride samples with chlorine additions (with tellurium excess) and, besides, with bromine- and iodine additions were studied in order to reveal general regularities in alloyind with all halogen donor impurities. Experimental dependences of the difference between the electron and hole concentrations (n-p) in PbTe as a function of an amount of introduced halogen impurities (Ni) are presented for samples with a maximum compensation at 295 K. General features of the n-p=f(Ni) dependence are presented for all halogens. The hypothesis on the kinetic mechanism of increasing the efficiency of self-compensation of halogen donor action in lead telluride is suggested

  11. Electron-detachment cross sections of halogen negative-ion projectiles for inertial confinement fusion

    Science.gov (United States)

    Sant'Anna, M. M.; Zappa, F.; Santos, A. C. F.; de Barros, A. L. F.; Wolff, W.; Coelho, L. F. S.; de Castro Faria, N. V.

    2004-07-01

    Negative-ion beams have recently been suggested as sources of high-energy heavy atoms to be used as drivers for inertial confinement fusion (ICF). Owing to their electron affinities limited to a few eV, anions can be efficiently photo-detached in the vicinity of the fusion chamber, with the resulting high-velocity neutral projectiles following ballistic trajectories towards the hydrogen pellet target. Electron-detachment cross sections are needed as parameters to estimate the beam attenuation in the path from the ion source to the hydrogen pellet. Halogen anions are possible projectile choices. In this paper we present experimental data for total electron-detachment cross sections for F-, Cl-, Br- and I- ions incident on N2, in the 0.94-74 keV u-1 energy range. Our measurements can benchmark theory on anion electron detachment at intermediate to high velocities. Comparison between different projectiles shows very similar collision velocity dependencies. A simple geometrical scaling is presented, providing an estimate for electron-detachment cross sections at the MeV u-1 energy range. The presented scaling indicates that the vacuum requirements due to the use of halogen anions for ICF are less critical than previously suggested.

  12. Electron-detachment cross sections of halogen negative-ion projectiles for inertial confinement fusion

    International Nuclear Information System (INIS)

    Sant'Anna, M M; Zappa, F; Santos, A C F; Barros, A L F de; Wolff, W; Coelho, L F S; Faria, N V de Castro

    2004-01-01

    Negative-ion beams have recently been suggested as sources of high-energy heavy atoms to be used as drivers for inertial confinement fusion (ICF). Owing to their electron affinities limited to a few eV, anions can be efficiently photo-detached in the vicinity of the fusion chamber, with the resulting high-velocity neutral projectiles following ballistic trajectories towards the hydrogen pellet target. Electron-detachment cross sections are needed as parameters to estimate the beam attenuation in the path from the ion source to the hydrogen pellet. Halogen anions are possible projectile choices. In this paper we present experimental data for total electron-detachment cross sections for F - , Cl - , Br - and I - ions incident on N 2 , in the 0.94-74 keV u -1 energy range. Our measurements can benchmark theory on anion electron detachment at intermediate to high velocities. Comparison between different projectiles shows very similar collision velocity dependencies. A simple geometrical scaling is presented, providing an estimate for electron-detachment cross sections at the MeV u -1 energy range. The presented scaling indicates that the vacuum requirements due to the use of halogen anions for ICF are less critical than previously suggested

  13. Spectrographic determination of traces of halogens; Dosage de traces d'halogenes par la methode spectrographique

    Energy Technology Data Exchange (ETDEWEB)

    Melamed, J [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1961-07-01

    Hollow cathode source is employed for determining traces of halogens (fluorine - chlorine) in the uranium oxide U{sub 3}O{sub 8} qualitatively, detection of at least 40 ppm of fluorine, as alkali fluoride and 125 ppm of chlorine, is possible. (author) [French] Un tube a decharge a cathode creuse a ete utilise pour la determination spectrographique des halogenes (fluor - chlore) presentes a l'etat de traces dans un oxyde d'uranium U{sub 3}O{sub 8}. On a pu deceler qualitativement des teneurs de 40 ppm de fluor sous forme de fluorures alcalins. En ce qui concerne le chlore, la plus faible teneur decelee a ete de 125 ppm. (auteur)

  14. The interaction of mercury with halogenated graphene

    Science.gov (United States)

    Kirchofer, Abigail; Sasmaz, Erdem; Wilcox, Jennifer

    2011-03-01

    The interaction of mercury with halogenated graphene was studied using plane-wave density functional theory. Various configurations of H, Hg, O and Br or Cl on the zigzag edge sites of graphene were investigated. Although Hg-Br (or -Cl) complexes were found to be stable on the surface, the most stable configurations found were those with Hg adjacent to O. The surface atoms Hg, O, and Br tend to repel each other during geometric optimization, moving towards an H atom nearest-neighbor where possible. The strength of the Hg-graphene interaction is very sensitive to the local environment. The Hg-graphene binding energy is strongest when the Hg is located next to a surface O but not immediately next to a bound Br. DOS analysis revealed that Hg adsorption involves a gain in Hg 6 p-states and a loss in Hg 5 s electron density, resulting in an oxidized surface-bound Hg complex. DOS analysis suggests that Br strengthens the Hg-graphene interaction by modifying the surface carbon electron density; however, when Br is adjacent to Hg, a direct Hg-Br interaction weakens the Hg-C bond. These investigations provide insight into the mechanism associated with enhanced Hg adsorption on Br-functionalized carbon materials for Hg emissions reductions from coal-fired power plant applications. The authors acknowledge the financial support by Electric Power Research Institute (EPRI).

  15. Observation of anomalous Stokes versus anti-Stokes ratio in MoTe2 atomic layers

    Science.gov (United States)

    Goldstein, Thomas; Chen, Shao-Yu; Xiao, Di; Ramasubramaniam, Ashwin; Yan, Jun

    We grow hexagonal molybdenum ditelluride (MoTe2), a prototypical transition metal dichalcogenide (TMDC) semiconductor, with chemical vapor transport methods and investigate its atomic layers with Stokes and anti-Stokes Raman scattering. We report observation of all six types of zone center optical phonons. Quite remarkably, the anti-Stokes Raman intensity of the low energy layer-breathing mode becomes more intense than the Stokes peak under certain experimental conditions, creating an illusion of 'negative temperature'. This effect is tunable, and can be switched from anti-Stokes enhancement to suppression by varying the excitation wavelength. We interpret this observation to be a result of resonance effects arising from the C excitons in the vicinity of the Brillouin zone center, which are robust even for multiple layers of MoTe2. The intense anti-Stokes Raman scattering provides a cooling channel for the crystal and opens up opportunities for laser cooling of atomically thin TMDC semiconductor devices. Supported by the University of Massachusetts Amherst, the National Science Foundation Center for Hierarchical Manufacturing (CMMI-1025020) and Office of Emerging Frontiers in Research and Innovation (EFRI-1433496).

  16. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  17. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  18. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  19. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  20. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  1. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan

    2017-01-18

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both the capacity and cycling stability of the Na ion battery improve. The thinnest SnO nanosheet anodes (two to six SnO monolayers) exhibited the best performance. Specifically, an initial discharge and charge capacity of 1072 and 848 mAh g-1 were observed, respectively, at 0.1 A g-1. In addition, an impressive reversible capacity of 665 mAh g-1 after 100 cycles at 0.1 A g-1 and 452 mAh g-1 after 1000 cycles at a high current density of 1.0 A g-1 was observed, with excellent rate performance. As the average number of atomic layers in the anode sheets increased, the battery performance degraded significantly. For example, for the anode sheets with 10-20 atomic layers, only a reversible capacity of 389 mAh g-1 could be obtained after 100 cycles at 0.1 A g-1. Density functional theory calculations coupled with experimental results were used to elucidate the sodiation mechanism of the SnO nanosheets. This systematic study of monolayer-dependent physical and electrochemical properties of 2D anodes shows a promising pathway to engineering and mitigating volume changes in 2D anode materials for sodium ion batteries. It also demonstrates that ultrathin SnO nanosheets are promising SIB anode materials with high specific capacity, stable cyclability, and excellent rate performance.

  2. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  3. Halogenated organic compounds in archived whale oil: A pre-industrial record

    International Nuclear Information System (INIS)

    Teuten, Emma L.; Reddy, Christopher M.

    2007-01-01

    To provide additional evidence that several halogenated organic compounds (HOCs) found in environmental samples are natural and not industrially produced, we analyzed an archived whale oil sample collected in 1921 from the last voyage of the whaling ship Charles W. Morgan. This sample, which pre-dates large-scale industrial manufacture of HOCs, contained two methoxylated polybrominated diphenyl ethers (MeO-PBDEs), five halogenated methyl bipyrroles (MBPs), one halogenated dimethyl bipyrrole (DMBP), and tentatively one dimethoxylated polybrominated biphenyl (diMeO-PBB). This result indicates, at least in part, a natural source of the latter compounds. - Nine halogenated organic compounds have been detected in archived whale oil from the early 1920s

  4. Halogenated organic compounds in archived whale oil: A pre-industrial record

    Energy Technology Data Exchange (ETDEWEB)

    Teuten, Emma L. [Department of Marine Chemistry and Geochemistry, Woods Hole Oceanographic Institution, 360 Woods Hole Road, Woods Hole, MA 02543 (United States)]. E-mail: emma.teuten@plymouth.ac.uk; Reddy, Christopher M. [Department of Marine Chemistry and Geochemistry, Woods Hole Oceanographic Institution, 360 Woods Hole Road, Woods Hole, MA 02543 (United States)]. E-mail: creddy@whoi.edu

    2007-02-15

    To provide additional evidence that several halogenated organic compounds (HOCs) found in environmental samples are natural and not industrially produced, we analyzed an archived whale oil sample collected in 1921 from the last voyage of the whaling ship Charles W. Morgan. This sample, which pre-dates large-scale industrial manufacture of HOCs, contained two methoxylated polybrominated diphenyl ethers (MeO-PBDEs), five halogenated methyl bipyrroles (MBPs), one halogenated dimethyl bipyrrole (DMBP), and tentatively one dimethoxylated polybrominated biphenyl (diMeO-PBB). This result indicates, at least in part, a natural source of the latter compounds. - Nine halogenated organic compounds have been detected in archived whale oil from the early 1920s.

  5. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  6. Halogens are key cofactors in building of collagen IV scaffolds outside the cell.

    Science.gov (United States)

    Brown, Kyle L; Hudson, Billy G; Voziyan, Paul A

    2018-05-01

    The purpose of this review is to highlight recent advances in understanding the molecular assembly of basement membranes, as exemplified by the glomerular basement membrane (GBM) of the kidney filtration apparatus. In particular, an essential role of halogens in the basement membrane formation has been discovered. Extracellular chloride triggers a molecular switch within non collagenous domains of collagen IV that induces protomer oligomerization and scaffold assembly outside the cell. Moreover, bromide is an essential cofactor in enzymatic cross-linking that reinforces the stability of scaffolds. Halogenation and halogen-induced oxidation of the collagen IV scaffold in disease states damage scaffold function. Halogens play an essential role in the formation of collagen IV scaffolds of basement membranes. Pathogenic damage of these scaffolds by halogenation and halogen-induced oxidation is a potential target for therapeutic interventions.

  7. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  8. Inkjet printing the three organic functional layers of two-colored organic light emitting diodes

    International Nuclear Information System (INIS)

    Coenen, Michiel J.J.; Slaats, Thijs M.W.L.; Eggenhuisen, Tamara M.; Groen, Pim

    2015-01-01

    Inkjet printing allows for the roll-2-roll fabrication of organic electronic devices at an industrial scale. In this paper we demonstrate the fabrication of two-colored organic light emitting diodes (OLEDs) in which three adjacent organic device layers were inkjet printed from halogen free inks. The resulting devices demonstrate the possibilities offered by this technique for the fabrication of OLEDs for signage and personalized electronics. - Highlights: • Two-colored organic light emitting diodes with 3 inkjet printed device layers were fabricated. • All materials were printed from halogen free inks. • Inkjet printing of emissive materials is suitable for signage applications

  9. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  10. Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics.

    Science.gov (United States)

    Wu, Wenzhuo; Wang, Lei; Li, Yilei; Zhang, Fan; Lin, Long; Niu, Simiao; Chenet, Daniel; Zhang, Xian; Hao, Yufeng; Heinz, Tony F; Hone, James; Wang, Zhong Lin

    2014-10-23

    The piezoelectric characteristics of nanowires, thin films and bulk crystals have been closely studied for potential applications in sensors, transducers, energy conversion and electronics. With their high crystallinity and ability to withstand enormous strain, two-dimensional materials are of great interest as high-performance piezoelectric materials. Monolayer MoS2 is predicted to be strongly piezoelectric, an effect that disappears in the bulk owing to the opposite orientations of adjacent atomic layers. Here we report the first experimental study of the piezoelectric properties of two-dimensional MoS2 and show that cyclic stretching and releasing of thin MoS2 flakes with an odd number of atomic layers produces oscillating piezoelectric voltage and current outputs, whereas no output is observed for flakes with an even number of layers. A single monolayer flake strained by 0.53% generates a peak output of 15 mV and 20 pA, corresponding to a power density of 2 mW m(-2) and a 5.08% mechanical-to-electrical energy conversion efficiency. In agreement with theoretical predictions, the output increases with decreasing thickness and reverses sign when the strain direction is rotated by 90°. Transport measurements show a strong piezotronic effect in single-layer MoS2, but not in bilayer and bulk MoS2. The coupling between piezoelectricity and semiconducting properties in two-dimensional nanomaterials may enable the development of applications in powering nanodevices, adaptive bioprobes and tunable/stretchable electronics/optoelectronics.

  11. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  12. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Gogotsi, Yury; Alshareef, Husam N.

    2017-01-01

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications

  13. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  14. Halogenated furanones inhibit quorum sensing through accelerated LuxR turnover

    DEFF Research Database (Denmark)

    Manefield, M.; Rasmussen, Thomas Bovbjerg; Henzter, M.

    2002-01-01

    fischeri overproduced in Escherichia coli. Whilst a stable interaction between the algal metabolite and the bacterial protein was not found, it was noted by Western analysis that the half-life of the protein is reduced up to 100-fold in the presence of halogenated furanones. This suggests that halogenated...... that the reduction in LuxR concentration is the mechanism by which furanones control expression of AHL-dependent phenotypes. The mode of action by which halogenated furanones reduce cellular concentrations of the LuxR protein remains to be characterized....

  15. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  16. Post-synthetic halide conversion and selective halogen capture in hybrid perovskites† †Electronic supplementary information (ESI) available. CCDC 1048945–1048947. For ESI and crystallographic data in CIF or other electronic format see DOI: 10.1039/c5sc01135c

    Science.gov (United States)

    Solis-Ibarra, D.; Smith, I. C.

    2015-01-01

    Reaction with halogen vapor allows us to post-synthetically exchange halides in both three- (3D) and two-dimensional (2D) organic–inorganic metal-halide perovskites. Films of 3D Pb–I perovskites cleanly convert to films of Pb–Br or Pb–Cl perovskites upon exposure to Br2 or Cl2 gas, respectively. This gas–solid reaction provides a simple method to produce the high-quality Pb–Br or Pb–Cl perovskite films required for optoelectronic applications. Reactivity with halogens can be extended to the organic layers in 2D metal-halide perovskites. Here, terminal alkene groups placed between the inorganic layers can capture Br2 gas through chemisorption to form dibromoalkanes. This reaction's selectivity for Br2 over I2 allows us to scrub Br2 to obtain high-purity I2 gas streams. We also observe unusual halogen transfer between the inorganic and organic layers within a single perovskite structure. Remarkably, the perovskite's crystallinity is retained during these massive structural rearrangements. PMID:29218171

  17. Thermal behavior of halogenated imidebismaleimide resins

    International Nuclear Information System (INIS)

    Mohammad, A.; Al-Halim, N.Z.

    1995-01-01

    Several new poly-halogenated malecimides, bismaleimides and therir copoly resins were synthessised thermally from their corresponding amic acids. The synthesis was accomplished by two way method (amic acid-polimide) instead of the well-known three way method (amic acid-imide-polyimide). Thermal characterization of monomers and their cured resins was achieved using differential thermal analysis (DTA), dynamic thermogravimetric analysis (TGA) and isothermal gravimetric analysis (IGA). The effect of halogen substituent, especially in the ortho postion, is clear in the imidization proces, while polymerization proceeds almost equally in all systems. Thermal properties of homo and copolymers were correlated with their chemical structures. (author). 15 refs., 4

  18. Contrasting the surface ocean distribution of bromoform and methyl iodide; implications for boundary layer physics, chemistry and climate

    Energy Technology Data Exchange (ETDEWEB)

    Palmer, C J, E-mail: carl.j.palmer@gmail.co [Department of Oceanography, University of Cape Town, 7701 (South Africa)

    2010-08-15

    Bromoform and methyl iodide are both methane-like hydrocarbons with a halogen atom replacing one or more of the hydrogen atoms. Both of these compounds occur naturally in the environment as a result of their production from seaweed and kelp. They are of interest to climate science as a result of their catalytic destruction of boundary layer ozone (a potent greenhouse gas) and, specifically for methyl iodide, the proposed role in the formation of new cloud condensation nuclei with implications for climate. In this paper, the currently available data on the distribution of bromoform and methyl iodide are analysed and contrasted to show that the concentrations of bromoform and methyl iodide do not correlate, that, in contrast to bromoform, the parameterization of sea surface methyl iodide concentrations demands only the sea surface temperature, and that the pelagic distribution of methyl iodide appears to follow the solar zenith angle. These three observations together suggest that, while the pelagic source of bromoform is mostly biogenic, the source of methyl iodide is photochemical. This has implications for the understanding of planetary boundary layer chemistry and potential organohalogen mediated feedbacks to climate.

  19. Contrasting the surface ocean distribution of bromoform and methyl iodide; implications for boundary layer physics, chemistry and climate

    International Nuclear Information System (INIS)

    Palmer, C J

    2010-01-01

    Bromoform and methyl iodide are both methane-like hydrocarbons with a halogen atom replacing one or more of the hydrogen atoms. Both of these compounds occur naturally in the environment as a result of their production from seaweed and kelp. They are of interest to climate science as a result of their catalytic destruction of boundary layer ozone (a potent greenhouse gas) and, specifically for methyl iodide, the proposed role in the formation of new cloud condensation nuclei with implications for climate. In this paper, the currently available data on the distribution of bromoform and methyl iodide are analysed and contrasted to show that the concentrations of bromoform and methyl iodide do not correlate, that, in contrast to bromoform, the parameterization of sea surface methyl iodide concentrations demands only the sea surface temperature, and that the pelagic distribution of methyl iodide appears to follow the solar zenith angle. These three observations together suggest that, while the pelagic source of bromoform is mostly biogenic, the source of methyl iodide is photochemical. This has implications for the understanding of planetary boundary layer chemistry and potential organohalogen mediated feedbacks to climate.

  20. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  1. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  2. Development of non-halogen cables for nuclear power stations

    Energy Technology Data Exchange (ETDEWEB)

    Yagyu, Hideki; Yamamoto, Yasuaki; Onishi, Takao (Hitachi Cable, Ltd., Tokyo (Japan))

    1983-12-01

    The non-halogen fire-resistant cables for nuclear power stations which never generate halogen gas, have been developed. The cables comprise the insulator of EP rubber and the sheath of polyolefine containing non-halogen inorganic fire-retardant. The results of the environmental test and fire-resistance test are described. In the environmental test, the cables were subjected to the heating, gamma-irradiation and steam exposure successively, according to IEEE specification 323,383, and subsequently the change in the appearance, tensile strength and electrical performance of the cables was measured. In the fire-resistance test, the vertical tray fire test according to the IEEE specification 383 was adopted, and other tests including the vertical fire test on insulator cores, oxygen index, the generation of corrosive gas, copper mirror corrosion test, gas toxicity test and optical smoke density test were carried out. It became clear that the cables did not generate halogen gas on burning, and brought about reduced toxicity, corrosion and smoke, and that the safety against fire is greatly improved by using the cables.

  3. Development of non-halogen cables for nuclear power stations

    International Nuclear Information System (INIS)

    Yagyu, Hideki; Yamamoto, Yasuaki; Onishi, Takao

    1983-01-01

    The non-halogen fire-resistant cables for nuclear power stations which never generate halogen gas, have been developed. The cables comprise the insulator of EP rubber and the sheath of polyolefine containing non-halogen inorganic fire-retardant. The results of the environmental test and fire-resistance test are described. In the environmental test, the cables were subjected to the heating, gamma-irradiation and steam exposure successively, according to IEEE specification 323,383, and subsequently the change in the appearance, tensile strength and electrical performance of the cables was measured. In the fire-resistance test, the vertical tray fire test according to the IEEE specification 383 was adopted, and other tests including the vertical fire test on insulator cores, oxygen index, the generation of corrosive gas, copper mirror corrosion test, gas toxicity test and optical smoke density test were carried out. It became clear that the cables did not generate halogen gas on burning, and brought about reduced toxicity, corrosion and smoke, and that the safety against fire is greatly improved by using the cables. (Yoshitake, I.)

  4. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  5. Process for reducing halogen impurities in oil products

    Energy Technology Data Exchange (ETDEWEB)

    Basler, F.

    1990-08-14

    Oil products, in particular waste oils, may be efficiently reprocessed according to an economic and technically simple method for removing impurities, notably halogens. In this method, the oil product is treated at temperatures up to about 150{degree}C with an effective amount of an aqueous solution of at least one compound selected from the group consisting of a strong acid, a salt of a weak base and a strong acid and precursors thereof. The oil product obtained in this step is treated at increased temperatures with at least one halogen binding agent. The water and/or solids from the product so treated are separated out. The process of the invention can be carried out in a conventional stripping apparatus. The strong acid used in the first step is preferably selected from sulfurous acid, phosphoric acid, phosphorous acid, and phosphonic acid. The salt of the weak base and strong acid is preferably ammonium sulfate, ammonium bisulfate, ammonium sulfite, diammonium hydrogen phosphate, ammonium dihydrogen phosphate, ammonium phosphite, and ammonium phosphonic acid. The second step of the method is preferably a coagulation step in which organic halogen compounds break down into hydrogen halides which are neutralized by the added halogen binding agents. The preferred halogen binding agents are ammonia and/or an organic base. The coagulation is preferably carried out in heat exchangers so that the oil is heated in 3 stages and the oil from each stage is passed through a cascade tower. In the third step, additives may be used to enhance separation of the oil. Experiments are described to illustrate the method of the invention. 1 tab.

  6. Participation of the Halogens in Photochemical Reactions in Natural and Treated Waters

    Directory of Open Access Journals (Sweden)

    Yi Yang

    2017-10-01

    Full Text Available Halide ions are ubiquitous in natural waters and wastewaters. Halogens play an important and complex role in environmental photochemical processes and in reactions taking place during photochemical water treatment. While inert to solar wavelengths, halides can be converted into radical and non-radical reactive halogen species (RHS by sensitized photolysis and by reactions with secondary reactive oxygen species (ROS produced through sunlight-initiated reactions in water and atmospheric aerosols, such as hydroxyl radical, ozone, and nitrate radical. In photochemical advanced oxidation processes for water treatment, RHS can be generated by UV photolysis and by reactions of halides with hydroxyl radicals, sulfate radicals, ozone, and other ROS. RHS are reactive toward organic compounds, and some reactions lead to incorporation of halogen into byproducts. Recent studies indicate that halides, or the RHS derived from them, affect the concentrations of photogenerated reactive oxygen species (ROS and other reactive species; influence the photobleaching of dissolved natural organic matter (DOM; alter the rates and products of pollutant transformations; lead to covalent incorporation of halogen into small natural molecules, DOM, and pollutants; and give rise to certain halogen oxides of concern as water contaminants. The complex and colorful chemistry of halogen in waters will be summarized in detail and the implications of this chemistry for global biogeochemical cycling of halogen, contaminant fate in natural waters, and water purification technologies will be discussed.

  7. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  8. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  9. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  10. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  11. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  12. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  13. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  14. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  15. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  16. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  17. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  18. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  19. Texture variations and atomic dislocations by Ar-irradiation in Au and NbN sputtered layers

    International Nuclear Information System (INIS)

    Jung, V.

    1988-02-01

    Irradiation of Au and NbN sputtered layers with Ar ++ ions of 600 keV leads to a narrower orientation distribution of the [111] direction of the Au layers from 12 0 FWHM to 6 0 and to only very small FWHM changes in texture distributions of the NbN layers. But the FWHM of the reflex distribution of the irradiated NbN layers is increased significantly from ΔΘ = 0.65 0 to 1.17 0 for one sample position. This is caused by small atomic dislocations in the NbN lattice. The FWHM of reflex distribution of the Au layers increased only from ΔΘ = 0.60 0 to 0.65 0 after irradiation. Oblique incidence of Ar ++ ions causes, by absence of channeling, stronger distortions than perpendicular incidence. (orig.) [de

  20. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  1. Induction of bacterial antibiotic resistance by mutagenic halogenated nitrogenous disinfection byproducts

    International Nuclear Information System (INIS)

    Lv, Lu; Yu, Xin; Xu, Qian; Ye, Chengsong

    2015-01-01

    Halogenated nitrogenous disinfection byproducts (N-DBPs) raise concerns regarding their mutagenicity and carcinogenicity threatening public health. However, environmental consequence of their mutagenicity has received less attention. In this study, the effect of halogenated N-DBPs on bacterial antibiotic resistance (BAR) was investigated. After exposure to bromoacetamide (BAcAm), trichloroacetonitrile (TCAN) or tribromonitromethane (TBNM), the resistance of Pseudomonas aeruginosa PAO1 to both individual and multiple antibiotics (ciprofloxacin, gentamicin, polymyxin B, rifampin, tetracycline, ciprofloxacin + gentamicin and ciprofloxacin + tetracycline) was increased, which was predominantly ascribed to the overexpression of efflux pumps. The mechanism of this effect was demonstrated to be mutagenesis through sequencing and analyzing antibiotic resistance genes. The same induction phenomena also appeared in Escherichia coli, suggesting this effect may be universal to waterborne pathogens. Therefore, more attention should be given to halogenated N-DBPs, as they could increase not only genotoxicological risks but also epidemiological risks of drinking water. - Highlights: • The halogenated N-DBPs could induce bacterial antibiotic resistance. • Both individual and multiple resistances could be induced. • Efflux mechanism played an important role in the induced antibiotic resistance. • The halogenated N-DBPs induced bacterial antibiotic resistance via mutagenesis. • Effects of N-DBPs on antibiotic resistance may be universal to waterborne pathogens. - Halogenated N-DBPs could increase antibiotic resistance, even multidrug resistance via mutagenesis, contributing to the enrichment of antibiotic resistant bacteria in drinking water

  2. Magnetic resonance of rubidium atoms passing through a multi-layered transmission magnetic grating

    International Nuclear Information System (INIS)

    Nagata, Y; Kurokawa, S; Hatakeyama, A

    2017-01-01

    We measured the magnetic resonance of rubidium atoms passing through periodic magnetic fields generated by two types of multi-layered transmission magnetic grating. One of the gratings reported here was assembled by stacking four layers of magnetic films so that the direction of magnetization alternated at each level. The other grating was assembled so that the magnetization at each level was aligned. For both types of grating, the experimental results were in good agreement with our calculations. We studied the feasibility of extending the frequency band of the grating and narrowing its resonance linewidth by performing calculations. For magnetic resonance precision spectroscopy, we conclude that the multi-layered transmission magnetic grating can generate periodic fields with narrower linewidths at higher frequencies when a larger number of layers are assembled at a shorter period length. Moreover, the frequency band of this type of grating can potentially achieve frequencies of up to hundreds of PHz. (paper)

  3. Spray Behavior and Atomization Characteristics of Biodiesel

    Science.gov (United States)

    Choi, Seung-Hun; Oh, Young-Taig

    Biodiesel has large amount of oxygen in itself, which make it very efficient in reducing exhaust emission by improving combustion inside an engine. But biodiesel has a low temperature flow problem because it has a high viscosity. In this study, the spray behavior and atomization characteristics were investigated to confirm of some effect for the combination of non-esterification biodiesel and fuel additive WDP and IPA. The process of spray was visualized through the visualization system composed of a halogen lamp and high speed camera, and atomization characteristics were investigated through LDPA. When blending WDP and IPA with biodiesel, atomization and spray characteristics were improved. Through this experimental result, SMD of blended fuel, WDP 25% and biodiesel 75%, was 33.9% reduced at distance 6cm from a nozzle tip under injection pressure 30MPa.

  4. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  5. Concentration-dependent multiple chirality transition in halogen-bond-driven 2D self-assembly process

    Science.gov (United States)

    Miao, Xinrui; Li, Jinxing; Zha, Bao; Miao, Kai; Dong, Meiqiu; Wu, Juntian; Deng, Wenli

    2018-03-01

    The concentration-dependent self-assembly of iodine substituted thienophenanthrene derivative (5,10-DITD) is investigated at the 1-octanic acid/graphite interface using scanning tunneling microscopy. Three kinds of chiral arrangement and transition of 2D molecular assembly mainly driven by halogen bonding is clearly revealed. At high concentration the molecules self-assembled into a honeycomb-like chiral network. Except for the interchain van der Waals forces, this pattern is stabilized by intermolecular continuous Cdbnd O⋯I⋯S halogen bonds in each zigzag line. At moderate concentration, a chiral kite-like nanoarchitecture are observed, in which the Cdbnd O⋯I⋯S and I⋯Odbnd C halogen bonds, along with the molecule-solvent Cdbnd O⋯I⋯H halogen bonds are the dominated forces to determine the structural formation. At low concentration, the molecules form a chiral cyclic network resulting from the solvent coadsorption mainly by molecule-molecule Cdbnd O⋯I⋯S halogen bonds and molecule-solvent Cdbnd O⋯I⋯H halogen bonds. The density of molecular packing becomes lower with the decreasing of the solution concentration. The solution-concentration dependent self-assembly of thienophenanthrene derivative with iodine and ester chain moieties reveals that the type of intermolecular halogen bond and the number of the co-adsorbing 1-octanic acids by molecule-solvent Cdbnd O⋯I⋯H halogen bonds determine the formation and transformation of chirality. This research emphasizes the role of different types of halogen (I) bonds in the controllable supramolecular structures and provides an approach for the fabrication of chirality.

  6. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  7. High energy halogen atom reactions activated by nuclear transformations

    International Nuclear Information System (INIS)

    Rack, E.P.

    1990-05-01

    This program, which has been supported for twenty-four years by the Us Atomic Energy Commission and its successor agencies, has produced significant advances in the understanding of the mechanisms of chemical activation by nuclear processes; the stereochemistry of radioactivity for solution of specific problems. This program was contributed to the training of approximately seventy scientists at various levels. This final report includes a review of the areas of research and chronological tabulation of the publications

  8. Radical Chemistry and Charge Manipulation with an Atomic Force Microscope

    Science.gov (United States)

    Gross, Leo

    The fuctionalization of tips by atomic manipulation dramatically increased the resolution of atomic force microscopy (AFM). The combination of high-resolution AFM with atomic manipulation now offers the unprecedented possibility to custom-design individual molecules by making and breaking bonds with the tip of the microscope and directly characterizing the products on the atomic scale. We recently applied this technique to generate and study reaction intermediates and to investigate chemical reactions trigged by atomic manipulation. We formed diradicals by dissociating halogen atoms and then reversibly triggered ring-opening and -closing reactions via atomic manipulation, allowing us to switch and control the molecule's reactivity, magnetic and optical properties. Additional information about charge states and charge distributions can be obtained by Kelvin probe force spectroscopy. On multilayer insulating films we investigated single-electron attachment, detachment and transfer between individual molecules. EU ERC AMSEL (682144), EU project PAMS (610446).

  9. Laser-assisted atom-atom collisions

    International Nuclear Information System (INIS)

    Roussel, F.

    1984-01-01

    The basic layer-assisted atom-atom collision processes are reviewed in order to get a simpler picture of the main physical facts. The processes can be separated into two groups: optical collisions where only one atom is changing state during the collision, the other acting as a spectator atom, and radiative collisions where the states of the two atoms are changing during the collision. All the processes can be interpreted in terms of photoexcitation of the quasimolecule formed during the collisional process. (author)

  10. Refined potentials for rare gas atom adsorption on rare gas and alkali-halide surfaces

    Science.gov (United States)

    Wilson, J. W.; Heinbockel, J. H.; Outlaw, R. A.

    1985-01-01

    The utilization of models of interatomic potential for physical interaction to estimate the long range attractive potential for rare gases and ions is discussed. The long range attractive force is calculated in terms of the atomic dispersion properties. A data base of atomic dispersion parameters for rare gas atoms, alkali ion, and halogen ions is applied to the study of the repulsive core; the procedure for evaluating the repulsive core of ion interactions is described. The interaction of rare gas atoms on ideal rare gas solid and alkali-halide surfaces is analyzed; zero coverage absorption potentials are derived.

  11. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  12. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  13. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  14. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    Energy Technology Data Exchange (ETDEWEB)

    Cerbu, F.; Madia, O.; Afanas' ev, V. V.; Houssa, M.; Stesmans, A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Andreev, D. V. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Bauman Moscow State Technical University—Kaluga Branch, 248000 Kaluga, Moscow obl. (Russian Federation); Fadida, S.; Eizenberg, M. [Department of Materials Science and Engineering, Technion-Israel Institute of Technology, 32000 Haifa (Israel); Breuil, L. [imec, 3001 Leuven (Belgium); Lisoni, J. G. [imec, 3001 Leuven (Belgium); Institute of Physics and Mathematics, Faculty of Science, Universidad Austral de Chile, Valdivia (Chile); Kittl, J. A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, 78754 Texas (United States); Strand, J.; Shluger, A. L. [Department of Physics and Astronomy, University College London, London WC1E 6BT (United Kingdom)

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behavior of HfO{sub 2}, suggesting that alternative defect models should be considered.

  15. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  16. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  17. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  18. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  19. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  20. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  1. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  2. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  3. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  4. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    Science.gov (United States)

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. First-principles study of the effects of halogen dopants on the properties of intergranular films in silicon nitride ceramics

    International Nuclear Information System (INIS)

    Painter, Gayle S.; Becher, Paul F.; Kleebe, H.-J.; Pezzotti, G.

    2002-01-01

    The nanoscale intergranular films that form in the sintering of ceramics often occur as adherent glassy phases separating the crystalline grains in the ceramic. Consequently, the properties of these films are often equal in importance to those of the constituent grains in determining the ceramic's properties. The measured characteristics of the silica-rich phase separating the crystalline grains in Si 3 N 4 and many other ceramics are so reproducible that SiO 2 has become a model system for studies of intergranular films (IGF's). Recently, the influence of fluorine and chlorine dopants in SiO 2 -rich IGF's in silicon nitride was precisely documented by experiment. Along with the expected similarities between the halogens, some dramatically contrasting effects were found. But the atomic-scale mechanisms distinguishing the effects F and Cl on IGF behavior have not been well understood. First-principles density functional calculations reported here provide a quantum-level description of how these dopant-host interactions affect the properties of IGF's, with specific modeling of F and Cl in the silica-rich IGF in silicon nitride. Calculations were carried out for the energetics, structural changes, and forces on the atoms making up a model cluster fragment of an SiO 2 intergranular film segment in silicon nitride with and without dopants. Results show that both anions participate in the breaking of bonds within the IGF, directly reducing the viscosity of the SiO 2 -rich film and promoting decohesion. Observed differences in the way fluorine and chlorine affect IGF behavior become understandable in terms of the relative stabilities of the halogens as they interact with Si atoms that have lost one if their oxygen bridges

  6. Synthesis of Isotactic-block-Syndiotactic Poly(methyl Methacrylate via Stereospecific Living Anionic Polymerizations in Combination with Metal-Halogen Exchange, Halogenation, and Click Reactions

    Directory of Open Access Journals (Sweden)

    Naoya Usuki

    2017-12-01

    Full Text Available Isotactic (it- and syndiotactic (st- poly(methyl methacrylates (PMMAs form unique crystalline stereocomplexes, which are attractive from both fundamental and application viewpoints. This study is directed at the efficient synthesis of it- and st-stereoblock (it-b-st- PMMAs via stereospecific living anionic polymerizations in combination with metal-halogen exchange, halogenation, and click reactions. The azide-capped it-PMMA was prepared by living anionic polymerization of MMA, which was initiated with t-BuMgBr in toluene at –78 °C, and was followed by termination using CCl4 as the halogenating agent in the presence of a strong Lewis base and subsequent azidation with NaN3. The alkyne-capped st-PMMA was obtained by living anionic polymerization of MMA, which was initiated via an in situ metal-halogen exchange reaction between 1,1-diphenylhexyl lithium and an α-bromoester bearing a pendent silyl-protected alkyne group. Finally, copper-catalyzed alkyne-azide cycloaddition (CuAAC between these complimentary pairs of polymers resulted in a high yield of it-b-st-PMMAs, with controlled molecular weights and narrow molecular weight distributions. The stereocomplexation was evaluated in CH3CN and was affected by the block lengths and ratios.

  7. Halogen bonding: A new retention mechanism for the solid phase extraction of perfluorinated iodoalkanes

    International Nuclear Information System (INIS)

    Yan Xiaoqing; Shen Qianjin; Zhao Xiaoran; Gao Haiyue; Pang Xue; Jin Weijun

    2012-01-01

    Highlights: ► Halogen bonding (XB) is firstly utilised in solid phase extraction. ► The perfluorinated iodine alkanes can be extracted by C-I⋯Cl − halogen bonding. ► The C-I⋯Cl − halogen bond is well characterised by spectroscopy methods. ► The analytes with strong halogen-bonding abilities can be selectively extracted. - Abstract: For the first time, halogen-bonding interaction is utilised in the solid phase extraction of perfluorinated iodoalkane (PFI). Nine PFIs, as model analytes, were tested, and analyses by UV, 19 F NMR and Raman spectroscopies demonstrate that the PFIs are extracted by a strong anion exchange (SAX) sorbent from n-hexane due to the C-I⋯Cl − halogen-bonding interactions. The results also show that the adsorptivities of SAX for the diiodoperfluoro-alkanes (diiodo-PFIs) were much stronger than those for the perfluoroalkyl iodides (monoiodo-PFIs). Specifically, the recoveries for 1,6-diiodoperfluorohexane and 1,8-diiodoperfluorooctane were higher than 80% when 100 mL of sample spiked with a 5 ng mL −1 analyte mixture was extracted. Interestingly, SAX had no adsorption for hexafluorobenzene at all, which is known to be unable to form a halogen bond with Cl − . The analytical performance of the halogen bond-based SPE-GC–MS method for the diiodo-PFIs was also examined in soil samples. The sorbent SAX enabled the selective extraction of four diiodo-PFIs successfully from soil samples. The recoveries of the diiodo-PFIs extracted from 5 g soil sample at the 100 ng g −1 spike level were in the range of 73.2–93.8% except 26.8% for 1,2-diiodoperfluoroethane. The limit of detection varied from 0.02 to 0.04 ng g −1 in soil samples. Overall, this work reveals the great application potential of halogen bonding in the field of solid phase extraction to selectively extract compounds with strong halogen-bonding abilities.

  8. Ultraviolet radiation and blue-light emissions from spotlights incorporating tungsten halogen lamps

    CERN Document Server

    MacKinlay, Alistair F; Whillock, M J

    1989-01-01

    This report summarises measurements of the ultraviolet radiation and blue-light emissions from eleven 'desk-top' tungsten halogen (quartz) lamps and one 'floor-standing' tungsten halogen (quartz) lamp available in the UK. Values of occupational hazard weighted and erythemally weighted ultraviolet radiation irradiance and measurements and relevant calculations of blue-light hazards are presented. It is concluded that the safety design of some desk-top tungsten halogen lamps is inadequate to prevent unnecessary exposure of the skin to potentially harmful ultraviolet radiation. It is recommended that all tungsten halogen lamps should have sufficient filtration to reduce their ultraviolet emissions to an acceptably low level. As long as the comfort aversion responses of the eye are respected, direct viewing of the lamps examined should not constitute a retinal hazard.

  9. Ultraviolet radiation and blue-light emissions from spotlights incorporating tungsten halogen lamps

    International Nuclear Information System (INIS)

    McKinlay, A.F.; Whillock, M.J.; Meulemans, C.C.E.

    1989-07-01

    This report summarises measurements of the ultraviolet radiation and blue-light emissions from eleven 'desk-top' tungsten halogen (quartz) lamps and one 'floor-standing' tungsten halogen (quartz) lamp available in the UK. Values of occupational hazard weighted and erythemally weighted ultraviolet radiation irradiance and measurements and relevant calculations of blue-light hazards are presented. It is concluded that the safety design of some desk-top tungsten halogen lamps is inadequate to prevent unnecessary exposure of the skin to potentially harmful ultraviolet radiation. It is recommended that all tungsten halogen lamps should have sufficient filtration to reduce their ultraviolet emissions to an acceptably low level. As long as the comfort aversion responses of the eye are respected, direct viewing of the lamps examined should not constitute a retinal hazard. (author)

  10. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    Science.gov (United States)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  11. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  12. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  13. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  14. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  15. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  16. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  17. Determination of halogens by flame emission of metal halogenides

    International Nuclear Information System (INIS)

    Henrion, G.; Marquardt, D.; Stoecker, B.

    1979-01-01

    The A-B systems InF, InCl, InBr, and InI have been excited by laminar H 2 -N 2 flames in order to dermine individual halogens or their mixtures qualitatively or quantitatively. In optimizing the fuel gas composition two different behavior patterns have been found for band intensities, which are correlated with binding energies of InX (X = halogen). The low temperature of the flame leads to complicated matrix effects which first of all result from effects on excitation and from competitive reactions. In general, cations cause a decreased intensity. Therefore, salts have to be converted into hydrohalide acids by ion exchange. Qualitative determinations of individual halogens are possible at a 500 to 50,000fold excess of the others, whereas quantitative determinations can be performed at a 100 to 5,000fold excess in 10 -4 molar solutions with errors of 2 to 10 per cent. (author)

  18. Halogen systematics in the Mallik 5L-38 gas hydrate production research well, Northwest Territories, Canada: Implications for the origin of gas hydrates under terrestrial permafrost conditions

    International Nuclear Information System (INIS)

    Tomaru, Hitoshi; Fehn, Udo; Lu, Zunli; Matsumoto, Ryo

    2007-01-01

    The authors report here halogen concentrations in pore waters and sediments collected from the Mallik 5L-38 gas hydrate production research well, a permafrost location in the Mackenzie Delta, Northwest Territories, Canada. Iodine and Br are commonly enriched in waters associated with CH 4 , reflecting the close association between these halogens and source organic materials. Pore waters collected from the Mallik well show I enrichment, by one order of magnitude above that of seawater, particularly in sandy layers below the gas hydrate stability zone (GHSZ). Although Cl and Br concentrations increase with depth similar to the I profile, they remain below seawater values. The increase in I concentrations observed below the GHSZ suggests that I-rich fluids responsible for the accumulation of CH 4 in gas hydrates are preferentially transported through the sandy permeable layers below the GHSZ. The Br and I concentrations and I/Br ratios in Mallik are considerably lower than those in marine gas hydrate locations, demonstrating a terrestrial nature for the organic materials responsible for the CH 4 at the Mallik site. Halogen systematics in Mallik suggest that they are the result of mixing between seawater, freshwater and an I-rich source fluid. The comparison between I/Br ratios in pore waters and sediments speaks against the origin of the source fluids within the host formations of gas hydrates, a finding compatible with the results from a limited set of 129 I/I ratios determined in pore waters, which gives a minimum age of 29 Ma for the source material, i.e. at the lower end of the age range of the host formations. The likely scenario for the gas hydrate formation in Mallik is the derivation of CH 4 together with I from the terrestrial source materials in formations other than the host layers through sandy permeable layers into the present gas hydrate zones

  19. High-temperature peaks of thermostimulated luminescence in the ammonium halogens

    International Nuclear Information System (INIS)

    Kim, L.M.; Musenova, Eh.K.; Mukhamedrakhimov, K.U.

    2003-01-01

    The ammonium halogen crystals (AHC) are the close analogs of the alkali halogen crystals by the type of chemical bonds and crystal lattice structure. The ammonium halogen after irradiation by X-rays within 80-300 K range have two peaks of thermo-stimulation luminescence. Its maximums in dependence of anions type are in the 110-120 K and 170-180 K ranges. The first range is related with activation of auto-localized holes migration, and the second one - with the NH 3 + defects decay. Experimentally is established, that the pure ammonium halogens have memory about the previous irradiation at heating up to 300 K. After repeat irradiation the recombination luminescence high-temperature peak's shoulder is appearing. The second luminescence peak's shoulder revealing does not depend on the impurity center nature. It is known, that in the AHC there is the next thermo-stimulation luminescence peak within 340-360 K. The thermal annealing of this peak leads to the memory effect disappearance. So, the observing phenomenon is related with own defect of the matrix in the cation sublattice. Experimentally is established, that at a room temperature the AHC memorizing about previous irradiation during 20 h

  20. Retention efficiencies of halogenated and non-halogenated hydrocarbons in selected wetland ecosystem in Lake Victoria Basin

    Directory of Open Access Journals (Sweden)

    Shadrack Mule

    2015-06-01

    Full Text Available The determination of retention efficiencies of halogenated and non-halogenated hydrocarbon in selected wetland ecosystems in Lake Victoria basin was carried out. Qualitative and quantitative determination of the presence of residual hydrocarbons in Kigwal/Kimondi, Nyando and Nzoia wetland ecosystems using Gas Chromatography - Mass Spectrometer (GC-MS instrument indicated the presence of residual organochlorines, organophosphorus, carbamates and synthetic pyrethroid hydrocarbons in water, sediment and plant materials. In order to compare the retention efficiencies of the wetlands, the wetland ecosystems were divided into three different sections, namely: inlet, mid and outlet. Calculations of mass balances of residual halogenated and non-halogenated hydrocarbons at the respective sections was done taking into account the partition of the studied compounds in samples of water, sediments and papyrus reed plant materials and analyzed using validated Gas Chromatography - Mass Spectrometer (GC-MS method. From the analysis, several residual hydrocarbons namely: bendiocarb, benzene hexachloride (BHC, carbaryl, cypermethrin, decis, deltamethrin, diazinon, dieldrin, DDT, DDD, DDE, malathion, propoxur, sumithion, 5-phenylrhodanine, 1,3,5-trichlorobenzene, 1-(2-phenoxybenzylhydrazine were detected and quantified. The levels of the selected residual hydrocarbons in water samples were used to calculate the retention efficiencies of a specific hydrocarbon and the values recorded. Generally, River Nyando wetland recorded mean percentage retention efficiencies of 76 and 94% for dry and rainy seasons respectively; Kigwal/Kimondi wetland had seasonal mean percentage retention efficiencies of 63 to 78%. River Nzoia also had calculated seasonal mean percentage retention efficiencies of between 56 to 88%. Dry season had lower mean percentages retention efficiencies as compared to rainy season in the three wetlands of interest during the period of study. The study

  1. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  2. Atomic Resolution Structural and Chemical Imaging Revealing the Sequential Migration of Ni, Co, and Mn upon the Battery Cycling of Layered Cathode

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Ji-Guang; Wang, Chongmin

    2017-05-11

    Layered lithium transition metal oxides (LTMO) are promising candidate cathode materials for next generation high energy density lithium ion battery. The challenge for using this category of cathode is the capacity and voltage fading, which is believed to be associated with the layered structure disordering, a process that is initiated from the surface or solid-electrolyte interface and facilitated by transition metal (TM) reduction and oxygen vacancy formation. However, the atomic level dynamic mechanism of such a layered structure disordering is still not fully clear. In this work, utilizing atomic resolution electron energy loss spectroscopy (EELS), we map, for the first time at atomic scale, the spatial evolution of Ni, Co and Mn in a cycled LiNi1/3M1/3Co1/3O2 layered cathode. In combination with atomic level structural imaging, we discovered the direct correlation of TM ions migration behavior with lattice disordering, featuring the residing of TM ions in the tetrahedral site and a sequential migration of Ni, Co, and Mn upon the increased lattice disordering of the layered structure. This work highlights that Ni ions, though acting as the dominant redox species in many LTMO, are labile to migrate to cause lattice disordering upon battery cycling; while the Mn ions are more stable as compared with Ni and Co and can act as pillar to stabilize layered structure. Direct visualization of the behavior of TM ions during the battery cycling provides insight for designing of cathode with structural stability and correspondingly a superior performance.

  3. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  4. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  5. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  6. Global Observations of Inorganic Gases in the Remote Atmosphere - First Observations from the Atmospheric Tomography Mission (ATom)

    Science.gov (United States)

    Veres, P. R.; Neuman, J. A.

    2017-12-01

    The Atmospheric Tomography Mission (ATom) is a NASA field program that investigates the impact of human emissions on air quality and climate in remote regions of the atmosphere. NASA DC-8 flights during the ATom sampled the atmosphere over the Pacific and Atlantic Oceans, up to 12 km altitude and nearly from pole to pole. New observations of key species (e.g. N2O5, reactive halogens, nitrous acid) in these regions are provided during the third deployment of the NASA DC-8 research aircraft (October, 2017) by the NOAA iodide ion time-of-flight chemical ionization mass spectrometer (iCIMS). In this study, we will present the first observations of inorganic gas-phase species using iCIMS from the ATom 3 deployment. Laboratory results detailing the instrument performance including inlet response times, background characterization and sensitivity will be presented. We will show vertical profiles of newly measured trace gases derived from in-situ observations, and discuss the potential impact on the NOx, NOy and reactive halogen budgets.

  7. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  8. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  9. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  10. Halogens determination in vegetable NBS standard reference materials

    International Nuclear Information System (INIS)

    Stella, R.; Genova, N.; Di Casa, M.

    1977-01-01

    Levels of all four halogens in Orchard Leaves, Pine Needles and Tomato Leaves NBS reference standards were determined. For fluorine a spiking isotope dilution method was used followed by HF absorption on glass beads. Instrumental nuclear activation analysis was adopted for chlorine and bromine determination. Radiochemical separation by a distillation procedure was necessary for iodine nuclear activation analysis after irradiation. Activation parameters of Cl, Br and I are reported. Results of five determinations for each halogen in Orchard Leaves, Pine Needles and Tomato Leaves NBS Standard Materials and Standard deviations of the mean are reported. (T.I.)

  11. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  12. Gas phase acid, ammonia and aerosol ionic and trace element concentrations at Cape Verde during the Reactive Halogens in the Marine Boundary Layer (RHaMBLe) 2007 intensive sampling period

    Science.gov (United States)

    Sander, R.; Pszenny, A. A. P.; Keene, W. C.; Crete, E.; Deegan, B.; Long, M. S.; Maben, J. R.; Young, A. H.

    2013-12-01

    We report mixing ratios of soluble reactive trace gases sampled with mist chambers and the chemical composition of bulk aerosol and volatile inorganic bromine (Brg) sampled with filter packs during the Reactive Halogens in the Marine Boundary Layer (RHaMBLe) field campaign at the Cape Verde Atmospheric Observatory (CVAO) on São Vicente island in the tropical North Atlantic in May and June 2007. The gas-phase data include HCl, HNO3, HONO, HCOOH, CH3COOH, NH3, and volatile reactive chlorine other than HCl (Cl*). Aerosol samples were analyzed by neutron activation (Na, Al, Cl, V, Mn, and Br) and ion chromatography (SO42-, Cl-, Br-, NH4+, Na+, K+, Mg2+, and Ca2+). Content and quality of the data, which are available under doi:10.5281/zenodo.6956, are presented and discussed.

  13. Gas phase acid, ammonia and aerosol ionic and trace element concentrations at Cape Verde during the Reactive Halogens in the Marine Boundary Layer (RHaMBLe 2007 intensive sampling period

    Directory of Open Access Journals (Sweden)

    R. Sander

    2013-12-01

    Full Text Available We report mixing ratios of soluble reactive trace gases sampled with mist chambers and the chemical composition of bulk aerosol and volatile inorganic bromine (Brg sampled with filter packs during the Reactive Halogens in the Marine Boundary Layer (RHaMBLe field campaign at the Cape Verde Atmospheric Observatory (CVAO on São Vicente island in the tropical North Atlantic in May and June 2007. The gas-phase data include HCl, HNO3, HONO, HCOOH, CH3COOH, NH3, and volatile reactive chlorine other than HCl (Cl*. Aerosol samples were analyzed by neutron activation (Na, Al, Cl, V, Mn, and Br and ion chromatography (SO42−, Cl−, Br−, NH4+, Na+, K+, Mg2+, and Ca2+. Content and quality of the data, which are available under doi:10.5281/zenodo.6956, are presented and discussed.

  14. Halogen Bonding Involving CO and CS with Carbon as the Electron Donor

    Directory of Open Access Journals (Sweden)

    Janet E. Del Bene

    2017-11-01

    Full Text Available MP2/aug’-cc-pVTZ calculations have been carried out to investigate the halogen-bonded complexes formed when CO and CS act as electron-pair donors through C to ClF, ClNC, ClCl, ClOH, ClCN, ClCCH, and ClNH2. CO forms only complexes stabilized by traditional halogen bonds, and all ClY molecules form traditional halogen-bonded complexes with SC, except ClF which forms only an ion-pair complex. Ion-pair complexes are also found on the SC:ClNC and SC:ClCl surfaces. SC:ClY complexes stabilized by traditional halogen bonds have greater binding energies than the corresponding OC:ClY complexes. The largest binding energies are found for the ion-pair SC–Cl+:−Y complexes. The transition structures which connect the complex and the ion pair on SC:ClNC and SC:ClCl potential surfaces provide the barriers for inter-converting these structures. Charge-transfer from the lone pair on C to the σ-hole on Cl is the primary charge-transfer interaction stabilizing OC:ClY and SC:ClY complexes with traditional halogen bonds. A secondary charge-transfer occurs from the lone pairs on Cl to the in-plane and out-of-plane π antibonding orbitals of ClY. This secondary interaction assumes increased importance in the SC:ClNH2 complex, and is a factor leading to its unusual structure. C–O and C–S stretching frequencies and 13C chemical shieldings increase upon complex formation with ClY molecules. These two spectroscopic properties clearly differentiate between SC:ClY complexes and SC–Cl+:−Y ion pairs. Spin–spin coupling constants 1xJ(C–Cl for OC:ClY complexes increase with decreasing distance. As a function of the C–Cl distance, 1xJ(C–Cl and 1J(C–Cl provide a fingerprint of the evolution of the halogen bond from a traditional halogen bond in the complexes, to a chlorine-shared halogen bond in the transition structures, to a covalent bond in the ion pairs.

  15. Atomic Layer Deposition of Stable LiAlF4 Lithium Ion Conductive Interfacial Layer for Stable Cathode Cycling.

    Science.gov (United States)

    Xie, Jin; Sendek, Austin D; Cubuk, Ekin D; Zhang, Xiaokun; Lu, Zhiyi; Gong, Yongji; Wu, Tong; Shi, Feifei; Liu, Wei; Reed, Evan J; Cui, Yi

    2017-07-25

    Modern lithium ion batteries are often desired to operate at a wide electrochemical window to maximize energy densities. While pushing the limit of cutoff potentials allows batteries to provide greater energy densities with enhanced specific capacities and higher voltage outputs, it raises key challenges with thermodynamic and kinetic stability in the battery. This is especially true for layered lithium transition-metal oxides, where capacities can improve but stabilities are compromised as wider electrochemical windows are applied. To overcome the above-mentioned challenges, we used atomic layer deposition to develop a LiAlF 4 solid thin film with robust stability and satisfactory ion conductivity, which is superior to commonly used LiF and AlF 3 . With a predicted stable electrochemical window of approximately 2.0 ± 0.9 to 5.7 ± 0.7 V vs Li + /Li for LiAlF 4 , excellent stability was achieved for high Ni content LiNi 0.8 Mn 0.1 Co 0.1 O 2 electrodes with LiAlF 4 interfacial layer at a wide electrochemical window of 2.75-4.50 V vs Li + /Li.

  16. A Survey of Electron Impact Cross-Sections for Halogens and Halogen Compounds of Interest to Plasma Processing

    Science.gov (United States)

    Sharma, S. P.; Rao, M. V. V. S.; Arnold, James O. (Technical Monitor)

    1998-01-01

    Published electron impact cross section data on halogens Cl2, F2, and halogen containing compounds such as Cx Fy, HCl, Cx Cly Fz are reviewed and critically evaluated based on the information provided by various researchers. The present work reports data on electron impact excitation, ionization, dissociation, electron attachment, electron detachment, and photo detachment. Elastic scattering cross sections and data on bulk properties such as diffusion coefficients in various background gases are also evaluated. Since some of the cross sectional data is derived from indirect measurements such as drift velocity, care has been taken to reconcile the differences among the reported data with due attention to the measurement technique. In conclusion, the processes with no or very limited amount of data and questionable set of data are identified and recommendation for further research direction is made.

  17. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  18. Estrogenicity of halogenated bisphenol A: in vitro and in silico investigations.

    Science.gov (United States)

    Zhang, Jie; Li, Tiezhu; Wang, Tuoyi; Yuan, Cuiping; Zhong, Shuning; Guan, Tianzhu; Li, Zhuolin; Wang, Yongzhi; Yu, Hansong; Luo, Quan; Wang, Yongjun; Zhang, Tiehua

    2018-03-01

    The binding interactions of bisphenol A (BPA) and its halogenated derivatives (halogenated BPAs) to human estrogen receptor α ligand binding domain (hERα-LBD) was investigated using a combined in vitro and in silico approach. First, the recombinant hERα-LBD was prepared as a soluble protein in Escherichia coli BL21(DE3)pLysS. A native fluorescent phytoestrogen, coumestrol, was employed as tracer for the fluorescence polarization assay. The results of the in vitro binding assay showed that bisphenol compounds could bind to hERα-LBD as the affinity ligands. All the tested halogenated BPAs exhibited weaker receptor binding than BPA, which might be explained by the steric effect of substituents. Molecular docking studies elucidated that the halogenated BPAs adopted different conformations in the flexible hydrophobic ligand binding pocket (LBP), which is mainly dependent on their distinct halogenation patterns. The compounds with halogen substituents on the phenolic rings and on the bridging alkyl moiety acted as agonists and antagonists for hERα, respectively. Interestingly, all the compounds in the agonist conformation of hERα formed a hydrogen bond with His524, while the compounds in the antagonist conformation formed a hydrogen bond with Thr347. These docking results suggested a pivotal role of His524/Thr347 in maintaining the hERα structure in the biologically active agonist/antagonist conformation. Comparison of the calculated binding energies vs. experimental binding affinities yielded a good correlation, which might be applicable for the structure-based design of novel bisphenol compounds with reduced toxicities and for environmental risk assessment. In addition, based on hERα-LBD as a recognition element, the proposed fluorescence polarization assay may offer an alternative to chromatographic techniques for the multi-residue determination of bisphenol compounds.

  19. Halogen degassing during ascent and eruption of water-poor basaltic magma

    Science.gov (United States)

    Edmonds, M.; Gerlach, T.M.; Herd, Richard A.

    2009-01-01

    A study of volcanic gas composition and matrix glass volatile concentrations has allowed a model for halogen degassing to be formulated for K??lauea Volcano, Hawai'i. Volcanic gases emitted during 2004-2005 were characterised by a molar SO2/HCl of 10-64, with a mean of 33; and a molar HF/HCl of 0-5, with a mean of 1.0 (from approximately 2500 measurements). The HF/HCl ratio was more variable than the SO2/HCl ratio, and the two correlate weakly. Variations in ratio took place over rapid timescales (seconds). Matrix glasses of Pele's tears erupted in 2006 have a mean S, Cl and F content of 67, 85 and 173??ppm respectively, but are associated with a large range in S/F. A model is developed that describes the open system degassing of halogens from parental magmas, using the glass data from this study, previously published results and parameterisation of sulphur degassing from previous work. The results illustrate that halogen degassing takes place at pressures of < 1??MPa, equivalent to < ~ 35??m in the conduit. Fluid-melt partition coefficients for Cl and F are low (< 1.5); F only degasses appreciably at < 0.1??MPa above atmospheric pressure, virtually at the top of the magma column. This model reproduces the volcanic gas data and other observations of volcanic activity well and is consistent with other studies of halogen degassing from basaltic magmas. The model suggests that variation in volcanic gas halogen ratios is caused by exsolution and gas-melt separation at low pressures in the conduit. There is no evidence that either diffusive fractionation or near-vent chemical reactions involving halogens is important in the system, although these processes cannot be ruled out. The fluxes of HCl and HF from K??lauea during 2004-5 were ~ 25 and 12??t/d respectively. ?? 2008 Elsevier B.V.

  20. Optical and vibrational properties of sulfur and selenium versus halogens in hydrogenated amorphous silicon matrix

    International Nuclear Information System (INIS)

    Al-Alawi, S.M.; Al-Dallal, S.

    1999-01-01

    The infrared spectra of a compositional variation series of alpha-Si,S:H; alpha-Si,Se:H, alpha-Si:Cl, H and alpha-Si:F,H thin films were deposited by r.f. glow discharge were compared. It was shown that S, Se, Cl and F can be bonded to the silicon matrix. The stretching mode bands at 2000 cm/sup -1/. and 2100 cm/sup -1/ in the infrared spectra of the above alloys shifts systematically to higher wave numbers when incorporated S,Se or halogen atoms are increases. This observation was attributed to the larger electronegativity of these atoms with respect to the host matrix. Optical transmission spectroscopy and photothermal deflection experiments reveal an increase in the band gap when the content of any of the above elements is increased. However, the highest band gap was obtained for sulfur alloys. This result was interpreted in terms of the S-Si bond strength as compared to other elements. It was found that alpha-Si, S:H was interpreted in terms of the S-Si alloys exhibit the highest structural stability among the four alloys for moderate amount of incorporated sulfur atoms. (author)

  1. Negative ion formation in collisions involving excited alkali atoms

    International Nuclear Information System (INIS)

    Cheret, M.

    1988-01-01

    Ion-pair production is considered as the prototype of the crossing problem between potential energy curves. In general an alkali atom is one of the reactants the other being an halogen, hydrogen atom or molecule. Experimental results are generally analyzed in the framework of the Landau-Zener-Stuekelberg theory, ionization potential and electron affinity, being the most important parameters. In order to vary these parameters over a wide range two experimental works have been devoted to systems of excited alkali atoms colliding with ground state alkali atoms. In the first study Rb atoms are excited to various ns or nd states from Rb(5d) to Rb(9s) in a cell. The second study is devoted to the Na(3p)-Na(3s) system, in this study also the possibility of creating excited negative ions (Na - (3s3p)) has been investigated. These results are presented and analyzed. Finally further developments of the subject are suggested. 17 refs.; 8 figs.; 1 table

  2. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  3. Interlayer electron-hole pair multiplication by hot carriers in atomic layer semiconductor heterostructures

    Science.gov (United States)

    Barati, Fatemeh; Grossnickle, Max; Su, Shanshan; Lake, Roger; Aji, Vivek; Gabor, Nathaniel

    Two-dimensional heterostructures composed of atomically thin transition metal dichalcogenides provide the opportunity to design novel devices for the study of electron-hole pair multiplication. We report on highly efficient multiplication of interlayer electron-hole pairs at the interface of a tungsten diselenide / molybdenum diselenide heterostructure. Electronic transport measurements of the interlayer current-voltage characteristics indicate that layer-indirect electron-hole pairs are generated by hot electron impact excitation. Our findings, which demonstrate an efficient energy relaxation pathway that competes with electron thermalization losses, make 2D semiconductor heterostructures viable for a new class of hot-carrier energy harvesting devices that exploit layer-indirect electron-hole excitations. SHINES, an Energy Frontier Research Center funded by the U.S. Department of Energy, Air Force Office of Scientific Research.

  4. Determination of inorganic arsenic in algae using bromine halogenation and on-line nonpolar solid phase extraction followed by hydride generation atomic flourescence spectrometry

    Science.gov (United States)

    Accurate, stable and fast analysis of toxic inorganic arsenic (iAs) in complicated and arsenosugar-rich algae matrix is always a challenge. Herein, a novel analytical method for iAs in algae was reported, using bromine halogenation and on-line nonpolar solid phase extraction (SPE) followed by hydrid...

  5. Recognition of a novel type X═N-Hal···Hal (X = C, S, P; Hal = F, Cl, Br, I) halogen bonding.

    Science.gov (United States)

    Gushchin, Pavel V; Kuznetsov, Maxim L; Haukka, Matti; Kukushkin, Vadim Yu

    2013-04-04

    The chlorination of the eight-membered platinum(II) chelates [PtCl2{NH═C(NR2)N(Ph)C(═NH)N(Ph)C(NR2)═NH}] (R = Me (1); R2 = (CH2)5 (2)) with uncomplexed imino group with Cl2 gives complexes bearing the ═N-Cl moiety [PtCl4{NH═C(NR2)N(Ph)C(═NCl)N(Ph)C(NR2)═NH}] (R = Me (3); R2 = (CH2)5 (4)). X-ray study for 3 revealed a novel type intermolecular halogen bonding ═N-Cl···Cl(-), formed between the Cl atom of the chlorinated imine and the chloride bound to the platinum(IV) center. The processing relevant structural data retrieved from the Cambridge Structural Database (CSDB) shows that this type of halogen bonding is realized in 18 more molecular species having X═N-Hal moieties (X = C, P, S, V, W; Hal = Cl, Br, I), but this weak ═N-Hal···Hal(-) bonding was totally neglected in the previous works. The presence of the halogen bonding in 3 was confirmed by theoretical calculations at the density functional theory (DFT, M06-2X) level, and its nature was analyzed.

  6. Atomic diffusion induced degradation in bimetallic layer coated cemented tungsten carbide

    International Nuclear Information System (INIS)

    Peng, Zirong; Rohwerder, Michael; Choi, Pyuck-Pa; Gault, Baptiste; Meiners, Thorsten; Friedrichs, Marcel; Kreilkamp, Holger; Klocke, Fritz; Raabe, Dierk

    2017-01-01

    Highlights: • We study the temporal degradation of PtIr/Cr/WC and PtIr/Ni/WC systems. • Short cut diffusion, segregation, oxidation and interdiffusion reactions occurred. • Outward diffusion of Cr (Ni) via PtIr grain boundaries triggered the degradation. • The microstructure of the PtIr layer controlled the systems stability. • We propose an atomic diffusion induced degradation mechanism. - Abstract: We investigated the temporal degradation of glass moulding dies, made of cemented tungsten carbide coated with PtIr on an adhesive Cr or Ni interlayer, by electron microscopy and atom probe tomography. During the exposure treatments at 630 °C under an oxygen partial pressure of 1.12 × 10"−"2"3 bar, Cr (Ni) was found to diffuse outwards via grain boundaries in the PtIr, altering the surface morphology. Upon dissolution of the interlayer, the WC substrate also started degrading. Extensive interdiffusion processes involving PtIr, Cr (Ni) and WC took place, leading to the formation of intermetallic phases and voids, deteriorating the adhesion of the coating.

  7. Process for removing halogenated aliphatic and aromatic compounds from petroleum products

    Science.gov (United States)

    Googin, J.M.; Napier, J.M.; Travaglini, M.A.

    1983-09-20

    A process is described for removing halogenated aliphatic and aromatic compounds, e.g., polychlorinated biphenyls, from petroleum products by solvent extraction. The halogenated aliphatic and aromatic compounds are extracted from a petroleum product into a polar solvent by contacting the petroleum product with the polar solvent. The polar solvent is characterized by a high solubility for the extracted halogenated aliphatic and aromatic compounds, a low solubility for the petroleum product and considerable solvent power for polyhydroxy compound. The preferred polar solvent is dimethylformamide. A miscible compound, such as, water or a polyhydroxy compound, is added to the polar extraction solvent to increase the polarity of the polar extraction solvent. The halogenated aliphatic and aromatic compounds are extracted from the highly-polarized mixture of water or polyhydroxy compound and polar extraction solvent into a low polar or nonpolar solvent by contacting the water or polyhydroxy compound-polar solvent mixture with the low polar or nonpolar solvent. The halogenated aliphatic and aromatic compounds and the low polar or nonpolar solvent are separated by physical means, e.g., vacuum evaporation. The polar and nonpolar solvents are recovered from recycling. The process can easily be designed for continuous operation. Advantages of the process include that the polar solvent and a major portion of the nonpolar solvent can be recycled, the petroleum products are reclaimable and the cost for disposing of waste containing polychlorinated biphenyls is significantly reduced. 1 fig.

  8. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  9. Enhanced Doping Efficiency of Al-Doped ZnO by Atomic Layer Deposition Using Dimethylaluminum Isopropoxide as an Alternative Aluminum Precursor

    NARCIS (Netherlands)

    Wu, Y.; Potts, S.E.; Hermkens, P.M.; Knoops, H.C.M.; Roozeboom, F.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition offers the unique opportunity to control, at the atomic level, the 3D distribution of dopants in highly uniform and conformal thin films. Here, it is demonstrated that the maximum doping efficiency of Al in ZnO can be improved from ∼10% to almost 60% using dimethylaluminum

  10. Iodine-mediated coastal particle formation: an overview of the Reactive Halogens in the Marine Boundary Layer (RHaMBLe Roscoff coastal study

    Directory of Open Access Journals (Sweden)

    G. McFiggans

    2010-03-01

    Full Text Available This paper presents a summary of the measurements made during the heavily-instrumented Reactive Halogens in the Marine Boundary Layer (RHaMBLe coastal study in Roscoff on the North West coast of France throughout September 2006. It was clearly demonstrated that iodine-mediated coastal particle formation occurs, driven by daytime low tide emission of molecular iodine, I2, by macroalgal species fully or partially exposed by the receding waterline. Ultrafine particle concentrations strongly correlate with the rapidly recycled reactive iodine species, IO, produced at high concentrations following photolysis of I2. The heterogeneous macroalgal I2 sources lead to variable relative concentrations of iodine species observed by path-integrated and in situ measurement techniques.

    Apparent particle emission fluxes were associated with an enhanced apparent depositional flux of ozone, consistent with both a direct O3 deposition to macroalgae and involvement of O3 in iodine photochemistry and subsequent particle formation below the measurement height. The magnitude of the particle formation events was observed to be greatest at the lowest tides with the highest concentrations of ultrafine particles growing to the largest sizes, probably by the condensation of anthropogenically-formed condensable material. At such sizes the particles should be able to act as cloud condensation nuclei at reasonable atmospheric supersaturations.

  11. Risk assessment for halogenated solvents

    International Nuclear Information System (INIS)

    Travis, C.C.

    1988-01-01

    A recent development in the cancer risk area is the advent of biologically based pharmacokinetic and pharmacodynamic models. These models allow for the incorporation of biological and mechanistic data into the risk assessment process. These advances will not only improve the risk assessment process for halogenated solvents but will stimulate and guide basic research in the biological area

  12. Development of halogen-free cables for nuclear power plants

    International Nuclear Information System (INIS)

    Yamamoto, Mitsuo; Ito, Kazumi; Yaji, Takeo; Yoshida, Shin; Sakurai, Takako; Matsushita, Shigetoshi.

    1990-01-01

    On the occasion where serious fire accidents were experienced in the past, the need for making flame-retardant wire and cable incombustible took place and has since been generalizing. Various sorts of flame-retardant cables have already been developed and been actually used. From the viewpoint of avoiding the interference with the evacuation and fire-fighting activity in case of fire or the secondary accidents such as corrosion of the distributing panel, etc., the demand for non-halogen flame-retardant cable has rapidly been increasing in recent years in some fields of general industries, because this specific cable would generate the least amount of toxic smoke or corrosive gas even when it should burn. Similar demand has been increasing also for the cable used for nuclear power plants. In this field, earnest desire has been made for the development of non-halogen flame-retardant cable having specific environmental resistance specially required at nuclear power plants in addition to the properties and capacities required in general industries. The authors have continued examinations on the anti-environmental properties of the materials for cable such as long heat resistance, radiation resistance, steam resistance and succeeded in completing various sorts of non-halogen flame-retardant cable for nuclear power plants. In this report, we will introduce various features of the cable we have developed this time as well as the long-term reliability of non-halogen flame-retardant materials. (author)

  13. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  14. Scalable synthesis of palladium nanoparticle catalysts by atomic layer deposition

    International Nuclear Information System (INIS)

    Liang Xinhua; Lyon, Lauren B.; Jiang Yingbing; Weimer, Alan W.

    2012-01-01

    Atomic layer deposition (ALD) was used to produce Pd/Al 2 O 3 catalysts using sequential exposures of Pd(II) hexafluoroacetylacetonate and formalin at 200 °C in a fluidized bed reactor. The ALD-prepared Pd/alumina catalysts were characterized by various methods including hydrogen chemisorption, XPS, and TEM, and compared with a commercially available 1 wt% Pd/alumina catalyst, which was also characterized. The content of Pd on alumina support and the size of Pd nanoparticles can be controlled by the number of ALD-coating cycles and the dose time of the Pd precursor. One layer of organic component from the Pd precursor remained on the Pd particle surface. The ALD 0.9 wt% Pd/alumina had greater active metal surface area and percent metal dispersion than the commercial 1 wt% Pd/alumina catalyst. The ALD and commercial catalysts were subjected to catalytic testing to determine their relative activities for glucose oxidation to gluconic acid in aqueous solution. The ALD 0.9 wt% Pd/alumina catalyst had comparable activity as compared to the commercial 1 wt% Pd catalyst. No noticeable amount of Pd leaching was observed for the ALD-prepared catalysts during the vigorously stirred reaction.

  15. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  16. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  17. Bio-mimicked atomic-layer-deposited iron oxide-based memristor with synaptic potentiation and depression functions

    Science.gov (United States)

    Wan, Xiang; Gao, Fei; Lian, Xiaojuan; Ji, Xincun; Hu, Ertao; He, Lin; Tong, Yi; Guo, Yufeng

    2018-06-01

    In this study, an iron oxide (FeO x )-based memristor was investigated for the realization of artificial synapses. An FeO x resistive switching layer was prepared by self-limiting atomic layer deposition (ALD). The movement of oxygen vacancies enabled the device to have history-dependent synaptic functions, which was further demonstrated by device modeling and simulation. Analog synaptic potentiation/depression in conductance was emulated by applying consecutive voltage pulses in the simulation. Our results suggest that the ALD FeO x -based memristor can be used as the basic building block for neural networks, neuromorphic systems, and brain-inspired computers.

  18. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  19. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  20. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  1. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  2. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    Science.gov (United States)

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  3. Hydrogen-related defects in Al2O3 layers grown on n-type Si by the atomic layer deposition technique

    Science.gov (United States)

    Kolkovsky, Vl.; Stübner, R.

    2018-04-01

    The electrical properties of alumina films with thicknesses varying from 15 nm to 150 nm, grown by the atomic layer deposition technique on n-type Si, were investigated. We demonstrated that the annealing of the alumina layers in argon (Ar) or hydrogen (H) atmosphere at about 700 K resulted in the introduction of negatively charged defects irrespective of the type of the substrate. These defects were also observed in samples subjected to a dc H plasma treatment at temperatures below 400 K, whereas they were not detected in as-grown samples and in samples annealed in Ar atmosphere at temperatures below 400 K. The concentration of these defects increased with a higher H content in the alumina films. In good agreement with theory we assigned these defects to interstitial H-related defects.

  4. FIELD SCREENING FOR HALOGENATED VOLATILE ORGANIC COMPOUNDS

    Energy Technology Data Exchange (ETDEWEB)

    John F. Schabron; Joseph F. Rovani, Jr.; Theresa M. Bomstad

    2003-07-01

    Western Research Institute (WRI) is continuing work toward the development of new screening methodology and a test kit to measure halogenated volatile organic compounds (VOCs) in the field. Heated diode and corona discharge sensors are commonly used to detect leaks of refrigerants from air conditioners, freezers, and refrigerators. They are both selective to the presence of halogens. In prior work, the devices were tested for response to carbon tetrachloride, heptane, toluene, and water vapors. In the current work, sensor response was evaluated with sixteen halogenated VOCs relative to carbon tetrachloride. The results show that the response of the various chlorinated VOCs is within an order of magnitude of the response to carbon tetrachloride for each of the sensors. Thus, for field screening a single response factor can be used. Both types of leak detectors are being further modified to provide an on-board LCD signal readout, which is related to VOC concentration. The units will be fully portable and will operate with 115-V line or battery power. Signal background, noise level, and response data on the Bacharach heated diode detector and the TIF corona discharge detector show that when the response curves are plotted against the log of concentration, the plot is linear to the upper limit for the particular unit, with some curvature at lower levels. When response is plotted directly against concentration, the response is linear at the low end and is curved at the high end. The dynamic ranges for carbon tetrachloride of the two devices from the lower detection limit (S/N=2) to signal saturation are 4-850 vapor parts per million (vppm) for the corona discharge unit and 0.01-70 vppm for the heated diode unit. Additional circuit modifications are being made to lower the detection limit and increase the dynamic response range of the corona discharge unit. The results indicate that both devices show potential utility for future analytical method development work toward

  5. Dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bhartiya, S. [Laser Materials Development & Devices Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Gupta, M. [UGC-DAE Consortium for Scientific Research, Indore 452 017 (India)

    2016-01-25

    We report on the dimensional crossover of electron weak localization in ZnO/TiO{sub x} stacked layers having well-defined and spatially-localized Ti dopant profiles along film thickness. These films were grown by in situ incorporation of sub-monolayer TiO{sub x} on the growing ZnO film surface and subsequent overgrowth of thin conducting ZnO spacer layer using atomic layer deposition. Film thickness was varied in the range of ∼6–65 nm by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers of nearly identical dopant-profiles. The evolution of zero-field sheet resistance (R{sub ◻}) versus temperature with decreasing film thickness showed a metal to insulator transition. On the metallic side of the metal-insulator transition, R{sub ◻}(T) and magnetoresistance data were found to be well corroborated with the theoretical framework of electron weak localization in the diffusive transport regime. The temperature dependence of both R{sub ◻} and inelastic scattering length provided strong evidence for a smooth crossover from 2D to 3D weak localization behaviour. Results of this study provide deeper insight into the electron transport in low-dimensional n-type ZnO/TiO{sub x} stacked layers which have potential applications in the field of transparent oxide electronics.

  6. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  7. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  8. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  9. Halogenation of Hydraulic Fracturing Additives in the Shale Well Parameter Space

    Science.gov (United States)

    Sumner, A. J.; Plata, D.

    2017-12-01

    Horizontal Drilling and Hydraulic fracturing (HDHF) involves the deep-well injection of a `fracking fluid' composed of diverse and numerous chemical additives designed to facilitate the release and collection of natural gas from shale plays. The potential impacts of HDHF operations on water resources and ecosystems are numerous, and analyses of flowback samples revealed organic compounds from both geogenic and anthropogenic sources. Furthermore, halogenated chemicals were also detected, and these compounds are rarely disclosed, suggesting the in situ halogenation of reactive additives. To test this transformation hypothesis, we designed and operated a novel high pressure and temperature reactor system to simulate the shale well parameter space and investigate the chemical reactivity of twelve commonly disclosed and functionally diverse HDHF additives. Early results revealed an unanticipated halogenation pathway of α-β unsaturated aldehyde, Cinnamaldehyde, in the presence of oxidant and concentrated brine. Ongoing experiments over a range of parameters informed a proposed mechanism, demonstrating the role of various shale-well specific parameters in enabling the demonstrated halogenation pathway. Ultimately, these results will inform a host of potentially unintended interactions of HDHF additives during the extreme conditions down-bore of a shale well during HDHF activities.

  10. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  11. Electrical charging characteristics of the hetero layer film for reducing water-borne paint contamination in electrostatic rotary atomizers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Y.; Imanishi, T.; Yoshida, O.; Mizuno, A. [ABB Japan, Tokyo (Japan)

    2010-07-01

    The electrostatic rotary atomizer is the most efficient of all liquid spray painting methods. Its use minimizes the waste of paint and reduces emissions of volatile organic compounds (VOCs). Water-borne painting processes which use water-soluble paint also reduce VOC emissions, but the atomizer body is easily contaminated by the paint mists. The Institute of Electrical and Electronics Engineers (IEEE) considered the causes of water-borne paint contamination and presented the experimental results of a contamination proof system in which the atomizer is surrounded by the repelling film that is charged and repels the incoming paint droplets. Among the key factors for repelling film were electrical properties, such as low capacitance and high insulation to keep high surface potential. Charging uniformity was found to be among the most important characteristic to avoid contamination. The pulse electro-acoustic (PEA) method was used to check these features using space charge measurements inside the repelling film. It was concluded that hetero layer films have more uniform charging characteristics than single layer films.

  12. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes.

    Science.gov (United States)

    Yazdani, Nuri; Chawla, Vipin; Edwards, Eve; Wood, Vanessa; Park, Hyung Gyu; Utke, Ivo

    2014-01-01

    Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT) arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD). Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  13. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  14. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  15. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  16. Evaluation of remaining behavior of halogen on the fabrication of MOX pellet containing Am

    International Nuclear Information System (INIS)

    Ozaki, Yoko; Osaka, Masahiko; Obayashi, Hiroshi; Tanaka, Kenya

    2004-11-01

    It is important to limit the content of halogen elements, namely fluorine and chlorine that are sources of making cladding material corrode, in nuclear fuel from the viewpoint of quality assurance. The halogen content should be more carefully limited in the MOX fuel containing Americium (Am-MOX), which is fabricated in the Alpha-Gamma Facility (AGF) for irradiation testing to be conducted in the experimental fast reactor JOYO, because fluorine may remain in the sintered pellets owing to a formation of AmF 3 known to have a low vapor pressure and may exceeds the limit of 25 ppm. In this study, a series of experimental determination of halogen element in Am-MOX were performed by a combination method of pyrolysis and ion-chromatography for the purpose of an evaluation of behavior of remaining halogen through the sintering process. Oxygen potential, temperature and time were changed as experimental parameters and their effects on the remaining behavior of halogen were examined. It was confirmed that good pellets, which contained small amount of halogen, could be obtained by the sintering for 3 hour at 1700degC in the oxygen potential range from -520 to -390 kJ/mol. In order to analysis of fluorine chemical form in green pellet, thermal analysis was performed. AmF 3 and PuF 3 have been confirmed to remain in the green pellet. (author)

  17. Development of Halogen-free flame-retardant cable for nuclear power plant

    International Nuclear Information System (INIS)

    Ishii, Nobuhisa; Morii, Akira; Fujimura, Shunichi

    1992-01-01

    Conventional flame-retardant cables release a large volume of corrosive and toxic gases as well as smoke while combusted. Cables covered with halogen-free flame-retardant material, containing no halogen in it, have been developed to reduce generation of such gases and smoke, and have already been used in telecommunication service, subway and shipboard applications. However, for cables for nuclear power plant, covering materials should also have radiation resistance and other properties, including long-term physical stability. We have developed halogen-free flame-retardant cables for BWR nuclear power plant with sufficient flame retardancy radiation resistance and environmental resistance including steam-exposure resistance all of which are in accordance with Japanese specifications for BWR nuclear cables and have such characteristics as low corrosiveness, low toxicity and low smoke emission. (author)

  18. Development of halogen-free flame-retardant cable for nuclear power plant

    International Nuclear Information System (INIS)

    Ishii, Nobuhisa; Morii, Akira; Fujimura, Shunichi

    1991-01-01

    Conventional flame-retardant cables release a large amount of corrosive and toxic gases and also smoke during combustion on fire. Cables covered with halogen-free flame-retardant material, containing no halogen in it, have been developed to reduce generation of such gases and smoke, and already used in telecommunication plant, subway and shipboard applications. In the case of nuclear power plant application, cable covering materials should also have radiation resistance and other properties including long-term physical stability. We have developed halogen-free flame-retardant cables for nuclear power plant with sufficient flame retardancy, radiation resistance, and environmental resistance including steam-exposure resistance, all of which are in accordance with Japanese specifications for nuclear cables, and with characteristics as low corrosiveness, low toxicity, and low smoke evolution. (author)

  19. Method to determine the sticking coefficient of precursor molecules in atomic layer deposition

    International Nuclear Information System (INIS)

    Rose, M.; Bartha, J.W.

    2009-01-01

    A method to determine the sticking coefficient of precursor molecules used in atomic layer deposition (ALD) will be introduced. The sticking coefficient is an interesting quantity for comparing different ALD processes and reactors but it cannot be observed easily. The method relies on free molecular flow in nanoscale cylindrical holes. The sticking coefficient is determined for tetrakis(dimethylamino)titanium in combination with ozone. The proposed method can be applied independent of the type of reactor, precursor delivery system and precursors.

  20. Symmetric and asymmetric halogen-containing metallocarboranylporphyrins and uses thereof

    Science.gov (United States)

    Miura, Michiko; Wu, Haitao

    2013-05-21

    The present invention is directed to low toxicity boronated compounds and methods for their use in the treatment, visualization, and diagnosis of tumors. More specifically, the present invention is directed to low toxicity halogenated, carborane-containing 5,10,15,20-tetraphenylporphyrin compounds and methods for their use particularly in boron neutron capture therapy (BNCT) and photodynamic therapy (PDT) for the treatment of tumors of the brain, head and neck, and surrounding tissue. The invention is also directed to using these halogenated, carborane-containing tetraphenylporphyrin compounds in methods of tumor imaging and/or diagnosis such as MRI, SPECT, or PET.

  1. Structure-Energy Relationships of Halogen Bonds in Proteins.

    Science.gov (United States)

    Scholfield, Matthew R; Ford, Melissa Coates; Carlsson, Anna-Carin C; Butta, Hawera; Mehl, Ryan A; Ho, P Shing

    2017-06-06

    The structures and stabilities of proteins are defined by a series of weak noncovalent electrostatic, van der Waals, and hydrogen bond (HB) interactions. In this study, we have designed and engineered halogen bonds (XBs) site-specifically to study their structure-energy relationship in a model protein, T4 lysozyme. The evidence for XBs is the displacement of the aromatic side chain toward an oxygen acceptor, at distances that are equal to or less than the sums of their respective van der Waals radii, when the hydroxyl substituent of the wild-type tyrosine is replaced by a halogen. In addition, thermal melting studies show that the iodine XB rescues the stabilization energy from an otherwise destabilizing substitution (at an equivalent noninteracting site), indicating that the interaction is also present in solution. Quantum chemical calculations show that the XB complements an HB at this site and that solvent structure must also be considered in trying to design molecular interactions such as XBs into biological systems. A bromine substitution also shows displacement of the side chain, but the distances and geometries do not indicate formation of an XB. Thus, we have dissected the contributions from various noncovalent interactions of halogens introduced into proteins, to drive the application of XBs, particularly in biomolecular design.

  2. Rational design of organic semiconductors for texture control and self-patterning on halogenated surfaces

    KAUST Repository

    Ward, Jeremy W.; Li, Ruipeng; Obaid, Abdulmalik; Payne, Marcia M.; Smilgies, Detlef Matthias; Anthony, John Edward; Amassian, Aram; Jurchescu, Oana D.

    2014-01-01

    new materials. Here, the influence of the interactions at the interface between a halogenated organic semiconductor (OSC) thin film and a halogenated self-assembled monolayer on the formation of the crystalline texture directly affecting

  3. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  4. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    International Nuclear Information System (INIS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G.; Mankad, Ravin; Haight, Richard; Gunawan, Oki; Mitzi, David B.

    2014-01-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10 19 to 10 20 cm −3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10 19 to 10 14 cm −3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications

  5. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition

    Science.gov (United States)

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  6. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  7. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  8. Effect of atomic layer deposited Al2O3:ZnO alloys on thin-film silicon photovoltaic devices

    Science.gov (United States)

    Abdul Hadi, Sabina; Dushaq, Ghada; Nayfeh, Ammar

    2017-12-01

    In this work, we present the effects of the Al2O3:ZnO ratio on the optical and electrical properties of aluminum doped ZnO (AZO) layers deposited by atomic layer deposition, along with AZO application as the anti-reflective coating (ARC) layer and in heterojunction configurations. Here, we report complex refractive indices for AZO layers with different numbers of aluminum atomic cycles (ZnO:Al2O3 = 1:0, 39:1, 19:1, and 9:1) and we confirm their validity by fitting models to experimental data. Furthermore, the most conductive layer (ZnO:Al2O3 = 19:1, conductivity ˜4.6 mΩ cm) is used to fabricate AZO/n+/p-Si thin film solar cells and AZO/p-Si heterojunction devices. The impact of the AZO layer on the photovoltaic properties of these devices is studied by different characterization techniques, resulting in the extraction of recombination and energy band parameters related to the AZO layer. Our results confirm that AZO 19:1 can be used as a low cost and effective conductive ARC layer for solar cells. However, AZO/p-Si heterojunctions suffer from an insufficient depletion region width (˜100 nm) and recombination at the interface states, with an estimated potential barrier of ˜0.6-0.62 eV. The work function of AZO (ZnO:Al2O3 = 19:1) is estimated to be in the range between 4.36 and 4.57 eV. These material properties limit the use of AZO as an emitter in Si solar cells. However, the results imply that AZO based heterojunctions could have applications as low-cost photodetectors or photodiodes, operating under relatively low reverse bias.

  9. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  10. Discovery, SAR, and Radiolabeling of Halogenated Benzimidazole Carboxamide Antagonists as Useful Tools for (alpha)4(beta)1 Integrin Expressed on T- and B-cell Lymphomas

    Energy Technology Data Exchange (ETDEWEB)

    Carpenter, R D; Natarajan, A; Lau, E Y; Andrei, M; Solano, D M; Lightstone, F C; DeNardo, S J; Lam, K S; Kurth, M J

    2010-02-08

    The cell surface receptor {alpha}{sub 4}{beta}{sub 1} integrin is an attractive yet poorly understood target for selective diagnosis and treatment of T- and B-cell lymphomas. This report focuses on the rapid microwave preparation of medicinally pertinent benzimidazole heterocycles, structure-activity relationships (SAR) of novel halobenzimidazole carboxamide antagonists 3-6, and preliminary biological evaluation of radioiodinated agents 7, 8, and 18. The I-125 derivative 18 had good tumor uptake (12 {+-} 1% ID/g at 24 h; 4.5 {+-} 1% ID/g at 48 h) and tumor:kidney ratio ({approx}4:1 at 24 h; 2.5:1 at 48 h) in xenograft murine models of B-cell lymphoma. Molecular homology models of {alpha}{sub 4}{beta}{sub 1} integrin have predicted that docked halobenzimidazole carboxamides have the halogen atom in a suitable orientation for halogen-hydrogen bonding. These high affinity ({approx} pM binding) halogenated ligands are attractive tools for medicinal and biological use; the fluoro and iodo derivatives are potential radiodiagnostic ({sup 18}F) or radiotherapeutic ({sup 131}I) agents, whereas the chloro and bromo analogues could provide structural insight into integrin-ligand interactions through photoaffinity cross-linking/mass spectroscopy experiments, as well as co-crystallization X-ray studies.

  11. Modeling and optimization of atomic layer deposition processes on vertically aligned carbon nanotubes

    Directory of Open Access Journals (Sweden)

    Nuri Yazdani

    2014-03-01

    Full Text Available Many energy conversion and storage devices exploit structured ceramics with large interfacial surface areas. Vertically aligned carbon nanotube (VACNT arrays have emerged as possible scaffolds to support large surface area ceramic layers. However, obtaining conformal and uniform coatings of ceramics on structures with high aspect ratio morphologies is non-trivial, even with atomic layer deposition (ALD. Here we implement a diffusion model to investigate the effect of the ALD parameters on coating kinetics and use it to develop a guideline for achieving conformal and uniform thickness coatings throughout the depth of ultra-high aspect ratio structures. We validate the model predictions with experimental data from ALD coatings of VACNT arrays. However, the approach can be applied to predict film conformality as a function of depth for any porous topology, including nanopores and nanowire arrays.

  12. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    Science.gov (United States)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  13. Selective Nitrate Recognition by a Halogen-Bonding Four-Station [3]Rotaxane Molecular Shuttle.

    Science.gov (United States)

    Barendt, Timothy A; Docker, Andrew; Marques, Igor; Félix, Vítor; Beer, Paul D

    2016-09-05

    The synthesis of the first halogen bonding [3]rotaxane host system containing a bis-iodo triazolium-bis-naphthalene diimide four station axle component is reported. Proton NMR anion binding titration experiments revealed the halogen bonding rotaxane is selective for nitrate over the more basic acetate, hydrogen carbonate and dihydrogen phosphate oxoanions and chloride, and exhibits enhanced recognition of anions relative to a hydrogen bonding analogue. This elaborate interlocked anion receptor functions via a novel dynamic pincer mechanism where upon nitrate anion binding, both macrocycles shuttle from the naphthalene diimide stations at the periphery of the axle to the central halogen bonding iodo-triazolium station anion recognition sites to form a unique 1:1 stoichiometric nitrate anion-rotaxane sandwich complex. Molecular dynamics simulations carried out on the nitrate and chloride halogen bonding [3]rotaxane complexes corroborate the (1) H NMR anion binding results. © 2016 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  14. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  15. Singlet oxygen production by combining erythrosine and halogen light for photodynamic inactivation of Streptococcus mutans.

    Science.gov (United States)

    Fracalossi, Camila; Nagata, Juliana Yuri; Pellosi, Diogo Silva; Terada, Raquel Sano Suga; Hioka, Noboru; Baesso, Mauro Luciano; Sato, Francielle; Rosalen, Pedro Luiz; Caetano, Wilker; Fujimaki, Mitsue

    2016-09-01

    Photodynamic inactivation of microorganisms is based on a photosensitizing substance which, in the presence of light and molecular oxygen, produces singlet oxygen, a toxic agent to microorganisms and tumor cells. This study aimed to evaluate singlet oxygen quantum yield of erythrosine solutions illuminated with a halogen light source in comparison to a LED array (control), and the photodynamic effect of erythrosine dye in association with the halogen light source on Streptococcus mutans. Singlet oxygen quantum yield of erythrosine solutions was quantified using uric acid as a chemical-probe in an aqueous solution. The in vitro effect of the photodynamic antimicrobial activity of erythrosine in association with the halogen photopolimerizing light on Streptococcus mutans (UA 159) was assessed during one minute. Bacterial cultures treated with erythrosine alone served as negative control. Singlet oxygen with 24% and 2.8% degradation of uric acid in one minute and a quantum yield of 0.59 and 0.63 was obtained for the erythrosine samples illuminated with the halogen light and the LED array, respectively. The bacterial cultures with erythrosine illuminated with the halogen light presented a decreased number of CFU mL(-1) in comparison with the negative control, with minimal inhibitory concentrations between 0.312 and 0.156mgmL(-1). The photodynamic response of erythrosine induced by the halogen light was capable of killing S. mutans. Clinical trials should be conducted to better ascertain the use of erythrosine in association with halogen light source for the treatment of dental caries. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  17. Piezophototronic Effect in Single-Atomic-Layer MoS 2 for Strain-Gated Flexible Optoelectronics

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Wenzhuo [School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta GA 30332-0245 USA; Wang, Lei [Department of Electrical Engineering, Columbia University, New York NY 10027 USA; Yu, Ruomeng [School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta GA 30332-0245 USA; Liu, Yuanyue [National Renewable Energy Laboratory (NREL), Golden CO 80401 USA; Wei, Su-Huai [National Renewable Energy Laboratory (NREL), Golden CO 80401 USA; Hone, James [Department of Mechanical Engineering, Columbia University, New York NY 10027 USA; Wang, Zhong Lin [School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta GA 30332-0245 USA; Beijing Institute of Nanoenergy and Nanosystems, Chinese Academy of Sciences, 100083 Beijing China

    2016-08-03

    Strain-gated flexible optoelectronics are reported based on monolayer MoS2. Utilizing the piezoelectric polarization created at metal-MoS2 interface to modulate the separation/transport of photogenerated carriers, the piezophototronic effect is applied to implement atomic-layer-thick phototransistor. Coupling between piezoelectricity and photogenerated carriers may enable the development of novel optoelectronics.

  18. Atomic-layer deposited Nb2O5 as transparent passivating electron contact for c-Si solar cells

    NARCIS (Netherlands)

    Macco, Bart; Black, Lachlan E.; Melskens, Jimmy; van de Loo, Bas W.H.; Berghuis, Willem Jan H.; Verheijen, Marcel A.; Kessels, Wilhelmus M.M.

    2018-01-01

    Passivating contacts based on metal oxides have proven to enable high energy conversion efficiencies for crystalline silicon (c-Si) solar cells at low processing complexity. In this work, the potential of atomic-layer deposited (ALD) Nb2O5 as novel electron-selective passivating contact is explored

  19. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  20. Atomic layer-by-layer oxidation of Ge (100) and (111) surfaces by plasma post oxidation of Al2O3/Ge structures

    International Nuclear Information System (INIS)

    Zhang, Rui; Huang, Po-Chin; Lin, Ju-Chin; Takenaka, Mitsuru; Takagi, Shinichi

    2013-01-01

    The ultrathin GeO x /Ge interfaces formed on Ge (100) and (111) surfaces by applying plasma post oxidation to thin Al 2 O 3 /Ge structures are characterized in detail using X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy. It is found that the XPS signals assigned to Ge 1+ and the 2+ states in the GeO x layers by post plasma oxidation have oscillating behaviors on Ge (100) surfaces in a period of ∼0.3 nm with an increase in the GeO x thickness. Additionally, the oscillations of the signals assigned to Ge 1+ and 2+ states show opposite phase to each other. The similar oscillation behaviors are also confirmed on Ge (111) surfaces for Ge 1+ and 3+ states in a period of ∼0.5 nm. These phenomena can be strongly regarded as an evidence of the atomic layer-by-layer oxidation of GeO x /Ge interfaces on Ge (100) and (111) surfaces.

  1. On the ultrafast charge migration and subsequent charge directed reactivity in Cl⋯N halogen-bonded clusters following vertical ionization

    International Nuclear Information System (INIS)

    Chandra, Sankhabrata; Bhattacharya, Atanu; Periyasamy, Ganga

    2015-01-01

    In this article, we have presented ultrafast charge transfer dynamics through halogen bonds following vertical ionization of representative halogen bonded clusters. Subsequent hole directed reactivity of the radical cations of halogen bonded clusters is also discussed. Furthermore, we have examined effect of the halogen bond strength on the electron-electron correlation- and relaxation-driven charge migration in halogen bonded complexes. For this study, we have selected A-Cl (A represents F, OH, CN, NH 2 , CF 3 , and COOH substituents) molecules paired with NH 3 (referred as ACl:NH 3 complex): these complexes exhibit halogen bonds. To the best of our knowledge, this is the first report on purely electron correlation- and relaxation-driven ultrafast (attosecond) charge migration dynamics through halogen bonds. Both density functional theory and complete active space self-consistent field theory with 6-31 + G(d, p) basis set are employed for this work. Upon vertical ionization of NCCl⋯NH 3 complex, the hole is predicted to migrate from the NH 3 -end to the ClCN-end of the NCCl⋯NH 3 complex in approximately 0.5 fs on the D 0 cationic surface. This hole migration leads to structural rearrangement of the halogen bonded complex, yielding hydrogen bonding interaction stronger than the halogen bonding interaction on the same cationic surface. Other halogen bonded complexes, such as H 2 NCl:NH 3 , F 3 CCl:NH 3 , and HOOCCl:NH 3 , exhibit similar charge migration following vertical ionization. On the contrary, FCl:NH 3 and HOCl:NH 3 complexes do not exhibit any charge migration following vertical ionization to the D 0 cation state, pointing to interesting halogen bond strength-dependent charge migration

  2. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yizhou; Liu, Xiangmei [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China); Yeung, Kelvin W.K. [Division of Spine Surgery, Department of Orthopaedics & Traumatology, Li Ka Shing Faculty of Medicine, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K. [Department of Physics & Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Shuilin, E-mail: shuilin.wu@gmail.com [Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Ministry-of-Education Key Laboratory for the Green Preparation and Application of Functional Materials, Hubei Key Laboratory of Polymer Materials, School of Materials Science & Engineering, Hubei University, Wuhan 430062 (China)

    2017-04-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  3. Biofunctionalization of carbon nanotubes/chitosan hybrids on Ti implants by atom layer deposited ZnO nanostructures

    International Nuclear Information System (INIS)

    Zhu, Yizhou; Liu, Xiangmei; Yeung, Kelvin W.K.; Chu, Paul K.; Wu, Shuilin

    2017-01-01

    Highlights: • Carbon naonotubes/chitosan/ZnO coating was first constructed on Ti implants. • This system endowed Ti implants with excellent self-antibacterial activity. • The amount of Zn could be precisely controlled by atom layer deposition. • This system could regulate cell behaviors on metallic implants. - Abstract: One-dimensional (1D) nanostructures of ZnO using atomic layer deposition (ALD) on chitosan (CS) modified carbon nanotubes (CNTs) were first introduced onto the surfaces of biomedical implants. When the content of ZnO is not sufficient, CNTs can strengthen the antibacterial activity against E. coli and S. aureus by 8% and 39%, respectively. CS can improve the cytocompatibility of CNTs and ZnO. The amount of Zn content can be controlled by changing the cycling numbers of ALD processes. This hybrid coating can not only endow medical implants with high self-antibacterial efficacy against Escherichia coli (E. coli) and Staphylococcus aureus (S. aureus) of over 73% and 98%, respectively, but also regulate the proliferation and osteogenic differentiation of osteoblasts by controlling the amount of ZnO.

  4. The Electrochemical Atomic Layer Deposition of Pt and Pd nanoparticles on Ni foam for the electrooxidation of alcohols

    CSIR Research Space (South Africa)

    Modibedi, RM

    2012-10-01

    Full Text Available Electrodeposition of Pt and Pd metal by surface limited redox replacement reactions was performed using the electrochemical atomic layer deposition. Carbon paper and Ni foam were used as substrates for metal deposition. Supported Pt and Pd...

  5. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  6. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zafar, Muhammad [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of); Yun, Ju-Young [Center for Vacuum, Korea Research Institute of Standards and Science, 267 Gajeong-ro, Daejeon 305-600 (Korea, Republic of); Kim, Do-Heyoung, E-mail: kdhh@chonnam.ac.kr [School of Chemical Engineering, Chonnam National University, 300 Youngbong-dong, Gwangju 500-757 (Korea, Republic of)

    2017-03-15

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  7. Performance of inverted polymer solar cells with randomly oriented ZnO nanorods coupled with atomic layer deposited ZnO

    International Nuclear Information System (INIS)

    Zafar, Muhammad; Yun, Ju-Young; Kim, Do-Heyoung

    2017-01-01

    Highlights: • Hydrothermally grown, randomly oriented, and low areal density ZnO nanorods have been successfully adopted as the electron transport layer in inverted organic solar cells. • The addition of atomic layer deposited ZnO on the ZnO nanorods effectively enhance the photovoltaic performances of inverted organic solar cells. • The inverted organic solar cells with 5 nm thick-ALD ZnO showed the highest power conversion efficiency of 3.08%, which is an enhancement of approximately 80% compared to the cells without the ALD ZnO layer (PCE = 1.67%). - Abstract: Nanostructuring of the electron transport layer (ETL) in organic photovoltaic cells (OPV) is of great interest because it increases the surface area of the cell and electron transport. In this work, hydrothermally grown, randomly oriented, and low areal density ZnO nanorods (NRs) have been adopted as the ETL, and the effect of adding atomic layer deposited (ALD) ZnO on the ZnO NRs on the inverted organic solar cell performance has been investigated. The fabricated inverted organic solar cell with 5-nm-thick ALD-ZnO grown on the ZnO NRs showed the highest power conversion efficiency (PCE) of 3.08%, which is an enhancement of 85% from that of the cell without ALD-ZnO (PCE = 1.67%). The ultrathin ALD-ZnO was found to act as a curing layer of the surface defects on the hydrothermally grown ZnO NRs, resulting in an improvement in photovoltaic performance.

  8. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  9. First principles study of halogens adsorption on intermetallic surfaces

    International Nuclear Information System (INIS)

    Zhu, Quanxi; Wang, Shao-qing

    2016-01-01

    Graphical abstract: - Highlights: • The linear relation between adsorbates induced work function change and dipole moment change also exists for intermetallic surfaces. • It is just a common linear relationship rather than a directly proportion. • A new weight parameter β is proposed to describe different factors effect on work function shift. - Abstract: Halides are often present at electrochemical environment, they can directly influence the electrode potential or zero charge potential through the induced work-function change. In this work, we focused in particular on the halogen-induced work function change as a function of the coverage of fluorine, chlorine, bromine and iodine on Al_2Au and Al_2Pt (110) surfaces. Results show that the real relation between work function change and dipole moment change for halogens adsorption on intermetallic surfaces is just a common linear relationship rather than a directly proportion. Besides, the different slopes between fitted lines and the theoretical slope employed in pure metal surfaces demonstrating that the halogens adsorption on intermetallic surfaces are more complicated. We also present a weight parameter β to describe different factors effect on work function shift and finally qualify which factor dominates the shift direction.

  10. Protective coatings of hafnium dioxide by atomic layer deposition for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Berdova, Maria, E-mail: maria.berdova@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland); Wiemer, Claudia; Lamperti, Alessio; Tallarida, Grazia; Cianci, Elena [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Lamagna, Luca; Losa, Stefano; Rossini, Silvia; Somaschini, Roberto; Gioveni, Salvatore [STMicroelectronics, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Fanciulli, Marco [Laboratorio MDM, IMM CNR, Via C. Olivetti 2, 20864, Agrate Brianza, MB (Italy); Università degli studi di Milano Bicocca, Dipartimento di Scienza dei Materiali, 20126, Milano (Italy); Franssila, Sami, E-mail: sami.franssila@aalto.fi [Aalto University, Department of Materials Science and Engineering, 02150, Espoo (Finland)

    2016-04-15

    Graphical abstract: - Highlights: • Atomic layer deposition of HfO{sub 2} from (CpMe){sub 2}Hf(OMe)Me or Hf(NMeEt){sub 4} and ozone for potential applications in microelectromechanical systems. • ALD HfO{sub 2} protects aluminum substrates from degradation in moist environment and at the same time retains good reflectance properties of the underlying material. • The resistance of hafnium dioxide to moist environment is independent of chosen precursors. - Abstract: This work presents the investigation of HfO{sub 2} deposited by atomic layer deposition (ALD) from either HfD-CO4 or TEMAHf and ozone for microelectromechanical systems (MEMS) applications, in particular, for environmental protection of aluminum micromirrors. This work shows that HfO{sub 2} films successfully protect aluminum in moist environment and at the same time retain good reflectance properties of underlying material. In our experimental work, the chemical composition, crystal structure, electronic density and roughness of HfO{sub 2} films remained the same after one week of humidity treatment (relative humidity of 85%, 85 °C). The reflectance properties underwent only minor changes. The observed shift in reflectance was only from 80–90% to 76–85% in 400–800 nm spectral range when coated with ALD HfO{sub 2} films grown with Hf(NMeEt){sub 4} and no shift (remained in the range of 68–83%) for films grown from (CpMe){sub 2}Hf(OMe)Me.

  11. Halogens in pore water of peat bogs – the role of peat decomposition and dissolved organic matter

    Directory of Open Access Journals (Sweden)

    H. Biester

    2006-01-01

    Full Text Available Halogens are strongly enriched in peat and peatlands and such they are one of their largest active terrestrial reservoir. The enrichment of halogens in peat is mainly attributed to the formation of organohalogens and climatically controlled humification processes. However, little is known about release of halogens from the peat substrate and the distribution of halogens in the peat pore water. In this study we have investigated the distribution of chlorine, bromine and iodine in pore water of three pristine peat bogs located in the Magellanic Moorlands, southern Chile. Peat pore waters were collected using a sipping technique, which allows in situ sampling down to a depth greater than 6m. Halogens and halogen species in pore water were determined by ion-chromatography (IC (chlorine and IC-ICP-MS (bromine and iodine. Results show that halogen concentrations in pore water are 15–30 times higher than in rainwater. Mean concentrations of chlorine, bromine and iodine in pore water were 7–15 mg l−1, 56–123 μg l−1, and 10–20 μg l−1, which correspond to mean proportions of 10–15%, 1–2.3% and 0.5–2.2% of total concentrations in peat, respectively. Organobromine and organoiodine were the predominant species in pore waters, whereas chlorine in pore water was mostly chloride. Advection and diffusion of halogens were found to be generally low and halogen concentrations appear to reflect release from the peat substrate. Release of bromine and iodine from peat depend on the degree of peat degradation, whereas this relationship is weak for chlorine. Relatively higher release of bromine and iodine was observed in less degraded peat sections, where the release of dissolved organic carbon (DOC was also the most intensive. It has been concluded that the release of halogenated dissolved organic matter (DOM is the predominant mechanism of iodine and bromine release from peat.

  12. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  13. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G., E-mail: gordon@chemistry.harvard.edu [Harvard University, Cambridge, Massachusetts 02138 (United States); Mankad, Ravin; Haight, Richard; Gunawan, Oki [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Mitzi, David B. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Duke University, Durham, North Carolina 27708 (United States)

    2014-11-17

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10{sup 19} to 10{sup 20} cm{sup −3} with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10{sup 19} to 10{sup 14} cm{sup −3} for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  14. On the ultrafast charge migration and subsequent charge directed reactivity in Cl⋯N halogen-bonded clusters following vertical ionization

    Energy Technology Data Exchange (ETDEWEB)

    Chandra, Sankhabrata; Bhattacharya, Atanu, E-mail: atanub@ipc.iisc.ernet.in [Department of Inorganic and Physical Chemistry, Indian Institute of Science, Bangalore (India); Periyasamy, Ganga [Department of Chemistry, Central College Campus, Bangalore University, Bangalore (India)

    2015-06-28

    In this article, we have presented ultrafast charge transfer dynamics through halogen bonds following vertical ionization of representative halogen bonded clusters. Subsequent hole directed reactivity of the radical cations of halogen bonded clusters is also discussed. Furthermore, we have examined effect of the halogen bond strength on the electron-electron correlation- and relaxation-driven charge migration in halogen bonded complexes. For this study, we have selected A-Cl (A represents F, OH, CN, NH{sub 2}, CF{sub 3}, and COOH substituents) molecules paired with NH{sub 3} (referred as ACl:NH{sub 3} complex): these complexes exhibit halogen bonds. To the best of our knowledge, this is the first report on purely electron correlation- and relaxation-driven ultrafast (attosecond) charge migration dynamics through halogen bonds. Both density functional theory and complete active space self-consistent field theory with 6-31 + G(d, p) basis set are employed for this work. Upon vertical ionization of NCCl⋯NH{sub 3} complex, the hole is predicted to migrate from the NH{sub 3}-end to the ClCN-end of the NCCl⋯NH{sub 3} complex in approximately 0.5 fs on the D{sub 0} cationic surface. This hole migration leads to structural rearrangement of the halogen bonded complex, yielding hydrogen bonding interaction stronger than the halogen bonding interaction on the same cationic surface. Other halogen bonded complexes, such as H{sub 2}NCl:NH{sub 3}, F{sub 3}CCl:NH{sub 3}, and HOOCCl:NH{sub 3}, exhibit similar charge migration following vertical ionization. On the contrary, FCl:NH{sub 3} and HOCl:NH{sub 3} complexes do not exhibit any charge migration following vertical ionization to the D{sub 0} cation state, pointing to interesting halogen bond strength-dependent charge migration.

  15. Iron Mineral Catalyzed C-H Activation As a Potential Pathway for Halogenation Processes

    Science.gov (United States)

    Tubbesing, C.; Schoeler, H. F.; Benzing, K.; Krause, T.; Lippe, S.; Rudloff, M.

    2014-12-01

    Due to increasing drinking water demand of mankind and an expected climate change the impact of salt lakes and salt deserts will increase within the next decades. Furthermore, a rising sea level influences coastal areas like salt marshes and abets processes which will lead to elevated organohalogen formation. An additional increase of the global warming potential, of particle formation and stratospheric ozone depletion is expected. Understanding these multifaceted processes is essential for mankind to be prepared for these alterations of the atmosphere. For example, Keppler et al. (2000) described the production of volatile halogenated organic compounds via oxidation of organic matter driven by ferric iron. However, the formation of long-chained alkyl halides in salt lakes is yet undisclosed. Despite the relative "inertness" of alkanes a direct halogenation of these compounds might be envisaged. In 2005 Vaillancourt et al. discovered a nonheme iron enzyme which is able to halogenate organic compounds via generating the high valent ferryl cation as reaction center. Based on various publications about C-H activation (Bergman, 2007) we postulate a halogenation process in which an iron containing minerals catalyse the C-H bond cleavage of organic compounds in soils. The generated organic radicals are highly reactive towards halides connected to the iron complex. We suggest that next to diagenetically altered iron containing enzymes, minerals such as oxides, hydroxides and sulfides are involved in abiotic halogenation processes. We applied the amino acid methionine as organic model compound and soluble iron species as reactants. All samples were incubated in aqueous phases containing various NaCl concentrations. As a result various halogenated ethanes and ethenes were identified as reaction products. References Bergman, R. G. (2007) Nature, 446(7134) 391-393 Keppler, F., et al. (2000) Nature, 403(6767) 298-301 Vaillancourt, F. H., et al. (2005) Nature, 436(7054) 1191-1194

  16. Effective optimization of surface passivation on porous silicon carbide using atomic layer deposited Al2O3

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2017-01-01

    Porous silicon carbide (B–N co-doped SiC) produced by anodic oxidation showed strong photoluminescence (PL) at around 520 nm excited by a 375 nm laser. The porous SiC samples were passivated by atomic layer deposited (ALD) aluminum oxide (Al2O3) films, resulting in a significant enhancement...

  17. Ultraviolet electroluminescence from nitrogen-doped ZnO-based heterojuntion light-emitting diodes prepared by remote plasma in situ atomic layer-doping technique.

    Science.gov (United States)

    Chien, Jui-Fen; Liao, Hua-Yang; Yu, Sheng-Fu; Lin, Ray-Ming; Shiojiri, Makoto; Shyue, Jing-Jong; Chen, Miin-Jang

    2013-01-23

    Remote plasma in situ atomic layer doping technique was applied to prepare an n-type nitrogen-doped ZnO (n-ZnO:N) layer upon p-type magnesium-doped GaN (p-GaN:Mg) to fabricate the n-ZnO:N/p-GaN:Mg heterojuntion light-emitting diodes. The room-temperature electroluminescence exhibits a dominant ultraviolet peak at λ ≈ 370 nm from ZnO band-edge emission and suppressed luminescence from GaN, as a result of the decrease in electron concentration in ZnO and reduced electron injection from n-ZnO:N to p-GaN:Mg because of the nitrogen incorporation. The result indicates that the in situ atomic layer doping technique is an effective approach to tailoring the electrical properties of materials in device applications.

  18. Development of halogen-free, heat-resistant, low-voltage wire for automotive use

    International Nuclear Information System (INIS)

    Ueno, Keiji; Suzuki, Sizuo; Takahagi, Masatoshi; Uda, Ikujiro

    1995-01-01

    The environmental load of our motorized society is of major concern, and includes considerations of recycling of automotive parts as the industrial wastes. The total average length of AV, AVX (electrical wire insulated with PVC, cross-linked PVC), and AEX (electrical wire insulated with cross-linked polyolefin) wires required for the harnesses in modern automobiles is approximately 2,000-3,000 meters per unit. However these electrical wires contain a large amount of halogen, which can generate the smoke and corrosive gas. In response to this problem the authors have developed the electron beam irradiated halogen-free, heat-resistant, low-voltage electrical wire which does not contain any halogen based polymer or flame retardants. The developed wire features the reliability equivalent to AEX wire with minimum environmental load. (Author)

  19. Atomic-Level Co3O4 Layer Stabilized by Metallic Cobalt Nanoparticles: A Highly Active and Stable Electrocatalyst for Oxygen Reduction.

    Science.gov (United States)

    Liu, Min; Liu, Jingjun; Li, Zhilin; Wang, Feng

    2018-02-28

    Developing atomic-level transition oxides may be one of the most promising ways for providing ultrahigh electrocatalytic performance for oxygen reduction reaction (ORR), compared with their bulk counterparts. In this article, we developed a set of atomically thick Co 3 O 4 layers covered on Co nanoparticles through partial reduction of Co 3 O 4 nanoparticles using melamine as a reductive additive at an elevated temperature. Compared with the original Co 3 O 4 nanoparticles, the synthesized Co 3 O 4 with a thickness of 1.1 nm exhibits remarkably enhanced ORR activity and durability, which are even higher than those obtained by a commercial Pt/C in an alkaline environment. The superior activity can be attributed to the unique physical and chemical structures of the atomic-level oxide featuring the narrowed band gap and decreased work function, caused by the escaped lattice oxygen and the enriched coordination-unsaturated Co 2+ in this atomic layer. Besides, the outstanding durability of the catalyst can result from the chemically epitaxial deposition of the Co 3 O 4 on the cobalt surface. Therefore, the proposed synthetic strategy may offer a smart way to develop other atomic-level transition metals with high electrocatalytic activity and stability for energy conversion and storage devices.

  20. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  1. Mechanisms and energetics of surface atomic processes

    International Nuclear Information System (INIS)

    Tsong, T.T.

    1991-01-01

    The energies involved in various surface atomic processes such as surface diffusion, the binding of small atomic clusters on the surface, the interaction between two adsorbed atoms, the dissociation of an atom from a small cluster or from a surface layer, the binding of kink size atoms or atoms at different adsorption sites to the surface etc., can be derived from an analysis of atomically resolved field ion microscope images and a kinetic energy measurement of low temperature field desorbed ions using the time-of-flight atom-probe field ion microscope. These energies can be used to compare with theories and to understand the transport of atoms on the surface in atomic reconstructions, epitaxial growth of surface layers and crystal growth, adsorption layer superstructure formation, and also why an atomic ordering or atomic reconstruction at the surface is energetically favored. Mechanisms of some of the surface atomic processes are also clarified from these quantitative, atomic resolution studies. In this paper work in this area is bris briefly reviewed

  2. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  3. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer

    International Nuclear Information System (INIS)

    Koh, D.; Kwon, H. M.; Kim, T.-W.; Veksler, D.; Gilmer, D.; Kirsch, P. D.; Kim, D.-H.; Hudnall, Todd W.; Bielawski, Christopher W.; Maszara, W.; Banerjee, S. K.

    2014-01-01

    In this study, we have fabricated nanometer-scale channel length quantum-well (QW) metal-oxide-semiconductor field effect transistors (MOSFETs) incorporating beryllium oxide (BeO) as an interfacial layer. BeO has high thermal stability, excellent electrical insulating characteristics, and a large band-gap, which make it an attractive candidate for use as a gate dielectric in making MOSFETs. BeO can also act as a good diffusion barrier to oxygen owing to its small atomic bonding length. In this work, we have fabricated In 0.53 Ga 0.47 As MOS capacitors with BeO and Al 2 O 3 and compared their electrical characteristics. As interface passivation layer, BeO/HfO 2 bilayer gate stack presented effective oxide thickness less 1 nm. Furthermore, we have demonstrated In 0.7 Ga 0.3 As QW MOSFETs with a BeO/HfO 2 dielectric, showing a sub-threshold slope of 100 mV/dec, and a transconductance (g m,max ) of 1.1 mS/μm, while displaying low values of gate leakage current. These results highlight the potential of atomic layer deposited BeO for use as a gate dielectric or interface passivation layer for III–V MOSFETs at the 7 nm technology node and/or beyond

  4. Quantitative atom column position analysis at the incommensurate interfaces of a (PbS)1.14NbS2 misfit layered compound with aberration-corrected HRTEM

    International Nuclear Information System (INIS)

    Garbrecht, M.; Spiecker, E.; Tillmann, K.; Jaeger, W.

    2011-01-01

    Aberration-corrected HRTEM is applied to explore the potential of NCSI contrast imaging to quantitatively analyse the complex atomic structure of misfit layered compounds and their incommensurate interfaces. Using the (PbS) 1.14 NbS 2 misfit layered compound as a model system it is shown that atom column position analyses at the incommensurate interfaces can be performed with precisions reaching a statistical accuracy of ±6 pm. The procedure adopted for these studies compares experimental images taken from compound regions free of defects and interface modulations with a structure model derived from XRD experiments and with multi-slice image simulations for the corresponding NCSI contrast conditions used. The high precision achievable in such experiments is confirmed by a detailed quantitative analysis of the atom column positions at the incommensurate interfaces, proving a tetragonal distortion of the monochalcogenide sublattice. -- Research Highlights: → Quantitative aberration-corrected HRTEM analysis of atomic column positions in (PbS) 1.14 NbS 2 misfit layered compound reveals tetragonal distortion of the PbS subsystem. → Detailed comparison of multi-slice simulations with the experimental NCSI contrast condition imaging results lead to a high precision (better than 10 pm) for determining the positions of atoms. → Precision in gaining information of local structure at atomic scale is demonstrated, which may not be accessible by means of X-ray and neutron diffraction analysis.

  5. Suppression of Zeeman relaxation in cold collisions of 2P1/2 atoms

    International Nuclear Information System (INIS)

    Tscherbul, T. V.; Dalgarno, A.; Buchachenko, A. A.; Lu, M.-J.; Weinstein, J. D.

    2009-01-01

    We present a combined experimental and theoretical study of angular momentum depolarization in cold collisions of 2 P atoms in the presence of an external magnetic field. We show that collision-induced Zeeman relaxation of Ga( 2 P 1/2 ) and In( 2 P 1/2 ) atoms in cold 4 He gas is dramatically suppressed compared to atoms in 2 P 3/2 states. Using rigorous quantum-scattering calculations based on ab initio interaction potentials, we demonstrate that Zeeman transitions in collisions of atoms in 2 P 1/2 electronic states occur via couplings to the 2 P 3/2 state induced by the anisotropy of the interaction potential. Our results suggest the feasibility of sympathetic cooling and magnetic trapping of 2 P 1/2 -state atoms, such as halogens, thereby opening up exciting areas of research in precision spectroscopy and cold-controlled chemistry.

  6. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    International Nuclear Information System (INIS)

    Kaeaeriaeinen, Tommi O.; Maydannik, Philipp; Cameron, David C.; Lahtinen, Kimmo; Johansson, Petri; Kuusipalo, Jurkka

    2011-01-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O 2 TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O 2 TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  7. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, Tommi O., E-mail: tommi.kaariainen@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Cameron, David C., E-mail: david.cameron@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Lahtinen, Kimmo, E-mail: kimmo.lahtinen@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Johansson, Petri, E-mail: petri.johansson@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland)

    2011-03-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O{sub 2}TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O{sub 2}TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  8. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  10. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  11. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  12. Atomic hydrogen storage method and apparatus

    Science.gov (United States)

    Woollam, J. A. (Inventor)

    1980-01-01

    Atomic hydrogen, for use as a fuel or as an explosive, is stored in the presence of a strong magnetic field in exfoliated layered compounds such as molybdenum disulfide or an elemental layer material such as graphite. The compounds maintained at liquid helium temperatures and the atomic hydrogen is collected on the surfaces of the layered compound which are exposed during delamination (exfoliation). The strong magnetic field and the low temperature combine to prevent the atoms of hydrogen from recombining to form molecules.

  13. Environmental levels and toxicological potencies of a novel mixed halogenated carbazole

    Directory of Open Access Journals (Sweden)

    Miren Pena-Abaurrea

    2016-09-01

    Full Text Available The present work involves an extensive analytical and toxicological description of a recently identified mixed halogenated carbazole found in sediment samples, 1,8-dibromo-3,6-dichloro-9H-carbazole (BCCZ. Concentrations and the relative effect potency (REP were calculated for the target BCCZ in a set of stream sediments collected in 2008 in Ontario, Canada. The levels calculated for BCCZ as compared to those previously assessed for legacy persistent organic pollutants (POPs in the same samples revealed a significant contribution of BCCZ to the total organic chemical contamination (<1%–95%; average 37%. The corresponding dioxin toxic equivalencies (TEQs of BCCZ in the sediment extracts were estimated from experimental REP data. The experimental data presented supports the classification of this emerging halogenated chemical as a contaminant of emerging environmental concern. Although potential emission sources could not be identified, this study highlights the importance of on-going research for complete characterization of halogenated carbazoles and related compounds.

  14. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  15. Determination Of Refractive Index And Reflectivity Of Thin Layer With Optical Absorption Method; PENENTUAN INDEKS BIAS DAN REFLEKTIVITAS LAPISAN TIPIS DENGAN METODA SERAPAN OPTIK

    Energy Technology Data Exchange (ETDEWEB)

    Hariyanto, Sigit; Budianto, Anwar; Subarkah,; Atmono, Trimarji [Yogyakarta Nuclear Research Center, National Nuclear Energy Agency, Yogyakarta (Indonesia)

    1996-04-15

    . The refractive index and reflectivity of ASi:H and Si Ox thin layer have been observed by optical absorption methods. Measurement has been done after the preparation of optical system which consists of a halogen lamp light source, monochromator, sample and light detector. The Monochromator output showed that measured halogen lamp spectrum light is between 470 nm -750 nm. The maximum voltage of halogen lamp is 220 Volt, the output light increases in intensity while the wave length increases. The inclination of intensity decrease at the wave length of 725 nm. The result of the calculation of refractive index varies in accordance with the wave length. The average refractive index of ASi:H is nf a = 1.753. The total reflectivity of air-thin layer-substrate is Rt a = 0.315. The refractive index of Si Ox sample is nf b2.182 and the total reflectivity is Rt b=O,514.

  16. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  17. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  18. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  19. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  20. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Quantum Hall states of atomic Bose gases: Density profiles in single-layer and multilayer geometries

    International Nuclear Information System (INIS)

    Cooper, N. R.; Lankvelt, F. J. M. van; Reijnders, J. W.; Schoutens, K.

    2005-01-01

    We describe the density profiles of confined atomic Bose gases in the high-rotation limit, in single-layer and multilayer geometries. We show that, in a local-density approximation, the density in a single layer shows a landscape of quantized steps due to the formation of incompressible liquids, which are analogous to fractional quantum Hall liquids for a two-dimensional electron gas in a strong magnetic field. In a multilayered setup we find different phases, depending on the strength of the interlayer tunneling t. We discuss the situation where a vortex lattice in the three-dimensional condensate (at large tunneling) undergoes quantum melting at a critical tunneling t c 1 . For tunneling well below t c 1 one expects weakly coupled or isolated layers, each exhibiting a landscape of quantum Hall liquids. After expansion, this gives a radial density distribution with characteristic features (cusps) that provide experimental signatures of the quantum Hall liquids

  2. Chlorine dioxide as phenol and H2S scavenger - formation of halogenated phenols and subsequent environmental risk

    Energy Technology Data Exchange (ETDEWEB)

    Melbye, Alf G.; Faksness, Liv-Guri; Knudsen, Boerre Leif

    2006-03-15

    Formation of halogenated phenols as side products from treatment of produced water with aqueous chlorine dioxide has been investigated. The literature describes formation of halogenated hydrocarbons in effluent treatment using chlorine, hypochlorite and chlorine dioxide. A new chlorine dioxide product, originally intended as a H2S scavenger in the oil and gas industry, has been tested both as a phenol scavenger and H2S-scavenger for produced water applications. The concern about the possible formation of halogenated by-products initiated laboratory testing of chlorine dioxide as phenol and H2S scavenger for produced water applications. The tests also included synthetic matrixes containing phenols, and the tests show that halogenated phenols, mainly brominated species, are found in produced water after treatment with chlorine dioxide. Due to potential environmental risk from halogenated organic contaminants, the use of chlorine dioxide as phenol and H2S scavenger is not recommended. (Author)

  3. Determination of N-containing halogenated natural products using gas chromatography in combination of a nitrogen-phosphorus-detector

    Energy Technology Data Exchange (ETDEWEB)

    Melcher, J.; Vetter, W. [Hohenheim Univ., Stuttgart (Germany). Inst. fuer Lebensmittelchemie

    2004-09-15

    In the last few years several nonpolar halogenated natural products (HNPs) such as Q1, MHC-1, BC-2, BC-3, BC-10 were detected at elevated concentrations in marine biota samples. In addition, there are still some abundant peaks of halogenated compounds frequently found in the gas chromatograms of many marine samples which have not yet been identified. Some of the known halogenated natural products (Q1, HDBPs including BC-10, bromoindoles) contain N-heterocyclic backbones. Since nitrogen is scarcely found in anthropogenic halogenated compounds, the detection of N-containing halogenated substances may be used as a first indicator for the presence of HNPs in a sample extract. In the presented method we studied the suitability of a nitrogen phosphorous detector (NPD) for quantification of Q1 and the detection of Ncontaining compounds in marine biota. Analyses were accompanied with GC/ECD analyses.

  4. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  5. Atomic iodine production in a gas flow by decomposing methyl iodide in a dc glow discharge

    International Nuclear Information System (INIS)

    Mikheyev, P A; Shepelenko, A A; Voronov, A I; Kupryaev, Nikolai V

    2002-01-01

    The production of atomic iodine for an oxygen - iodine laser is studied by decomposing methyl iodide in a dc glow discharge in a vortex gas flow. The concentration of iodine atoms in discharge products was measured from the atomic iodine absorption of the radiation of a single-frequency tunable diode laser at a wavelength of 1.315 μm. Atomic iodine concentrations sufficient for the operation of an oxygen - iodine laser were obtained. The concentration of atomic iodine amounted to 3.6 x 10 15 cm -3 for a pressure of the carrying argon gas of 15 Torr. The discharge stabilisation by a vortex gas flow allowed the glow discharge to be sustained in a strongly electronegative halogen-containing gas mixture for pressures up to 20 Torr. (active media)

  6. Performance assessment of semiempirical molecular orbital methods in describing halogen bonding: quantum mechanical and quantum mechanical/molecular mechanical-molecular dynamics study.

    Science.gov (United States)

    Ibrahim, Mahmoud A A

    2011-10-24

    The performance of semiempirical molecular-orbital methods--MNDO, MNDO-d, AM1, RM1, PM3 and PM6--in describing halogen bonding was evaluated, and the results were compared with molecular mechanical (MM) and quantum mechanical (QM) data. Three types of performance were assessed: (1) geometrical optimizations and binding energy calculations for 27 halogen-containing molecules complexed with various Lewis bases (Two of the tested methods, AM1 and RM1, gave results that agree with the QM data.); (2) charge distribution calculations for halobenzene molecules, determined by calculating the solvation free energies of the molecules relative to benzene in explicit and implicit generalized Born (GB) solvents (None of the methods gave results that agree with the experimental data.); and (3) appropriateness of the semiempirical methods in the hybrid quantum-mechanical/molecular-mechanical (QM/MM) scheme, investigated by studying the molecular inhibition of CK2 protein by eight halobenzimidazole and -benzotriazole derivatives using hybrid QM/MM molecular-dynamics (MD) simulations with the inhibitor described at the QM level by the AM1 method and the rest of the system described at the MM level. The pure MM approach with inclusion of an extra point of positive charge on the halogen atom approach gave better results than the hybrid QM/MM approach involving the AM1 method. Also, in comparison with the pure MM-GBSA (generalized Born surface area) binding energies and experimental data, the calculated QM/MM-GBSA binding energies of the inhibitors were improved by replacing the G(GB,QM/MM) solvation term with the corresponding G(GB,MM) term.

  7. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  8. A 19F NMR study of C-I....pi- halogen bonding

    DEFF Research Database (Denmark)

    Hauchecorne, Dieter; vand er Veken, Benjamin J.; Herrebout, Wouter A.

    2011-01-01

    The formation of halogen bonded complexes between toluene-d8 and the perfluoroiodopropanes 1-C3F7I and 2-C3F7I has been investigated using 19F NMR spectroscopy. For both Lewis acids, evidence was found for the formation of a C–I⋯π halogen bonded complex. The complex formed is a 1:1 type. Using sp...... results are supported by ab initio calculations at the B3LYP-PCM/6-311++G(d,p) + LanL2DZ∗ level....

  9. Change in crystalline structure and band alignment in atomic-layer-deposited HfO{sub 2} on InPusing an annealing treatment

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Yu-Seon; Kim, Dae-Kyoung; Cho, Mann-Ho [Institute of Physics and Applied Physics, Yonsei University, Seoul 120-749 (Korea, Republic of); Seo, Jung-Hye [Division of Materials Science, Korea Basic Science Institute, Daejeon 305-333 (Korea, Republic of); Shon, Hyun Kyong; Lee, Tae Geol [Korea Research Institute of Standards and Science, Daejeon 305-540 (Korea, Republic of); Cho, Young Dae; Kim, Sun-Wook; Ko, Dae-Hong [Department of Material Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Kim, Hyoungsub [School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2013-08-15

    Changes in structural characteristics and band alignments of atomic-layer-deposited HfO{sub 2} films on InP (001) as a function of annealing temperature and film thickness were investigated using various analytical techniques. After an annealing at temperatures over 500 C, the HfO{sub 2} films were converted into a fully crystalline structure with a tetragonal phase with no detectable interfacial layer between the film and the InP substrate. In-P-O states, produced by interfacial reactions, were increased during the post deposition annealing (PDA) process and oxides were detected in the surface region of the HfO{sub 2} film, indicating that In and P atoms had out-diffused. The E{sub g} value of the as-grown HfO{sub 2} film was found to be 5.80 {+-} 0.1 eV. After the PDA treatment, the optical band gap and valence band offset values were significantly affected by the interfacial oxide states between the HfO{sub 2} film and InP substrate. Moreover, band bending in InP, due to negative space charges generated by an unstable P-rich interfacial state during atomic layer deposition process was decreased after the annealing treatment. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Simulation of nucleation and growth of atomic layer deposition phosphorus for doping of advanced FinFETs

    International Nuclear Information System (INIS)

    Seidel, Thomas E.; Goldberg, Alexander; Halls, Mat D.; Current, Michael I.

    2016-01-01

    Simulations for the nucleation and growth of phosphorus films were carried out using density functional theory. The surface was represented by a Si 9 H 12 truncated cluster surface model with 2 × 1-reconstructured (100) Si-OH terminations for the initial reaction sites. Chemistries included phosphorous halides (PF 3 , PCl 3 , and PBr 3 ) and disilane (Si 2 H 6 ). Atomic layer deposition (ALD) reaction sequences were illustrated with three-dimensional molecular models using sequential PF 3 and Si 2 H 6 reactions and featuring SiFH 3 as a byproduct. Exothermic reaction pathways were developed for both nucleation and growth for a Si-OH surface. Energetically favorable reactions for the deposition of four phosphorus atoms including lateral P–P bonding were simulated. This paper suggests energetically favorable thermodynamic reactions for the growth of elemental phosphorus on (100) silicon. Phosphorus layers made by ALD are an option for doping advanced fin field-effect transistors (FinFETs). Phosphorus may be thermally diffused into the silicon or recoil knocked in; simulations of the recoil profile of phosphorus into a FinFET surface are illustrated

  11. Atomic-scale observation of structural and electronic orders in the layered compound ?-RuCl3

    OpenAIRE

    Ziatdinov, M.; Banerjee, A.; Maksov, A.; Berlijn, T.; Zhou, W.; Cao, H. B.; Yan, J.-Q.; Bridges, C. A.; Mandrus, D. G.; Nagler, S. E.; Baddorf, A. P.; Kalinin, S. V.

    2016-01-01

    A pseudospin-1/2 Mott phase on a honeycomb lattice is proposed to host the celebrated two-dimensional Kitaev model which has an elusive quantum spin liquid ground state, and fascinating physics relevant to the development of future templates towards topological quantum bits. Here we report a comprehensive, atomically resolved real-space study by scanning transmission electron and scanning tunnelling microscopies on a novel layered material displaying Kitaev physics, ?-RuCl3. Our local crystal...

  12. Radical anion structure of β-halogen-substituted acetamides in X-ray-irradiated single crystals: an INDO and EPR study

    International Nuclear Information System (INIS)

    Samskog, P.O.; Kispert, L.D.

    1984-01-01

    The anion radicals of bromodifluoroacetamide and chlorodifluoroacetamide are investigated by using the INDO method and EPR spectroscopy. INDO calculations for the anions give a spin density distribution in agreement with that suggested from experiment. Results of the analyses show that the unpaired electron occupies the sigma* orbital composed of the rho orbitals, along the C/sub β/-X bond, on the carbon and the unique halogen atoms. The results are compared to the radical anion in trifluoroacetamide. The electronic structure of SCF 2 CONH 2 - radical anions is a π-radical anion when X = F and a sigma*-radical anion when X = Cl and Br. 2 figures, 4 tables

  13. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    Science.gov (United States)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  14. Layering and Ordering in Electrochemical Double Layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yihua [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Kawaguchi, Tomoya [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Pierce, Michael S. [Rochester Institute of Technology, School of Physics and Astronomy, Rochester, New York 14623, United States; Komanicky, Vladimir [Faculty of Science, Safarik University, 041 54 Kosice, Slovakia; You, Hoydoo [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States

    2018-02-26

    Electrochemical double layers (EDL) form at electrified interfaces. While Gouy-Chapman model describes moderately charged EDL, formation of Stern layers was predicted for highly charged EDL. Our results provide structural evidence for a Stern layer of cations, at potentials close to hydrogen evolution in alkali fluoride and chloride electrolytes. Layering was observed by x-ray crystal truncation rods and atomic-scale recoil responses of Pt(111) surface layers. Ordering in the layer is confirmed by glancing-incidence in-plane diffraction measurements.

  15. Halogen bond tunability II: the varying roles of electrostatic and dispersion contributions to attraction in halogen bonds

    Czech Academy of Sciences Publication Activity Database

    Riley, Kevin Eugene; Murray, J. S.; Fanfrlík, Jindřich; Řezáč, Jan; Solá, R. J.; Concha, M. C.; Ramos, F. M.; Politzer, P.

    2013-01-01

    Roč. 19, č. 11 (2013), s. 4651-4659 ISSN 1610-2940 R&D Projects: GA ČR GBP208/12/G016 Grant - others:Operational Program Research and Development for Innovations(XE) CZ.1.05/2.1.00/03.0058 Institutional support: RVO:61388963 Keywords : dispersion * electrostatics * halogen bonding * noncovalent interactions Subject RIV: CE - Biochemistry Impact factor: 1.867, year: 2013

  16. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    Energy Technology Data Exchange (ETDEWEB)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz; Rouvimov, Sergei; Orlov, Alexei O.; Snider, Gregory L. [Department of Electrical Engineering, University of Notre Dame, 275 Fitzpatrick Hall, Notre Dame, Indiana 46556 (United States)

    2016-01-15

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperatures (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.

  17. Efficient, air-stable colloidal quantum dot solar cells encapsulated using atomic layer deposition of a nanolaminate barrier

    KAUST Repository

    Ip, Alexander H.; Labelle, André J.; Sargent, Edward H.

    2013-01-01

    Atomic layer deposition was used to encapsulate colloidal quantum dot solar cells. A nanolaminate layer consisting of alternating alumina and zirconia films provided a robust gas permeation barrier which prevented device performance degradation over a period of multiple weeks. Unencapsulated cells stored in ambient and nitrogen environments demonstrated significant performance losses over the same period. The encapsulated cell also exhibited stable performance under constant simulated solar illumination without filtration of harsh ultraviolet photons. This monolithically integrated thin film encapsulation method is promising for roll-to-roll processed high efficiency nanocrystal solar cells. © 2013 AIP Publishing LLC.

  18. Efficient, air-stable colloidal quantum dot solar cells encapsulated using atomic layer deposition of a nanolaminate barrier

    KAUST Repository

    Ip, Alexander H.

    2013-12-23

    Atomic layer deposition was used to encapsulate colloidal quantum dot solar cells. A nanolaminate layer consisting of alternating alumina and zirconia films provided a robust gas permeation barrier which prevented device performance degradation over a period of multiple weeks. Unencapsulated cells stored in ambient and nitrogen environments demonstrated significant performance losses over the same period. The encapsulated cell also exhibited stable performance under constant simulated solar illumination without filtration of harsh ultraviolet photons. This monolithically integrated thin film encapsulation method is promising for roll-to-roll processed high efficiency nanocrystal solar cells. © 2013 AIP Publishing LLC.

  19. Improvement and protection of niobium surface superconductivity by atomic layer deposition and heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Proslier, T.; /IIT, Chicago /Argonne; Zasadzinski, J.; /IIT, Chicago; Moore, J.; Pellin, M.; Elam, J.; /Argonne; Cooley, L.; /Fermilab; Antoine, C.; /Saclay

    2008-11-01

    A method to treat the surface of Nb is described, which potentially can improve the performance of superconducting rf cavities. We present tunneling and x-ray photoemission spectroscopy measurements at the surface of cavity-grade niobium samples coated with a 3 nm alumina overlayer deposited by atomic layer deposition. The coated samples baked in ultrahigh vacuum at low temperature degraded superconducting surface. However, at temperatures above 450 C, the tunneling conductance curves show significant improvements in the superconducting density of states compared with untreated surfaces.

  20. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.