International Nuclear Information System (INIS)
Liu, Hsi-Wen; Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Chang, Ting-Chang; Chen, Ching-En; Tseng, Tseung-Yuen; Lin, Chien-Yu; Cheng, Osbert; Huang, Cheng-Tung; Ye, Yi-Han
2016-01-01
This work investigates the effect on hot carrier degradation (HCD) of doping zirconium into the hafnium oxide high-k layer in the nanoscale high-k/metal gate n-channel metal-oxide-semiconductor field-effect-transistors. Previous n-metal-oxide semiconductor-field effect transistor studies demonstrated that zirconium-doped hafnium oxide reduces charge trapping and improves positive bias temperature instability. In this work, a clear reduction in HCD is observed with zirconium-doped hafnium oxide because channel hot electron (CHE) trapping in pre-existing high-k bulk defects is the main degradation mechanism. However, this reduced HCD became ineffective at ultra-low temperature, since CHE traps in the deeper bulk defects at ultra-low temperature, while zirconium-doping only passivates shallow bulk defects.
Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators
Li, Min
High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a
Study of bulk Hafnium oxide (HfO2) under compression
Pathak, Santanu; Mandal, Guruprasad; Das, Parnika
2018-04-01
Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.
Hafnium carbide formation in oxygen deficient hafnium oxide thin films
Energy Technology Data Exchange (ETDEWEB)
Rodenbücher, C. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); Hildebrandt, E.; Sharath, S. U.; Kurian, J.; Komissinskiy, P.; Alff, L. [Technische Universität Darmstadt, Institute of Materials Science, 64287 Darmstadt (Germany); Szot, K. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); University of Silesia, A. Chełkowski Institute of Physics, 40-007 Katowice (Poland); Breuer, U. [Forschungszentrum Jülich GmbH, Central Institute for Engineering, Electronics and Analytics (ZEA-3), 52425 Jülich (Germany); Waser, R. [Forschungszentrum Jülich GmbH, Peter Grünberg Institute (PGI-7), JARA-FIT, 52425 Jülich (Germany); RWTH Aachen, Institute of Electronic Materials (IWE 2), 52056 Aachen (Germany)
2016-06-20
On highly oxygen deficient thin films of hafnium oxide (hafnia, HfO{sub 2−x}) contaminated with adsorbates of carbon oxides, the formation of hafnium carbide (HfC{sub x}) at the surface during vacuum annealing at temperatures as low as 600 °C is reported. Using X-ray photoelectron spectroscopy the evolution of the HfC{sub x} surface layer related to a transformation from insulating into metallic state is monitored in situ. In contrast, for fully stoichiometric HfO{sub 2} thin films prepared and measured under identical conditions, the formation of HfC{sub x} was not detectable suggesting that the enhanced adsorption of carbon oxides on oxygen deficient films provides a carbon source for the carbide formation. This shows that a high concentration of oxygen vacancies in carbon contaminated hafnia lowers considerably the formation energy of hafnium carbide. Thus, the presence of a sufficient amount of residual carbon in resistive random access memory devices might lead to a similar carbide formation within the conducting filaments due to Joule heating.
Investigation of ferromagnetism in oxygen deficient hafnium oxide thin films
Energy Technology Data Exchange (ETDEWEB)
Hildebrandt, Erwin; Kurian, Jose; Krockenberger, Yoshiharu; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Suter, Andreas [PSI, Villingen (Switzerland); Wilhelm, Fabrice; Rogalev, Andrei [ESRF, Grenoble (France)
2008-07-01
Oxygen deficient thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. RF-activated oxygen was used for the in situ oxidation of hafnium oxide thin films. Oxidation conditions were varied substantially in order to create oxygen deficiency in hafnium oxide films intentionally. The films were characterized by X-ray and magnetic measurements. X-ray diffraction studies show an increase in lattice parameter with increasing oxygen deficiency. Oxygen deficient hafnium oxide thin films also showed a decreasing bandgap with increase in oxygen deficiency. The magnetisation studies carried out with SQUID did not show any sign of ferromagnetism in the whole oxygen deficiency range. X-ray magnetic circular dichroism measurements also confirmed the absence of ferromagnetism in oxygen deficient hafnium oxide thin films.
Electronic properties of hafnium oxide: A contribution from defects and traps
Energy Technology Data Exchange (ETDEWEB)
Gritsenko, Vladimir A., E-mail: grits@isp.nsc.ru; Perevalov, Timofey V.; Islamov, Damir R., E-mail: damir@isp.nsc.ru
2016-02-15
In the present article, we give a review of modern data and latest achievements pertaining to the study of electronic properties of oxygen vacancies in hafnium oxide. Hafnium oxide is a key dielectric for use in many advanced silicon devices. Oxygen vacancies in hafnium oxide largely determine the electronic properties of the material. We show that the electronic transitions between the states due to oxygen vacancies largely determine the optical absorption and luminescent properties of hafnium oxide. We discuss the role of oxygen vacancies as traps that facilitate charge transport in hafnium oxide films. Also, we demonstrate the fact that the electrical conductivity in hafnium oxide is controlled by the phonon-assisted tunnelling of charge carriers between traps that were identified as oxygen vacancies.
Ferroelectricity in undoped hafnium oxide
International Nuclear Information System (INIS)
Polakowski, Patrick; Müller, Johannes
2015-01-01
We report the observation of ferroelectric characteristics in undoped hafnium oxide thin films in a thickness range of 4–20 nm. The undoped films were fabricated using atomic layer deposition (ALD) and embedded into titanium nitride based metal-insulator-metal (MIM) capacitors for electrical evaluation. Structural as well as electrical evidence for the appearance of a ferroelectric phase in pure hafnium oxide was collected with respect to film thickness and thermal budget applied during titanium nitride electrode formation. Using grazing incidence X-Ray diffraction (GIXRD) analysis, we observed an enhanced suppression of the monoclinic phase fraction in favor of an orthorhombic, potentially, ferroelectric phase with decreasing thickness/grain size and for a titanium nitride electrode formation below crystallization temperature. The electrical presence of ferroelectricity was confirmed using polarization measurements. A remanent polarization P r of up to 10 μC cm −2 as well as a read/write endurance of 1.6 × 10 5 cycles was measured for the pure oxide. The experimental results reported here strongly support the intrinsic nature of the ferroelectric phase in hafnium oxide and expand its applicability beyond the doped systems
Elaboration and characterisation of yttrium oxide and hafnium oxide powders by the sol-gel process
International Nuclear Information System (INIS)
Hours, T.
1988-01-01
The two classical sol-gel processes, colloidal and polymeric are studied for the preparation of yttrium oxide and hafnium oxide high performance powders. In the colloidal process, controlled and reproducible conditions for the preparation of yttrium oxide and hafnium oxide sols from salts or alkoxides are developed and the hydrothermal synthesis monodisperse hafnium oxide colloids is studied. The polymeric process is studied with hafnium ethyl-hexylate, hydrolysis kinetics for controlled preparation of sols and gels is investigated. Each step of preparation is detailed and powders obtained are characterized [fr
Surface State Capture Cross-Section at the Interface between Silicon and Hafnium Oxide
Directory of Open Access Journals (Sweden)
Fu-Chien Chiu
2013-01-01
Full Text Available The interfacial properties between silicon and hafnium oxide (HfO2 are explored by the gated-diode method and the subthreshold measurement. The density of interface-trapped charges, the current induced by surface defect centers, the surface recombination velocity, and the surface state capture cross-section are obtained in this work. Among the interfacial properties, the surface state capture cross-section is approximately constant even if the postdeposition annealing condition is changed. This effective capture cross-section of surface states is about 2.4 × 10−15 cm2, which may be an inherent nature in the HfO2/Si interface.
Energy Technology Data Exchange (ETDEWEB)
Lee, Sunwoo; Choi, Changhwan; Lee, Kilbock [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Cho, Joong Hwee [Department of Embedded Systems Engineering,University of Incheon, Incheon 406-722 (Korea, Republic of); Ko, Ki-Young [Korea Institute of Patent Information, Seoul, 146-8 (Korea, Republic of); Ahn, Jinho, E-mail: jhahn@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of)
2012-10-30
We report the effect of consecutive electrical stress on the performance of organic field effect transistors (OFETs). Sputtered aluminum oxide (Al{sub 2}O{sub 3}) and hafnium oxide (HfO{sub 2}) were used as gate oxide layers. After the electrical stress, the threshold voltage, which strongly depends on bulk defects, was remarkably shifted to the negative direction, while the other performance characteristics of OFETs such as on-current, transconductance and mobility, which are sensitive to interface defects, were slightly decreased. This result implies that the defects in the bulk layer are significantly affected compared to the defects in the interface layer. Thus, it is important to control the defects in the pentacene bulk layer in order to maintain the good reliabilities of pentacene devices. Those defects in HfO{sub 2} gate oxide devices were larger compared to those in Al{sub 2}O{sub 3} gate oxide devices.
Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material
Directory of Open Access Journals (Sweden)
Yu-Hsien Lin
2014-01-01
Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.
Radiation effects on the electrical properties of hafnium oxide based MOS capacitors.
Energy Technology Data Exchange (ETDEWEB)
Petrosky, J. C. (Air Force Institute of Technology, Wright-Patterson Air Force Base, OH); McClory, J. W. (Air Force Institute of Technology, Wright-Patterson Air Force Base, OH); Bielejec, Edward Salvador; Foster, J. C. (Air Force Institute of Technology, Wright-Patterson Air Force Base, OH)
2010-10-01
Hafnium oxide-based MOS capacitors were investigated to determine electrical property response to radiation environments. In situ capacitance versus voltage measurements were analyzed to identify voltage shifting as a result of changes to trapped charge with increasing dose of gamma, neutron, and ion radiation. In situ measurements required investigation and optimization of capacitor fabrication to include dicing, cleaning, metalization, packaging, and wire bonding. A top metal contact of 200 angstroms of titanium followed by 2800 angstroms of gold allowed for repeatable wire bonding and proper electrical response. Gamma and ion irradiations of atomic layer deposited hafnium oxide on silicon devices both resulted in a midgap voltage shift of no more than 0.2 V toward less positive voltages. This shift indicates recombination of radiation induced positive charge with negative trapped charge in the bulk oxide. Silicon ion irradiation caused interface effects in addition to oxide trap effects that resulted in a flatband voltage shift of approximately 0.6 V also toward less positive voltages. Additionally, no bias dependent voltage shifts with gamma irradiation and strong oxide capacitance room temperature annealing after ion irradiation was observed. These characteristics, in addition to the small voltage shifts observed, demonstrate the radiation hardness of hafnium oxide and its applicability for use in space systems.
X-Ray Photoemission Study of the Oxidation of Hafnium
International Nuclear Information System (INIS)
Chourasia, R.; Hickman, J.L.; Miller, R.L.; Nixon, G.A.; Seabolt, M.A.
2011-01-01
About 20 angstrom of hafnium were deposited on silicon substrates using the electron beam evaporation technique. Two types of samples were investigated. In one type, the substrate was kept at the ambient temperature. After the deposition, the substrate temperature was increased to 100, 200, and 300 degree C. In the other type, the substrate temperature was held fixed at some value during the deposition. For this type, the substrate temperatures used were 100, 200, 300, 400, 500, 550, and 600 degree C. The samples were characterized in situ by the technique of X-ray photoelectron spectroscopy. No trace of elemental hafnium is observed in the deposited overlayer. Also, there is no evidence of any chemical reactivity between the overlayer and the silicon substrate over the temperature range used. The hafnium overlayer shows a mixture of the dioxide and the suboxide. The ratio of the suboxide to dioxide is observed to be more in the first type of samples. The spectral data indicate that hafnium has a strong affinity for oxygen. The overlayer gets completely oxidized to form HfO 2 at substrate temperature around 300 degree C for the first type of samples and at substrate temperature greater than 550 degree C for the second type
X-Ray Photoemission Study of the Oxidation of Hafnium
Directory of Open Access Journals (Sweden)
A. R. Chourasia
2009-01-01
Full Text Available About 20 Å of hafnium were deposited on silicon substrates using the electron beam evaporation technique. Two types of samples were investigated. In one type, the substrate was kept at the ambient temperature. After the deposition, the substrate temperature was increased to 100, 200, and 300∘C. In the other type, the substrate temperature was held fixed at some value during the deposition. For this type, the substrate temperatures used were 100, 200, 300, 400, 500, 550, and 600∘C. The samples were characterized in situ by the technique of X-ray photoelectron spectroscopy. No trace of elemental hafnium is observed in the deposited overlayer. Also, there is no evidence of any chemical reactivity between the overlayer and the silicon substrate over the temperature range used. The hafnium overlayer shows a mixture of the dioxide and the suboxide. The ratio of the suboxide to dioxide is observed to be more in the first type of samples. The spectral data indicate that hafnium has a strong affinity for oxygen. The overlayer gets completely oxidized to form HfO2 at substrate temperature around 300∘C for the first type of samples and at substrate temperature greater than 550∘C for the second type.
International Nuclear Information System (INIS)
Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku
2006-01-01
Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2
Consiglio, Steven P.
To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of
Interface and oxide traps in high-κ hafnium oxide films
International Nuclear Information System (INIS)
Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.
2004-01-01
The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance
Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material
Lin, Yu-Hsien; Chou, Jay-Chi
2014-01-01
This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...
Synthesis and characterization of Ho3+ doped hafnium oxide TLD for radiation dosimeter
International Nuclear Information System (INIS)
Sekar, Nandakumar; Ganesan, Bharanidharan; Sahib, Hajee Reyaz Ali; Aruna, Prakasarao; Ganesan, Singaravelu; Thamilkumar, P.; Rai, R.R.
2017-01-01
Cancer is a dreaded disease which is treated by Radiotherapy, Chemotherapy and Surgery. Radiotherapy plays a vital role in treatment of cancer and recently measurements of invivo radiation dosimetric in patient is of great interest due to high dose gradients in advanced technology like IMRT, IGRT etc. Hence, for the last few decades, a great degree of interest has been shown for the hafnium oxide for radiation dosimetric applications, due to its high dielectric constant, wide band gap and better interface properties such as chemical stability, conduction band offset and thermodynamic stability. In the present study, Synthesis and characterization of Ho 3+ doped Hafnium oxide were carried out and its applications towards radiation dosimeter were investigated
On the phase formation of sputtered hafnium oxide and oxynitride films
International Nuclear Information System (INIS)
Sarakinos, K.; Music, D.; Mraz, S.; Baben, M. to; Jiang, K.; Nahif, F.; Braun, A.; Zilkens, C.; Schneider, J. M.; Konstantinidis, S.; Renaux, F.; Cossement, D.; Munnik, F.
2010-01-01
Hafnium oxynitride films are deposited from a Hf target employing direct current magnetron sputtering in an Ar-O 2 -N 2 atmosphere. It is shown that the presence of N 2 allows for the stabilization of the transition zone between the metallic and the compound sputtering mode enabling deposition of films at well defined conditions of target coverage by varying the O 2 partial pressure. Plasma analysis reveals that this experimental strategy facilitates control over the flux of the O - ions which are generated on the oxidized target surface and accelerated by the negative target potential toward the growing film. An arrangement that enables film growth without O - ion bombardment is also implemented. Moreover, stabilization of the transition sputtering zone and control of the O - ion flux without N 2 addition is achieved employing high power pulsed magnetron sputtering. Structural characterization of the deposited films unambiguously proves that the phase formation of hafnium oxide and hafnium oxynitride films with the crystal structure of HfO 2 is independent from the O - bombardment conditions. Experimental and theoretical data indicate that the presence of vacancies and/or the substitution of O by N atoms in the nonmetal sublattice favor the formation of the cubic and/or the tetragonal HfO 2 crystal structure at the expense of the monoclinic HfO 2 one.
Assessing hafnium on hafnia as an oxygen getter
International Nuclear Information System (INIS)
O'Hara, Andrew; Demkov, Alexander A.; Bersuker, Gennadi
2014-01-01
Hafnium dioxide or hafnia is a wide band gap dielectric used in a range of electronic applications from field effect transistors to resistive memory. In many of these applications, it is important to maintain control over oxygen stoichiometry, which can be realized in practice by using a metal layer, specifically hafnium, to getter oxygen from the adjacent dielectric. In this paper, we employ density functional theory to study the thermodynamic stability of an interface between (100)-oriented monoclinic hafnia and hafnium metal. The nudged elastic band method is used to calculate the energy barrier for migration of oxygen from the oxide to the metal. Our investigation shows that the presence of hafnium lowers the formation energy of oxygen vacancies in hafnia, but more importantly the oxidation of hafnium through the migration of oxygen from hafnia is favored energetically
Directory of Open Access Journals (Sweden)
Michael LEE
2014-05-01
Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.
Recovery of hafnium values from loaded extraction solvent
International Nuclear Information System (INIS)
Abodishish, H.A.
1989-01-01
This patent describes an improvement in a process for recovering high purity hafnium hydroxide from a methyl isobutyl ketone organic solvent that is substantially free of sulfate ions and contains hafnium thiocyanate and thiocyanic acid. The improvement comprising reacting the organic solvent with ammonia to produce a reaction product in the form of a methyl isobutyl ketone organic solvent that is substantially free of sulfate ions and contains ammonium thiocyanite solution and hafnium hydroxide; separating the constituents of the reaction product in accordance with their respective specific gravities to produce a hafnium hydroxide sludge as one of the separation products; and removing the liquid component of the sludge to yield a high purity hafnium hydroxide ready for calcination to hafnium oxide
Method of separating hafnium from zirconium
International Nuclear Information System (INIS)
Megy, J.A.
1980-01-01
English. A new anhydrous method was developed for separating zirconium and hafnium, which gives higher separation factors and is more economical than previous methods. A molten phase, comprising a solution of unseparated zirconium and hafnium and a solvent metal, is first prepared. The molten metal phase is contacted with a fused salt phase which includes a zirconium salt. Zirconium and hafnium separation is effected by mutual displacement with hafnium being transported from the molten metal phase to the fused salt phase, while zirconium is transported from the fused salt phase to the molten metal phase. The solvent metal is less electropositive than zirconium. Zinc was chosen as the solvent metal, from a group which also included cadmium, lead, bismuth, copper, and tin. The fused salt phase cations are more electropositive than zirconium and were selected from a group comprising the alkali elements, the alkaline earth elements, the rare earth elements, and aluminum. A portion of the zirconium in the molten metal phase was oxidized by injecting an oxidizing agent, chlorine, to form zirconium tetrachlorid
Determination of hafnium by atomic absorption spectrometry
International Nuclear Information System (INIS)
Yoshida, Isao; Kobayashi, Hiroshi; Ueno, Keihei.
1977-01-01
Optimum conditions for atomic absorption spectrophotometric determination of hafnium were investigated by use of a Jarrel-Ash AA-1 instrument which was equipped with a premixed gas burner slotted 50 mm in length and 0.4 mm in width. Absorption of hafnium, which was atomized in an nitrous oxide-acetylene flame, was measured on a resonance line at 307.29 nm. The absorption due to hafnium was enhanced in the presence of ammonium fluoride and iron(III) ion, as shown in Figs. 2 and 3, depending on their concentration. The highest absorption was attained by the addition of (0.15 -- 0.3)M ammonium fluoride, 0.07 M of iron(III) ion and 0.05 M of hydrochloric acid. An excess of the additives decreased the absorption. The presence of zirconium, which caused a significant interference in the ordinary analytical methods, did not affect the absorption due to hafnium, if the zirconium concentration is less than 0.2 M. A standard procedure was proposed; A sample containing a few mg of hafnium was dissolved in a 25-ml volumetric flask, and ammonium fluoride, ferric nitrate and hydrochloric acid were added so that the final concentrations were 0.3, 0.07 and 0.05 M, respectively. Atomic absorption was measured on the aqueous solution in a nitrous oxide-acetylene flame and the hafnium content was calculated from the absorbance. Sensitivity was as high as 12.5 μg of Hf/ml/l% absorption. The present method is especially recommendable to the direct determination of hafnium in samples containing zirconium. (auth.)
Energy Technology Data Exchange (ETDEWEB)
Miao, Yinbin, E-mail: miao2@illinois.edu [Department of Nuclear, Plasma and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Mo, Kun [Nuclear Engineering Division, Argonne National Laboratory, Lemont, IL 60493 (United States); Cui, Bai [Department of Mechanical and Materials Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States); Department of Material Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Chen, Wei-Ying [Department of Nuclear, Plasma and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Miller, Michael K.; Powers, Kathy A. [Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN 37830 (United States); McCreary, Virginia; Gross, David [Department of Material Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Almer, Jonathan [X-ray Science Division, Argonne National Laboratory, Lemont, IL 60493 (United States); Robertson, Ian M. [Department of Material Science and Engineering, University of Wisconsin-Madison, Madison, WA 53706 (United States); Department of Material Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States); Stubbins, James F. [Department of Nuclear, Plasma and Radiological Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 (United States)
2015-03-15
This work reports comprehensive investigations on the orientation relationship of the oxide nanoparticles in a hafnium-containing austenitic oxide dispersion-strengthened 316 stainless steel. The phases of the oxide nanoparticles were determined by a combination of scanning transmission electron microscopy–electron dispersive X-ray spectroscopy, atom probe tomography and synchrotron X-ray diffraction to be complex Y–Ti–Hf–O compounds with similar crystal structures, including bixbyite Y{sub 2}O{sub 3}, fluorite Y{sub 2}O{sub 3}–HfO{sub 2} solid solution and pyrochlore (or fluorite) Y{sub 2}(Ti,Hf){sub 2−x}O{sub 7−x}. High resolution transmission electron microscopy was used to characterize the particle–matrix interfaces. Two different coherency relationships along with one axis-parallel relation between the oxide nanoparticles and the steel matrix were found. The size of the nanoparticles significantly influences the orientation relationship. The results provide insight into the relationship of these nanoparticles with the matrix, which has implications for interpreting material properties as well as responses to radiation. - Highlights: • The oxide nanoparticles in a hafnium-containing austenitic ODS were characterized. • The nanoparticles are Y–Hf–Ti–O enriched phases according to APT and STEM–EDS. • Two coherency and an axis-parallel orientation relationships were found by HR-TEM. • Particle size has a prominent effect on the orientation relationship (OR). • Formation mechanism of the oxide nanoparticles was discussed based on the ORs.
Properties of filmogen solutions and films of hafnium compounds
International Nuclear Information System (INIS)
Sviridova, A.I.
1986-01-01
Study on hafnium hydrolizing compound solutions, used for hafnium oxide homogeneous layer formation, is conducted. In particular, electric conductivity, acidity and refractive index were investigated depending on the sal on ether concentration and the storage time. Oxyhafnium nitrate, hafnium chloride in ethanol, dichlorodiethoxyhafnium, hafnium oxychloride were used as initial compounds. Hydrolysis of hafnium compounds in solution occurs partially; further process occurs in the thin layer on the optical element surface; final decomposition is performed under heat treatment. It is ascertained, that alcoholic-aqueous solutions of inorganic salts can be filmogen only at definite acidity, density and viscosity (1.33-2.5 cp.). It is also ascertained that refractive index values and transmission spectral boundary of coatings, produced from alkoxy compound solutions and from chloride salt solutions, are practically the same. Transmittance boundary in ultraviolet region of spectrum of oxide films produced from nitrate and chloride solutions, varies with the heating temperature increase differently
Rare-earth hafnium oxide materials for magnetohydrodynamic (MHD) generator application
Energy Technology Data Exchange (ETDEWEB)
Marchant, D. D; Bates, J. L.
1979-01-01
Several ceramic materials based on rare-earth hafnium oxides have been identified as potential high-temperature electrodes and low-temperature current leadouts for open cycle coal-fired MHD generator channels. The electrode-current leadouts combination must operate at temperatures between 400 and 2000K with an electrical conductivity greater than 10/sup -2/ ohm/sup -1/ cm/sup -1/. The electrodes will be exposed to flowing (linear flow rates up to 100 m/s) potassium seeded coal combustion gases (plasma core temperatures between 2400 to 3200/sup 0/K) and coal slag. During operation the electrodes must conduct direct electric current at densities near 1.5 amp/cm/sup 2/. Consequently, the electrodes must be resistant to electrochemical decompositions and interactions with both the coal slag and potassium salts (e.g., K/sub 2/SO/sub 4/, K/sub 2/CO/sub 3/). The current leadout materials are placed between the hot electrodes and the water-cooled copper structural members and must have electrical conductivities greater than 10/sup -2/ ohm/sup -1/ cm/sup -1/ between 1400 and 400/sup 0/K. The current leadouts must be thermally and electrochemically compatible with the electrode, copper, and potassium salts. Ideally, the electrodes and current leadouts should exhibit minimal ionic conductivity. The fabrication, electrical conductivity, and electrochemical corrosion of rare-earth hafnium oxide materials are discussed. (WHK)
Synthesis and characterization of hafnium oxide for luminescent applications
International Nuclear Information System (INIS)
Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.
2008-01-01
Full text: Hafnium oxide (HfO 2 ) is a material with a wide range of possible technological applications because it's chemical and physical properties such as high melting point, high chemical stability, high refraction index, high dielectric constant and hardness near to diamond in the tetragonal phase. The large energy gap and low phonon frequencies of the HfO 2 makes it appropriate as a host matrix for been doped with rare earth activators. Efficient luminescent materials find wide application in electroluminescent flat panel displays; color plasma displays panels, scintillators, cathode ray tubes, fluorescent lamps, lasers, etc. In recent years the study of luminescent materials based on HfO 2 has been intensified. Some groups have studied the optical properties of doped and undoped HfO 2 . In this contribution, Hafnium Oxide (HfO 2 ) films were prepared using the spray pyrolysis deposition technique. The material was synthesized using chlorides as raw materials in deionised water as solvent and deposited on Corning glass substrates at temperatures from 300 deg C to 600 deg C. For substrate temperatures lower than 400 deg C, the deposited films are amorphous, while for substrate temperatures higher than 450 deg C, the monoclinic phase of HfO 2 appears. Scanning electron microscopy with microprobe analysis was use to observe the microstructure and obtain the chemical composition of the films; rough surfaces with spherical particles were appreciated. UV and low energy X Ray radiations were used in order to achieve the thermoluminescent characterization of the films as a function of the deposition temperature
Commercial production of metal hafnium and hafnium-based products
International Nuclear Information System (INIS)
Negodin, D.A.; Shtutsa, M.G.; Akhtonov, S.G.; Il'enko, E.V.; Kobyzev, A.M.
2012-01-01
Hafnium possesses a unique complex of physical and chemical properties which allow the application of products on its basis in various industries. Joint Stock Company 'Chepetsky Mechanical Plant' is the single enterprise which produces hafnium on the territory of Russia. The manufacture of metal hafnium with the total content of zirconium and hafnium, at least, 99,8 % of weights is developed at the present time at Joint Stock Company CHMZ. The weight of melted hafnium ingots is up to 1 ton. Manufacture of wide range of products from hafnium is implemented. The plates from a hafnium with thickness of 0.60 mm which are used for emergency control cartridges of VVER-440 reactors are the most critical product. It is shown that ingots and products obtained from metal hafnium correspond to the Russian and international standards for reactor materials in chemical composition, mechanical and corrosion properties.
Titanium(IV), zirconium, hafnium and thorium
International Nuclear Information System (INIS)
Brown, Paul L.; Ekberg, Christian
2016-01-01
Titanium can exist in solution in a number of oxidation states. The titanium(IV) exists in acidic solutions as the oxo-cation, TiO 2+ , rather than Ti 4+ . Zirconium is used in the ceramics industry and in nuclear industry as a cladding material in reactors where its reactivity towards hydrolysis reactions and precipitation of oxides may result in degradation of the cladding. In nature, hafnium is found together with zirconium and as a consequence of the contraction in ionic radii that occurs due to the 4f -electron shell, the ionic radius of hafnium is almost identical to that of zirconium. All isotopes of thorium are radioactive and, as a consequence of it being fertile, thorium is important in the nuclear fuel cycle. The polymeric hydrolysis species that have been reported for thorium are somewhat different to those identified for zirconium and hafnium, although thorium does form the Th 4 (OH) 8 8+ species.
Synthesis and characterization of hafnium oxide films for thermo and photoluminescence applications
International Nuclear Information System (INIS)
Guzman Mendoza, J.; Aguilar Frutis, M.A.; Flores, G. Alarcon; Garcia Hipolito, M.; Maciel Cerda, A.; Azorin Nieto, J.; Rivera Montalvo, T.; Falcony, C.
2010-01-01
Hafnium oxide (HfO 2 ) films were deposited by the ultrasonic spray pyrolysis process. The films were synthesized from hafnium chloride as raw material in deionized water as solvent and were deposited on corning glass substrates at temperatures from 300 to 600 deg. C. For substrate temperatures lower than 400 deg. C the deposited films were amorphous, while for substrate temperatures higher than 450 deg. C, the monoclinic phase of HfO 2 appeared. Scanning electron microscopy showed that the film's surface resulted rough with semi-spherical promontories. The films showed a chemical composition close to HfO 2 , with an Hf/O ratio of about 0.5. UV radiation was used in order to achieve the thermoluminescent characterization of the films; the 240 nm wavelength induced the best response. In addition, preliminary photoluminescence spectra, as a function of the deposition temperatures, are shown.
Use of hafnium in control bars of nuclear reactors
International Nuclear Information System (INIS)
Ramirez S, J.R.; Alonso V, G.
2003-01-01
Recently the use of hafnium as neutron absorber material in nuclear reactors has been reason of investigation by virtue of that this material has nuclear properties as to the neutrons absorption and structural that can prolong the useful life of the control mechanisms of the nuclear reactors. In this work some of those more significant hafnium properties are presented like nuclear material. Also there are presented calculations carried out with the HELIOS code for fuel cells of uranium oxide and of uranium and plutonium mixed oxides under controlled conditions with conventional bars of boron carbide and also with similar bars to which are substituted the absorbent material by metallic hafnium, the results are presented in this work. (Author)
Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan
2017-07-01
In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.
Energy Technology Data Exchange (ETDEWEB)
Asahara, Ryohei; Hideshima, Iori; Oka, Hiroshi; Minoura, Yuya; Hosoi, Takuji, E-mail: hosoi@mls.eng.osaka-u.ac.jp; Shimura, Takayoshi; Watanabe, Heiji [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Ogawa, Shingo [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Toray Research Center Inc., 3-3-7 Sonoyama, Otsu, Shiga 520-8567 (Japan); Yoshigoe, Akitaka; Teraoka, Yuden [Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5148 (Japan)
2015-06-08
Advanced metal/high-k/Ge gate stacks with a sub-nm equivalent oxide thickness (EOT) and improved interface properties were demonstrated by controlling interface reactions using ultrathin aluminum oxide (AlO{sub x}) interlayers. A step-by-step in situ procedure by deposition of AlO{sub x} and hafnium oxide (HfO{sub x}) layers on Ge and subsequent plasma oxidation was conducted to fabricate Pt/HfO{sub 2}/AlO{sub x}/GeO{sub x}/Ge stacked structures. Comprehensive study by means of physical and electrical characterizations revealed distinct impacts of AlO{sub x} interlayers, plasma oxidation, and metal electrodes serving as capping layers on EOT scaling, improved interface quality, and thermal stability of the stacks. Aggressive EOT scaling down to 0.56 nm and very low interface state density of 2.4 × 10{sup 11 }cm{sup −2}eV{sup −1} with a sub-nm EOT and sufficient thermal stability were achieved by systematic process optimization.
Kinetic studies on the hafnium nad deuterium
International Nuclear Information System (INIS)
Bing Wenzeng; Long Xinggui; Zhu Zuliang
2009-04-01
Through the method of reaction rate analysis in a constant volume reactor, the time dependence of the pressure drop of the hafnium deuteride formation are studied over a temperature range 573-873 K on a metal hydride thermodynamic and kinetic parameters measuring apparatus. The rate constants of the hafnium deuteride formation, which are 0.0530 s -1 , 0.0452 s -1 , 0.0319 s -1 , 0.0261 s -1 , are calculated at a serial temperatures of 573 K, 673 K, 773 K, 873 K and the initial pressure of 13 kPa. The activation energy of the reaction is (-10.1±1.5) kJ·mol -1 . Comparing the above results with those of titanium deuteride formation on the same measuring apparatus, the kinetic mechanism of the deuteride formation of hafnium and titanium is considered different. It is concluded that the reaction rate of hafnium absorbing deuterium may be controlled by phase transformation and surface oxidation. (authors)
Studies on Optical and Electrical Properties of Hafnium Oxide Nanoparticles
Jayaraman, Venkatachalam; Sagadevan, Suresh; Sudhakar, Rajesh
2017-07-01
In this paper, the synthesis and physico-chemical properties of hafnium oxide nanoparticles (HfO2 NPs) are analyzed and reported. The synthesis was carried out by the precipitation route by using hafnium tetrachloride (HfCl4) as precursor material with potassium hydroxide (KOH) dissolved in Millipore water. In the precipitation technique, the chemical reaction is comparatively simple, low-cost and non-toxic compared to other synthetic methods. The synthesized HfO2 NPs were characterized by using powder x-ray diffraction (PXRD), ultraviolet-visible (UV-Vis) spectroscopy, Raman analysis, and high-resolution transmission electron microscopy (HRTEM). The monoclinic structure of the HfO2 NPs was resolved utilizing x-ray diffraction (XRD). The optical properties were studied from the UV-Vis absorption spectrum. The optical band gap of the HfO2NPs was observed to be 5.1 eV. The Raman spectrum shows the presence of HfO2 NPs. The HRTEM image showed that the HfO2 NPs were of spherical shape with an average particle size of around 28 nm. The energy-dispersive x-ray spectroscopy (EDS) spectrum obviously demonstrated the presence of HfO2 NPs. Analysis and studies on the dielectric properties of the HfO2 NPs such as the dielectric constant, the dielectric loss, and alternating current (AC) conductivity were carried out at varying frequencies and temperatures.
Temperature dependence of the work function of ruthenium-based gate electrodes
International Nuclear Information System (INIS)
Alshareef, H.N.; Wen, H.C.; Luan, H.F.; Choi, K.; Harris, H.R.; Senzaki, Y.; Majhi, P.; Lee, B.H.; Foran, B.; Lian, G.
2006-01-01
The effect of device fabrication temperature on the work function of ruthenium (Ru) metal gate and its bilayers was investigated. The work function shows strong temperature dependence when Ru electrodes are deposited on silicon oxide, SiO 2 , but not on hafnium silicates (HfSiO x ). Specifically, the work function of Ru on SiO 2 increased from 4.5 eV at 500 deg. C to 5.0 eV at 700 deg. C. On further annealing to 900 deg. C or higher, the work function dropped to about 4.4 eV. In the case of HfSiO x , the work function of Ru changed by less than 100 mV over the same temperature range. Identical temperature dependence was observed using hafnium (Hf)/Ru and tantalum (Ta)/Ru bilayers. However, the peak values of the work function decreased with increasing Hf/Ru and Ta/Ru thickness ratios. Materials analysis suggests that these trends are driven by interactions at the Ru metal gate-dielectric interface
Wake-up effects in Si-doped hafnium oxide ferroelectric thin films
International Nuclear Information System (INIS)
Zhou, Dayu; Xu, Jin; Li, Qing; Guan, Yan; Cao, Fei; Dong, Xianlin; Müller, Johannes; Schenk, Tony; Schröder, Uwe
2013-01-01
Hafnium oxide based ferroelectric thin films have shown potential as a promising alternative material for non-volatile memory applications. This work reports the switching stability of a Si-doped HfO 2 film under bipolar pulsed-field operation. High field cycling causes a “wake-up” in virgin “pinched” polarization hysteresis loops, demonstrated by an enhancement in remanent polarization and a shift of negative coercive voltage. The rate of wake-up is accelerated by either reducing the frequency or increasing the amplitude of the cycling field. We suggest de-pinning of domains due to reduction of the defect concentration at bottom electrode interface as origin of the wake-up
Jones, James V.; Piatak, Nadine M.; Bedinger, George M.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.
2017-12-19
Zirconium and hafnium are corrosion-resistant metals that are widely used in the chemical and nuclear industries. Most zirconium is consumed in the form of the main ore mineral zircon (ZrSiO4, or as zirconium oxide or other zirconium chemicals. Zirconium and hafnium are both refractory lithophile elements that have nearly identical charge, ionic radii, and ionic potentials. As a result, their geochemical behavior is generally similar. Both elements are classified as incompatible because they have physical and crystallochemical properties that exclude them from the crystal lattices of most rock-forming minerals. Zircon and another, less common, ore mineral, baddeleyite (ZrO2), form primarily as accessory minerals in igneous rocks. The presence and abundance of these ore minerals in igneous rocks are largely controlled by the element concentrations in the magma source and by the processes of melt generation and evolution. The world’s largest primary deposits of zirconium and hafnium are associated with alkaline igneous rocks, and, in one locality on the Kola Peninsula of Murmanskaya Oblast, Russia, baddeleyite is recovered as a byproduct of apatite and magnetite mining. Otherwise, there are few primary igneous deposits of zirconium- and hafnium-bearing minerals with economic value at present. The main ore deposits worldwide are heavy-mineral sands produced by the weathering and erosion of preexisting rocks and the concentration of zircon and other economically important heavy minerals, such as ilmenite and rutile (for titanium), chromite (for chromium), and monazite (for rare-earth elements) in sedimentary systems, particularly in coastal environments. In coastal deposits, heavy-mineral enrichment occurs where sediment is repeatedly reworked by wind, waves, currents, and tidal processes. The resulting heavy-mineral-sand deposits, called placers or paleoplacers, preferentially form at relatively low latitudes on passive continental margins and supply 100 percent of
Amorphous Hafnium-Indium-Zinc Oxide Semiconductor Thin Film Transistors
Directory of Open Access Journals (Sweden)
Sheng-Po Chang
2012-01-01
Full Text Available We reported on the performance and electrical properties of co-sputtering-processed amorphous hafnium-indium-zinc oxide (α-HfIZO thin film transistors (TFTs. Co-sputtering-processed α-HfIZO thin films have shown an amorphous phase in nature. We could modulate the In, Hf, and Zn components by changing the co-sputtering power. Additionally, the chemical composition of α-HfIZO had a significant effect on reliability, hysteresis, field-effect mobility (μFE, carrier concentration, and subthreshold swing (S of the device. Our results indicated that we could successfully and easily fabricate α-HfIZO TFTs with excellent performance by the co-sputtering process. Co-sputtering-processed α-HfIZO TFTs were fabricated with an on/off current ratio of ~106, higher mobility, and a subthreshold slope as steep as 0.55 V/dec.
Directory of Open Access Journals (Sweden)
Saeid Marjani
2016-09-01
Full Text Available In this paper, a silicon–on–insulator (SOI p–n–p–n tunneling field–effect transistor (TFET with a silicon doped hafnium oxide (Si:HfO2 ferroelectric gate stack is proposed and investigated via 2D device simulation with a calibrated nonlocal band–to–band tunneling model. Utilization of Si:HfO2 instead of conventional perovskite ferroelectrics such as lead zirconium titanate (PbZrTiO3 and strontium bismuth tantalate (SrBi2Ta2O9 provides compatibility to the CMOS process as well as improved device scalability. By using Si:HfO2 ferroelectric gate stack, the applied gate voltage is effectively amplified that causes increased electric field at the tunneling junction and reduced tunneling barrier width. Compared with the conventional p–n–p–n SOI TFET, the on–state current and switching state current ratio are appreciably increased; and the average subthreshold slope (SS is effectively reduced. The simulation results of Si:HfO2 ferroelectric p–n–p–n SOI TFET show significant improvement in transconductance (∼9.8X enhancement at high overdrive voltage and average subthreshold slope (∼35% enhancement over nine decades of drain current at room temperature, indicating that this device is a promising candidate to strengthen the performance of p–n–p–n and conventional TFET for a switching performance.
Metallurgy of zirconium and hafnium
International Nuclear Information System (INIS)
Baryshnikov, N.V.; Geger, V.Eh.; Denisova, N.D.; Kazajn, A.A.; Kozhemyakin, V.A.; Nekhamkin, L.G.; Rodyakin, V.V.; Tsylov, Yu.A.
1979-01-01
Considered are those properties of zirconium and of hafnium, which are of practical interest for the manufacture of these elements. Systematized are the theoretical and the practical data on the procedures for thermal decomposition of zirconia and for obtaining zirconium dioxide and hafnium dioxide by a thermal decomposition of compounds and on the hydrometallurgical methods for extracting zirconium and hafnium. Zirconium and hafnium fluorides and chlorides production procedures are described. Considered are the iodide and the electrolytic methods of refining zirconium and hafnium
Maryana Zagula-Yavorska; Małgorzata Wierzbińska; Jan Sieniawski
2017-01-01
A 0.5 μm thick layer of rhodium was deposited on the CMSX 4 superalloy by the electroplating method. The rhodium-coated superalloy was hafnized and aluminized or only aluminized using the Chemical vapour deposition method. A comparison was made of the microstructure, phase composition, and oxidation resistance of three aluminide coatings: nonmodified (a), rhodium-modified (b), and rhodium- and hafnium-modified (c). All three coatings consisted of two layers: the additive layer and the interdi...
Lowering the environmental impact of high-kappa/ metal gate stack surface preparation processes
Zamani, Davoud
ABSTRACT Hafnium based oxides and silicates are promising high-κ dielectrics to replace SiO2 as gate material for state-of-the-art semiconductor devices. However, integrating these new high-κ materials into the existing complementary metal-oxide semiconductor (CMOS) process remains a challenge. One particular area of concern is the use of large amounts of HF during wet etching of hafnium based oxides and silicates. The patterning of thin films of these materials is accomplished by wet etching in HF solutions. The use of HF allows dissolution of hafnium as an anionic fluoride complex. Etch selectivity with respect to SiO2 is achieved by appropriately diluting the solutions and using slightly elevated temperatures. From an ESH point of view, it would be beneficial to develop methods which would lower the use of HF. The first objective of this study is to find new chemistries and developments of new wet etch methods to reduce fluoride consumption during wet etching of hafnium based high-κ materials. Another related issue with major environmental impact is the usage of large amounts of rinsing water for removal of HF in post-etch cleaning step. Both of these require a better understanding of the HF interaction with the high-κ surface during the etching, cleaning, and rinsing processes. During the rinse, the cleaning chemical is removed from the wafers. Ensuring optimal resource usage and cycle time during the rinse requires a sound understanding and quantitative description of the transport effects that dominate the removal rate of the cleaning chemicals from the surfaces. Multiple processes, such as desorption and re-adsorption, diffusion, migration and convection, all factor into the removal rate of the cleaning chemical during the rinse. Any of these processes can be the removal rate limiting process, the bottleneck of the rinse. In fact, the process limiting the removal rate generally changes as the rinse progresses, offering the opportunity to save resources
Analytical study of zirconium and hafnium α-hydroxy carboxylates
International Nuclear Information System (INIS)
Terra, V.R.
1991-01-01
The analytical study of zirconium and hafnium α-hydroxy carboxylates was described. For this purpose dl-mandelic, dl-p-bromo mandelic, dl-2-naphthyl glycolic, and benzilic acids were prepared. These were used in conjunction with glycolic, dl-lactic, dl-2-hydroxy isovaleric, dl-2-hydroxy hexanoic, and dl-2-hydroxy dodecanoic acids in order to synthesize the zirconium(IV) and hafnium(IV) tetrakis(α-hydroxy carboxylates). The compounds were characterized by melting point determination, infrared spectroscopy, thermogravimetric analysis, calcination to oxides and X-ray diffractometry by the powder method. (C.G.C)
Gravimetric determination of hafnium through its arsenate in carbide and boride of hafnium
International Nuclear Information System (INIS)
Rasulbekova, R.A.; Mamedov, I.A.
1976-01-01
A gravimetric method of determining hafnium through hydroarsenate has been recommended. The method differs from the known ones by its simplicity and by the recalculation coefficient which is more than by 50% smaller than that used in preparing a weight form of HfO 2 . Upon development of gravimetric determination of hafnium through hydro-and pyroarsenate, an investigation has been conducted with the aim to find some physico-chemical constants of hafnium hydroarsenate. The weighed amount of hafnium hydroarsenate is dissolved in sulphuric acid (2:5). The precipitate of hafnium hydroarsenate has been studied for recording infrared absorption spectra. Thermographic analysis of the precipitate has been performed. Thermogram reveals endothermal effect at 105 deg C and exothermal at 840 deg C. Water solubility of hafnium has been determined equal to 1.75x10 6 g mol/l. The corresponding solubility product of the precipitate has been calculated which is 2.1x10 -17 . It is shown that the method possesses certain selectivity, sufficient accuracy, and is rather fast. It has been established that determination can be performed in the presence of excess amounts of ions
Directory of Open Access Journals (Sweden)
S. Riedel
2016-09-01
Full Text Available Ferroelectric properties in hafnium oxide based thin films have recovered the scaling potential for ferroelectric memories due to their ultra-thin-film- and CMOS-compatibility. However, the variety of physical phenomena connected to ferroelectricity allows a wider range of applications for these materials than ferroelectric memory. Especially mixed HfxZr1-xO2 thin films exhibit a broad compositional range of ferroelectric phase stability and provide the possibility to tailor material properties for multiple applications. Here it is shown that the limited thermal stability and thick-film capability of HfxZr1-xO2 can be overcome by a laminated approach using alumina interlayers.
Dielectric response and ac conductivity analysis of hafnium oxide nanopowder
International Nuclear Information System (INIS)
Karahaliou, P K; Xanthopoulos, N; Krontiras, C A; Georga, S N
2012-01-01
The dielectric response of hafnium oxide nanopowder was studied in the frequency range of 10 -2 -10 6 MHz and in the temperature range of 20-180 °C. Broadband dielectric spectroscopy was applied and the experimental results were analyzed and discussed using the electric modulus (M*) and alternating current (ac) conductivity formalisms. The analyses of the dc conductivity and electric modulus data revealed the presence of mechanisms which are thermally activated, both with almost the same activation energy of 1.01 eV. A fitting procedure involving the superposition of the thermally activated dc conductivity, the universal dielectric responce and the near constant loss terms has been used to describe the frequency evolution of the real part of the specific electrical conductivity. The conductivity master curve was obtained, suggesting that the time-temperature superposition principle applies for the studied system, thus implying that the conductivity mechanisms are temperature independent.
Pyroelectricity of silicon-doped hafnium oxide thin films
Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.
2018-04-01
Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.
Niobium and hafnium grown on porous membranes
International Nuclear Information System (INIS)
Morant, C.; Marquez, F.; Campo, T.; Sanz, J.M.; Elizalde, E.
2010-01-01
In this work we report on a method for fabricating highly ordered nanostructures of niobium and hafnium metals by physical vapour deposition using two different templates: anodized aluminum oxide membranes (AAO) and zirconium onto AAO membranes (Zr/AAO). The growth mechanism of these metal nanostructures is clearly different depending on the material used as a template. A different morphology was obtained by using AAO or Zr/AAO templates: when the metal is deposited onto AAO membranes, nanospheres with ordered hexagonal regularity are obtained; however, when the metal is deposited onto a Zr/AAO template, highly ordered nanocones are formed. The experimental approach described in this work is simple and suitable for synthesizing nanospheres or nanoholes of niobium and hafnium metals in a highly ordered structure.
Analysis of hafnium in zirconium alloys
International Nuclear Information System (INIS)
Kondo, Isao; Sakai, Fumiaki; Ohuchi, Yoshifusa; Nakamura, Hisashi
1977-01-01
It is required to analyse alloying components and impurity elements in the acceptance analysis of zirconium alloys as the material for fuel cladding tubes and pressure tubes for advanced thermal reactors. Because of extreme similarity in chemical properties between zirconium and hafnium, about 100 ppm of hafnium is usually contained in zirconium alloys. Zircaloy-2 alloy and 2.5% Nb-zirconium with the addition of hafnium had been prepared as in-house standard samples for rapid analysis. Study was made on fluorescent X-ray analysis and emission spectral analysis to establish the analytical method. By using these in-house standard samples, acceptance analysis was successfully carried out for the fuel cladding tubes for advanced thermal reactors. Sulfuric acid solution was prepared from JAERI-Z 1, 2 and 3, the standard sample for zircaloy-2 prepared by the Analytical Committee on Nuclear Fuel and Reactor Materials, JAERI, and zirconium oxide (Hf 1 ppm/Zr). Standard Hf solution was added to the sulfuric acid solution step by step, to make up a series of the standard oxide samples by the precipitation process. By the use of these standard samples, the development of the analytical method and joint analysis were made by the three-member analytical technique research group including PNC. The analytical precision for the fluorescent X-ray analysis was improved by attaching a metallic yttrium filter to the window of an X-ray tube so as to suppress the effect due to zirconium matrix. The variation factor of the joint analysis was about 10% to show good agreement, and the indication value was determined. (Kobatake, H.)
Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process
International Nuclear Information System (INIS)
Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee
2004-01-01
We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions
An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant
2016-11-01
Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.
Energy Technology Data Exchange (ETDEWEB)
Biswas, Debaleen [Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700 064 (India); Sinha, Anil Kumar [ISU, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Homi Bhabha National Institute, BARC, Mumbai 400 094 (India); Chakraborty, Supratic, E-mail: supratic.chakraborty@saha.ac.in [Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Kolkata 700 064 (India)
2016-10-30
Highlights: • Residual stress estimation thin hafnium oxide film with thickness of <10 nm. • A mathematical expression is proposed for stress estimation of thin-film using GIXRD. • Residual stress varies with argon content in Ar/O{sub 2} plasma and annealing temperature. • Variation of stress is explained by IL swelling and enhanced structural relaxation. - Abstract: Synchrotron radiation-based grazing incidence X-ray diffraction (GI-XRD) technique is employed here to estimate the residual stress of < 10 nm thin hafnium oxide film deposited on Si (100) substrate at different argon/oxygen ratios using reactive rf sputtering. A decrease in residual stress, tensile in nature, is observed at higher annealing temperature for the samples deposited with increasing argon ratio in the Ar/O{sub 2} plasma. The residual stress of the films deposited at higher p{sub Ar} (Ar:O{sub 2} = 4:1) is also found to be decreased with increasing annealing temperature. But the stress is more or less constant with annealing temperature for the films deposited at lower Ar/O{sub 2} (1:4) ratio. All the above phenomena can be explained on the basis of swelling of the interfacial layer and enhanced structural relaxation in the presence of excess Hf in hafnium oxide film during deposition.
The gate oxide integrity of CVD tungsten polycide
International Nuclear Information System (INIS)
Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.
1988-01-01
CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density
Hafnium oxide nanoparticles: toward an in vitro predictive biological effect?
International Nuclear Information System (INIS)
Marill, Julie; Anesary, Naeemunnisa Mohamed; Zhang, Ping; Vivet, Sonia; Borghi, Elsa; Levy, Laurent; Pottier, Agnes
2014-01-01
Hafnium oxide, NBTXR3 nanoparticles were designed for high dose energy deposition within cancer cells when exposed to ionizing radiation. The purpose of this study was to assess the possibility of predicting in vitro the biological effect of NBTXR3 nanoparticles when exposed to ionizing radiation. Cellular uptake of NBTXR3 nanoparticles was assessed in a panel of human cancer cell lines (radioresistant and radiosensitive) by transmission electron microscopy. The radioenhancement of NBTXR3 nanoparticles was measured by the clonogenic survival assay. NBTXR3 nanoparticles were taken up by cells in a concentration dependent manner, forming clusters in the cytoplasm. Differential nanoparticle uptake was observed between epithelial and mesenchymal or glioblastoma cell lines. The dose enhancement factor increased with increase NBTXR3 nanoparticle concentration and radiation dose. Beyond a minimum number of clusters per cell, the radioenhancement of NBTXR3 nanoparticles could be estimated from the radiation dose delivered and the radiosensitivity of the cancer cell lines. Our preliminary results suggest a predictable in vitro biological effect of NBTXR3 nanoparticles exposed to ionizing radiation
Energy Technology Data Exchange (ETDEWEB)
Ramirez S, J.R.; Alonso V, G. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)]. e-mail: jrrs@nuclear.inin-mx
2003-07-01
Recently the use of hafnium as neutron absorber material in nuclear reactors has been reason of investigation by virtue of that this material has nuclear properties as to the neutrons absorption and structural that can prolong the useful life of the control mechanisms of the nuclear reactors. In this work some of those more significant hafnium properties are presented like nuclear material. Also there are presented calculations carried out with the HELIOS code for fuel cells of uranium oxide and of uranium and plutonium mixed oxides under controlled conditions with conventional bars of boron carbide and also with similar bars to which are substituted the absorbent material by metallic hafnium, the results are presented in this work. (Author)
Hafnium isotope stratigraphy of ferromanganese crusts
Lee; Halliday; Hein; Burton; Christensen; Gunther
1999-08-13
A Cenozoic record of hafnium isotopic compositions of central Pacific deep water has been obtained from two ferromanganese crusts. The crusts are separated by more than 3000 kilometers but display similar secular variations. Significant fluctuations in hafnium isotopic composition occurred in the Eocene and Oligocene, possibly related to direct advection from the Indian and Atlantic oceans. Hafnium isotopic compositions have remained approximately uniform for the past 20 million years, probably reflecting increased isolation of the central Pacific. The mechanisms responsible for the increase in (87)Sr/(86)Sr in seawater through the Cenozoic apparently had no effect on central Pacific deep-water hafnium.
Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.
Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing
2016-08-24
Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.
SEPARATION OF HAFNIUM FROM ZIRCONIUM
Overholser, L.B.; Barton, C.J. Sr.; Ramsey, J.W.
1960-05-31
The separation of hafnium impurities from zirconium can be accomplished by means of organic solvent extraction. The hafnium-containing zirconium feed material is dissolved in an aqueous chloride solution and the resulting solution is contacted with an organic hexone phase, with at least one of the phases containing thiocyanate. The hafnium is extracted into the organic phase while zirconium remains in the aqueous phase. Further recovery of zirconium is effected by stripping the onganic phase with a hydrochloric acid solution and commingling the resulting strip solution with the aqueous feed solution. Hexone is recovered and recycled by means of scrubbing the onganic phase with a sulfuric acid solution to remove the hafnium, and thiocyanate is recovered and recycled by means of neutralizing the effluent streams to obtain ammonium thiocyanate.
International Nuclear Information System (INIS)
Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y
2008-01-01
The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter
Molten salt scrubbing of zirconium or hafnium tetrachloride
International Nuclear Information System (INIS)
Lee, E.D.; McLaughlin, D.F.
1990-01-01
This patent describes a continuous process for removing impurities of iron or aluminum chloride or both from vaporous zirconium or hafnium chloride or both. It comprises: introducing impure zirconium or hafnium chloride vapor or both into a middle portion of an absorbing column containing a molten salt phase, the molten salt phase absorbing the impurities of iron or aluminum chloride or both to produce chloride vapor stripped of zirconium or hafnium chloride; introducing sodium or potassium chloride or both into a top portion of the column; controlling the top portion of the column to between 300--375 degrees C.; heating a bottom portion of the column to 450--550 degrees C. To vaporize zirconium chloride or hafnium chloride or hafnium and zirconium chloride from the molten salt; withdrawing molten salt substantially free of zirconium and hafnium chloride from the bottom portion of the column; and withdrawing zirconium chloride or hafnium chloride or hafnium and zirconium chloride vapor substantially free of impurities of iron and aluminum chloride from the top of the column
Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method
International Nuclear Information System (INIS)
Kobayashi, H.; Kim, W. B.; Matsumoto, T.
2011-01-01
We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)
Nano-scale Radiotherapy-NBTXR3 Hafnium Oxide Nanoparticles as Promising Cancer Therapy
International Nuclear Information System (INIS)
Maggiorella, L.; Barouch, G.; Devaux, C.; Pottier, A.; Levy, L.; Deutsch, E.; Bourhis, J.; Borghi, E.
2011-01-01
Complete text of publication follows: Background: There is considerable interest in approaches that could improve the therapeutic window of radiotherapy, which represents a crucial modality of treatment in oncology. We present the rationale for designing NBTXR3 nanoparticles activated by radiotherapy and validate the concept. We performed the Monte Carlo calculations for the first time based on the 'local model' simulation that showed a dose enhancement of radiation to tumour cells of approximately nine-fold. NBTXR3 was shown to deposit high energy when the ionizing radiation source is 'on' and to have chemically inert behavior in cellular and subcellular systems demonstrated by very good systemic tolerance, thus decreasing potential health hazards. Material and Methods: We used conventional methods, implemented in different ways, to explore interactions of high Z matter and ionizing radiation with biological systems. In addition, microtomography was performed to explore the nanoparticle volume occupancy inside the tumour and its persistence overtime in mouse tumour models. The antitumour activity of NBTXR3 and tolerance were evaluated in Ewing tumour (A673) and fibrosarcoma (HT1080) using high energy source. Results and Conclusion: We created and developed NBTXR3 nanoparticles with a crystalline hafnium oxide core which provide high electron density structure and inert behavior in biological media. NBTXR3 nanoparticles' characteristics, size, charge and shape, allow for efficient interaction with biological entities, cell membrane binding and cellular uptake. The nanoparticles were shown to form clusters at the subcellular level in tumour models. Of most importance, we show NBTXR3 intra-tumour bioavailability with dispersion of nanoparticles in the three dimensions and persistence within the tumour structure, supporting the use of NBTXR3 as effective antitumour therapeutic agent. Antitumour activity of NBTXR3 showed marked advantage in terms of survival, tumour
Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders
2018-04-12
Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.
International Nuclear Information System (INIS)
Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.
2012-01-01
In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.
Highly stable thin film transistors using multilayer channel structure
Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.
2015-01-01
We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured
Sub-10 nm low current resistive switching behavior in hafnium oxide stack
Energy Technology Data Exchange (ETDEWEB)
Hou, Y., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn [Institute of Microelectronics, Peking University, 100871 Beijing (China); IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee (Belgium); Celano, U.; Xu, Z.; Vandervorst, W. [IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Department of Physics and Astronomy, KU Leuven, Celestijnenlaan 200D, B-3001 Heverlee (Belgium); Goux, L.; Fantini, A.; Degraeve, R.; Youssef, A.; Jurczak, M. [IMEC, Kapeldreef 75, B-3001 Heverlee (Belgium); Liu, L., E-mail: houyi@pku.edu.cn, E-mail: lfliu@pku.edu.cn; Cheng, Y.; Kang, J. [Institute of Microelectronics, Peking University, 100871 Beijing (China)
2016-03-21
In this letter, a tip-induced cell relying on the conductive atomic force microscope is proposed. It is verified as a referable replica of an integrated resistive random access memory (RRAM) device. On the basis of this cell, the functionality of sub-10 nm resistive switching is confirmed in hafnium oxide stack. Moreover, the low current switching behavior in the sub-10 nm dimension is found to be more pronounced than that of a 50 × 50 nm{sup 2} device. It shows better ON/OFF ratio and low leakage current. The enhanced memory performance is ascribed to a change in the shape of the conductive filament as the device dimensions are reduced to sub-10 nm. Therefore, device downscaling provides a promising approach for the resistance optimization that benefits the RRAM array design.
International Nuclear Information System (INIS)
Ioffe, R.B.; Korovin, Yu.I.
1978-01-01
The possibility is investigated of chlorinating various zirconium and hafnium compounds (metal, oxide, carbide) in a hot discharge from a hollow cathode with various chlorinating reagents: copper monochloride, nickel chloride, magnesium chloride, for the purpose of accelerating their entrance into the excitation zone. It has been shown thermodynamically and experimentally that chlorination of metal zirconium and hafnium and their carbides with copper monochloride in hot hollow cathode conditions provides a sharp increase in the intensity of the lines of these elements
International Nuclear Information System (INIS)
Dittmer, G.; Niemann, U.
1987-01-01
A consistent set of thermodynamic data for zirconium and hafnium halides, oxides and oxyhalides was achieved. It was found that formation enthalpies of gaseous compounds could be derived from solubility measurements together with theoretical estimations and a revision of literature data. Free energy functions were calculated employing statistical mechanics. Data for liquid and solid compounds were obtained via sublimation and vaporization data. Chemical equilibria of zirconium and hafnium with halogens are discussed. 51 refs.; 16 figs.; 14 tabs
SEPARATING HAFNIUM FROM ZIRCONIUM
Lister, B.A.J.; Duncan, J.F.
1956-08-21
A dilute aqueous solution of zirconyl chloride which is 1N to 2N in HCl is passed through a column of a cation exchange resin in acid form thereby absorbing both zirconium and associated hafnium impurity in the mesin. The cation exchange material with the absorbate is then eluted with aqueous sulfuric acid of a O.8N to 1.2N strength. The first portion of the eluate contains the zirconium substantially free of hafnium.
New solvent extraction process for zirconium and hafnium
International Nuclear Information System (INIS)
Takahashi, M.; Katoh, Y.; Miyazaki, H.
1984-01-01
The authors' company developed a new solvent extraction process for zirconium and hafnium separation, and started production of zirconium sponge by this new process in September 1979. The process utilizes selective extraction of zirconium oxysulfate using high-molecular alkyl amine, and has the following advantages: 1. This extraction system has a separation factor as high as 10 to 20 for zirconium and hafnium in the range of suitable acid concentration. 2. In the scrubbing section, removal of all the hafnium that coexists with zirconium in the organic solvent can be effectively accomplished by using scrubbing solution containing hafnium-free zirconium sulfate. Consequently, hafnium in the zirconium sponge obtained is reduced to less than 50 ppm. 3. The extractant undergoes no chemical changes but is very stable for a long period. In particular, its solubility in water is small, about 20 ppm maximum, posing no environmental pollution problems such as are often caused by other process raffinates. At the present time, the zirconium and hafnium separation operation is very stable, and zirconium sponge made by this process can be applied satisfactorily to nuclear reactors
Preparation of hafnium metal by calciothermic reduction of HfO2
International Nuclear Information System (INIS)
Sharma, I.G.; Vijay, P.L.; Sehra, J.C.; Sundaram, C.V.
1975-01-01
Hafnium metal powder has been produced by the calciothermic reduction of hafnium oxide. The influence of various experimental parameters - such as amount of calcium in excess of stoichiometric requirement, temperature, and time of reduction - on the yield and purity of the metal has been studied. The metal powder obtained by reduction at 960 0 C (two hours) with a calcium excess of 70% analysed 600 ppm of oxygen and 147 ppm of nitrogen. A reduction efficiency of 96% has been achieved under these conditions. The refining of the powder by electron beam melting, fused salt electrolysis, and iodide process has been studied. The oxygen content in the metal could be brought down from 6900 to 148 ppm by electron beam melt-refining. (author)
Preparation of hafnium carbide by chemical vapor deposition
International Nuclear Information System (INIS)
Hertz, Dominique.
1974-01-01
Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr
Ramos-Gonzá lez, R.; Garcí a-Cerda, L. A.; Alshareef, Husam N.; Gnade, Bruce E.; Quevedo-Ló pez, Manuel Angel Quevedo
2010-01-01
This work reports the preparation and characterization of hafnium (IV) oxide (HfO2) nanoparticles grown by derived sol-gel routes that involves the formation of an organic polymeric network. A comparison between polymerized complex (PC) and polymer precursor (PP) methods is presented. For the PC method, citric acid (CA) and ethylene glycol (EG) are used as the chelating and polymerizable reagents, respectively. In the case of PP method, poly(acrylic acid) (PAA) is used as the chelating reagent. In both cases, different precursor gels were prepared and the hafnium (IV) chloride (HfCl4) molar ratio was varied from 0.1 to 1.0 for the PC method and from 0.05 to 0.5 for the PP method. In order to obtain the nanoparticles, the precursors were heat treated at 500 and 800 °C. The thermal characterization of the precursor gels was carried out by thermogravimetric analysis (TGA) and the structural and morphological characterization by X-ray diffraction (XRD) and transmission electron microscopy (TEM). The XRD patterns of the samples obtained by both methods shows the formation of HfO2 at 500 °C with monoclinic crystalline phase. The PC method exhibited also the cubic phase. Finally, the HfO2 nanoparticles size (4 to 11 nm) was determined by TEM and XRD patterns. © (2010) Trans Tech Publications.
Ramos-González, R.
2010-03-01
This work reports the preparation and characterization of hafnium (IV) oxide (HfO2) nanoparticles grown by derived sol-gel routes that involves the formation of an organic polymeric network. A comparison between polymerized complex (PC) and polymer precursor (PP) methods is presented. For the PC method, citric acid (CA) and ethylene glycol (EG) are used as the chelating and polymerizable reagents, respectively. In the case of PP method, poly(acrylic acid) (PAA) is used as the chelating reagent. In both cases, different precursor gels were prepared and the hafnium (IV) chloride (HfCl4) molar ratio was varied from 0.1 to 1.0 for the PC method and from 0.05 to 0.5 for the PP method. In order to obtain the nanoparticles, the precursors were heat treated at 500 and 800 °C. The thermal characterization of the precursor gels was carried out by thermogravimetric analysis (TGA) and the structural and morphological characterization by X-ray diffraction (XRD) and transmission electron microscopy (TEM). The XRD patterns of the samples obtained by both methods shows the formation of HfO2 at 500 °C with monoclinic crystalline phase. The PC method exhibited also the cubic phase. Finally, the HfO2 nanoparticles size (4 to 11 nm) was determined by TEM and XRD patterns. © (2010) Trans Tech Publications.
Understanding the Structure of High-K Gate Oxides - Oral Presentation
Energy Technology Data Exchange (ETDEWEB)
Miranda, Andre [SLAC National Accelerator Lab., Menlo Park, CA (United States)
2015-08-25
Hafnium Oxide (HfO2) amorphous thin films are being used as gate oxides in transistors because of their high dielectric constant (κ) over Silicon Dioxide. The present study looks to find the atomic structure of HfO2 thin films which hasn’t been done with the technique of this study. In this study, two HfO2 samples were studied. One sample was made with thermal atomic layer deposition (ALD) on top of a Chromium and Gold layer on a silicon wafer. The second sample was made with plasma ALD on top of a Chromium and Gold layer on a Silicon wafer. Both films were deposited at a thickness of 50nm. To obtain atomic structure information, Grazing Incidence X-ray diffraction (GIXRD) was carried out on the HfO2 samples. Because of this, absorption, footprint, polarization, and dead time corrections were applied to the scattering intensity data collected. The scattering curves displayed a difference in structure between the ALD processes. The plasma ALD sample showed the broad peak characteristic of an amorphous structure whereas the thermal ALD sample showed an amorphous structure with characteristics of crystalline materials. This appears to suggest that the thermal process results in a mostly amorphous material with crystallites within. Further, the scattering intensity data was used to calculate a pair distribution function (PDF) to show more atomic structure. The PDF showed atom distances in the plasma ALD sample had structure up to 10 Å, while the thermal ALD sample showed the same structure below 10 Å. This structure that shows up below 10 Å matches the bond distances of HfO2 published in literature. The PDF for the thermal ALD sample also showed peaks up to 20 Å, suggesting repeating atomic spacing outside the HfO2 molecule in the sample. This appears to suggest that there is some crystalline structure within the thermal ALD sample.
International Nuclear Information System (INIS)
Xu, Ke
2013-01-01
elements for ALD applications. A possible synthesis route is the salt metatheses route. It could be expected that the monodentate guanidine ligand would provide increased reactivity towards water, where the bulky guanidine ligands will shield the RE center providing self-limiting growth behavior. In Chapter 4 and 7, ALD of rare earth oxides and hafnium oxide using corresponding guanidinate precursors are in detail investigated. According to the measurements, these processes are true ALD processes with broad ALD windows, high growth per cycle in the saturated area. Furthermore, the rare earth guanidinate and hafnium guanidinate precursors exhibit matching ALD window in the temperature range of 200 - 225 C. This motivated us to deposite trinary oxide thin films (HfREOx) using corresponding precursor combinations.
International Nuclear Information System (INIS)
Lee, Ching-Sung; Hung, Chun-Tse; Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lai, Ying-Nan
2012-01-01
Al 0.22 Ga 0.78 As/In 0.24 Ga 0.76 As pseudomorphic high-electron-mobility transistors (pHEMTs) with metal-oxide-semiconductor (MOS)-gate structure or oxide passivation by using ozone water oxidation treatment have been comprehensively investigated. Annihilated surface states, enhanced gate insulating property and improved device gain have been achieved by the devised MOS-gate structure and oxide passivation. The present MOS-gated or oxide-passivated pHEMTs have demonstrated superior device performances, including superior breakdown, device gain, noise figure, high-frequency characteristics and power performance. Temperature-dependent device characteristics of the present designs at 300–450 K are also studied. (paper)
Flotation separation of hafnium(IV) from aqueous solutions
International Nuclear Information System (INIS)
Downey, D.M.; Narick, C.N.; Cohen, T.A.
1985-01-01
A simple, rapid method for the separation of hafnium from aqueous solutions was investigated using sup(175+181)Hf tracer. Cationic hafnium complex ions were floated from dilute acid solutions with sodium lauryl sulfate (SLS) and anionic hafnium complexes were floated from basic and oxalic acid solutions with hexadecyltrimethyl ammonium bromide (HTMAB). The conditions necessary for quantitative recovery of the metal and mechanisms of flotation are described. (author)
Flotation separation of hafnium(IV) from aqueous solutions
Energy Technology Data Exchange (ETDEWEB)
Downey, D.M.; Narick, C.N.; Cohen, T.A.
1985-09-01
A simple, rapid method for the separation of hafnium from aqueous solutions was investigated using sup(175 + 181)Hf tracer. Cationic hafnium complex ions were floated from dilute acid solutions with sodium lauryl sulfate (SLS) and anionic hafnium complexes were floated from basic and oxalic acid solutions with hexadecyltrimethyl ammonium bromide (HTMAB). The conditions necessary for quantitative recovery of the metal and mechanisms of flotation are described. (author). 21 refs.; 5 figs.
Energy Technology Data Exchange (ETDEWEB)
Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)
2016-09-01
We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.
Degradation of ultra-thin gate oxide LDD NMOSFET under GIDL stress
International Nuclear Information System (INIS)
Hu Shigang; Hao Yue; Cao Yanrong; Ma Xiaohua; Wu Xiaofeng; Chen Chi; Zhou Qingjun
2009-01-01
The degradation of device under GIDL (gate-induced drain leakage current) stress has been studied using LDD NMOSFETs with 1.4 nm gate oxides. Experimental result shows that the degradation of device parameters depends more strongly on V d than on V g . The characteristics of the GIDL current are used to analyze the damage generated during the stress. It is clearly found that the change of GIDL current before and after stress can be divided into two stages. The trapping of holes in the oxide is dominant in the first stage, but that of electrons in the oxide is dominant in the second stage. It is due to the common effects of edge direct tunneling and band-to-band tunneling. SILC (stress induced leakage current) in the NMOSFET decreases with increasing stress time under GIDL stress. The degradation characteristic of SILC also shows saturating time dependence. SILC is strongly dependent on the measured gate voltage. The higher the measured gate voltage, the less serious the degradation of the gate current. A likely mechanism is presented to explain the origin of SILC during GIDL stress.
Silicon-doped hafnium oxide anti-ferroelectric thin films for energy storage
Ali, Faizan; Liu, Xiaohua; Zhou, Dayu; Yang, Xirui; Xu, Jin; Schenk, Tony; Müller, Johannes; Schroeder, Uwe; Cao, Fei; Dong, Xianlin
2017-10-01
Motivated by the development of ultracompact electronic devices as miniaturized energy autonomous systems, great research efforts have been expended in recent years to develop various types of nano-structural energy storage components. The electrostatic capacitors characterized by high power density are competitive; however, their implementation in practical devices is limited by the low intrinsic energy storage density (ESD) of linear dielectrics like Al2O3. In this work, a detailed experimental investigation of energy storage properties is presented for 10 nm thick silicon-doped hafnium oxide anti-ferroelectric thin films. Owing to high field induced polarization and slim double hysteresis, an extremely large ESD value of 61.2 J/cm3 is achieved at 4.5 MV/cm with a high efficiency of ˜65%. In addition, the ESD and the efficiency exhibit robust thermal stability in 210-400 K temperature range and an excellent endurance up to 109 times of charge/discharge cycling at a very high electric field of 4.0 MV/cm. The superior energy storage performance together with mature technology of integration into 3-D arrays suggests great promise for this recently discovered anti-ferroelectric material to replace the currently adopted Al2O3 in fabrication of nano-structural supercapacitors.
Preparation of complexes of zirconium and hafnium tetrachlorides with phosphorus oxychloride
International Nuclear Information System (INIS)
McLaughlin, D.F.
1989-01-01
This patent describes an improvement in a method for separating hafnium tetrachloride from zirconium tetrachloride where a complex of zirconium-hafnium tetrachlorides and phosphorus oxychloride is prepared from zirconium-hafnium tetrachlorides and the complex of zirconium-hafnium tetrachlorides and phosphorus oxychloride is introduced into a distillation column, which distillation column has a reboiler connected at the bottom and a reflux condenser connected at the top and where a hafnium tetrachloride enriched stream is taken from the top of the column and a zirconium enriched tetrachloride stream is taken from the bottom of the column. The improvement comprising: prepurifying the zirconium-hafnium tetrachlorides, prior to preparation of the complex and introduction of the complex into a distillation column, to substantially eliminate iron chloride from the zirconium hafnium tetrachlorides, whereby buildup or iron chloride in the distillation column and in the reboiler is substantially eliminated and the column can be operated in a continuous, stable and efficient manner
International Nuclear Information System (INIS)
Chisaka, Mitsuharu; Itagaki, Noriaki
2016-01-01
Evaluation of the oxygen reduction reaction (ORR) on oxide compounds is difficult owing to the insulating nature of oxides. In this study, various amounts of L(+)-lysine were added to the precursor dispersion for the hydrothermal synthesis of hafnium oxide nanoparticles on reduced graphene oxide sheets (HfO_x–rGO) to coat the HfO_x catalysts with layers of carbon, thereby increasing the conductivity and number of active sites. When the mass ratio of L(+)-lysine to GO, R, was above 26, carbon layers were formed and the amount monotonically increased with increasing R, as noted by cyclic voltammogrametry. X-ray photoelectron spectroscopy and rotating disk electrode analyses revealed that pyrolysis produced ORR-active oxygen defects, whose formation was proposed to involve carbothermal reduction. When 53 ≤ R ≤ 210, HfO_x–rGO contained a similar amount of oxygen defects and ORR activity, as represented by an onset potential of 0.9 V versus the reversible hydrogen electrode in 0.1 mol dm"−"3 H_2SO_4. However, the number of active sites depended on R due to the amount of L(+)-lysine-derived carbon layers that increased both the number of active sites and resistivity towards oxygen diffusion.
Study of fine structure of deformed hafnium
International Nuclear Information System (INIS)
Voskresenskaya, L.A.; Petukhova, A.S.; Kovalev, K.S.
1978-01-01
Variations in the hafnium fine structure following the cold plastic deformation have been studied. The fine structure condition has been studied through the harmonic analysis of the profile of the X-ray diffraction line, obtained at the DRON-I installation. Received has been the dependence of the crystal lattice microdistortions value on the deformation extent for hafnium. This dependence is compared with the corresponding one for zirconium. It is found out that at all the deformations the microdistortion distribution is uniform. The microdistortion value grows with the increase in the compression. During the mechanical impact higher microdistortions of the crystal lattice occur in the hafnium rather than in zirconium
Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo
2012-04-01
The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.
Titanium zirconium and hafnium coordination compounds with vanillin thiosemicarbazone
International Nuclear Information System (INIS)
Konunova, Ts.B.; Kudritskaya, S.A.
1987-01-01
Coordination compounds of titanium zirconium and hafnium tetrachlorides with vanillin thiosemicarbazone of MCl 4 x nLig composition, where n=1.5, 4 for titanium and 1, 2, 4 for zirconium and hafnium, are synthesized. Molar conductivity of ethanol solutions is measured; IR spectroscopic and thermochemical investigation are carried out. The supposition about ligand coordination via sulfur and azomethine nitrogen atoms is made. In all cases hafnium forms stable compounds than zirconium
Hafnium - material for chemical apparatus engineering
International Nuclear Information System (INIS)
Jennert, D.
1981-01-01
This work describes - on the background of available literature - the properties of hafnium in technical quality (DIN-material No. 2.6400) as material for chemical apparatus engineering. The occurence, refining, physical and chemical properties will be described as well as the material behavior. In conclusion, it has been found that there is, at present, sufficient information for the engineering of hafnium which has to be completed by additional investigations for special applications. (orig.) [de
International Nuclear Information System (INIS)
Li, W.S.; Wu, Bill; Fan, Aki; Kuo, C.W.; Segovia, M.; Kek, H.A.
2005-01-01
Nitrogen concentration in the gate oxide plays a key role for 90 nm and below ULSI technology. Techniques like secondary ionization mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS) are commonly used for understanding N concentration. This paper describes the application of the carrier illuminationTM (CI) technique to measure the nitrogen concentration in ultra-thin gate oxides. A set of ultra-thin gate oxide wafers with different DPN (decoupled plasma nitridation) treatment conditions were measured using the CI technique. The CI signal has excellent correlation with the N concentration as measured by XPS
Internal Dosimetry for Inhalation of Hafnium Tritide Aerosols
Energy Technology Data Exchange (ETDEWEB)
Inkret, W.C.T.; Schillaci, M.E.; Boyce, M.K.; Cheng, Y.S.; Efurd, D.W.; Little, T.T.; Miller, G.; Musgrave, J.A.; Wermer, J.R
2001-07-01
Metal tritides with low dissolution rates may have residence times in the lungs which are considerably longer than the biological half-time normally associated with tritium in body water, resulting in long-term irradiation of the lungs by low energy {beta} particles and bremsstrahlung X rays. Samples of hafnium tritide were placed in a lung simulant fluid to determine approximate lung dissolution rates. Hafnium hydride samples were analysed for particle size distribution with a scanning electron microscope. Lung simulant data indicated a biological dissolution half-time for hafnium tritide on the order of 10{sup 5}d. Hafnium hydride particle sizes ranged between 2 and 10 {mu}m, corresponding to activity median aerodynamic diameters of 5 to 25 {mu}m. Review of in vitro dissolution data, development of a biokinetic model, and determination of secondary limits for 1 {mu}m AMAD particles are presented and discussed. (author)
Directory of Open Access Journals (Sweden)
Paul C. McIntyre
2012-07-01
Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.
Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices
Directory of Open Access Journals (Sweden)
Yi Zhao
2012-08-01
Full Text Available High permittivity (k gate dielectric films are widely studied to substitute SiO2 as gate oxides to suppress the unacceptable gate leakage current when the traditional SiO2 gate oxide becomes ultrathin. For high-k gate oxides, several material properties are dominantly important. The first one, undoubtedly, is permittivity. It has been well studied by many groups in terms of how to obtain a higher permittivity for popular high-k oxides, like HfO2 and La2O3. The second one is crystallization behavior. Although it’s still under the debate whether an amorphous film is definitely better than ploy-crystallized oxide film as a gate oxide upon considering the crystal boundaries induced leakage current, the crystallization behavior should be well understood for a high-k gate oxide because it could also, to some degree, determine the permittivity of the high-k oxide. Finally, some high-k gate oxides, especially rare earth oxides (like La2O3, are not stable in air and very hygroscopic, forming hydroxide. This topic has been well investigated in over the years and significant progresses have been achieved. In this paper, I will intensively review the most recent progresses of the experimental and theoretical studies for preparing higher-k and more stable, in terms of hygroscopic tolerance and crystallization behavior, Hf- and La-based ternary high-k gate oxides.
Hafnium-Based Contrast Agents for X-ray Computed Tomography.
Berger, Markus; Bauser, Marcus; Frenzel, Thomas; Hilger, Christoph Stephan; Jost, Gregor; Lauria, Silvia; Morgenstern, Bernd; Neis, Christian; Pietsch, Hubertus; Sülzle, Detlev; Hegetschweiler, Kaspar
2017-05-15
Heavy-metal-based contrast agents (CAs) offer enhanced X-ray absorption for X-ray computed tomography (CT) compared to the currently used iodinated CAs. We report the discovery of new lanthanide and hafnium azainositol complexes and their optimization with respect to high water solubility and stability. Our efforts culminated in the synthesis of BAY-576, an uncharged hafnium complex with 3:2 stoichiometry and broken complex symmetry. The superior properties of this asymmetrically substituted hafnium CA were demonstrated by a CT angiography study in rabbits that revealed excellent signal contrast enhancement.
40 CFR 471.90 - Applicability; description of the zirconium-hafnium forming subcategory.
2010-07-01
... zirconium-hafnium forming subcategory. 471.90 Section 471.90 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Zirconium-Hafnium Forming Subcategory § 471.90 Applicability; description of the zirconium-hafnium forming subcategory. This subpart applies to discharges of pollutants to waters of the...
Calorimetric measurements on hafnium titanate
International Nuclear Information System (INIS)
Kandan, R.; Prabhakara Reddy, B.; Panneerselvam, G.; Nagarajan, K.
2012-01-01
Owing to its desirable nuclear and mechanical properties such as good absorption cross-section for thermal neutrons (105 barns), hafnium titanate (HfTiO 4 ) finds application as control rods for nuclear reactors. An accurate knowledge of the thermo physical properties of this material is necessary for design of control rod and for modeling its performance. Heat capacity is an important thermodynamic property that determines the temperature dependent variation of all other thermodynamic properties. Hence enthalpy increments of hafnium titanate (HfTiO 4 ) were measured in the temperature range 803-1663 K by employing the method of inverse drop calorimetry using high temperature differential calorimeter
Preparation of complexes of zirconium and hafnium tetrachlorides with phosphorus oxychloride
International Nuclear Information System (INIS)
Snyder, T.S.; Stoltz, R.A.
1989-01-01
This patent describes an improvement in a method for separating hafnium chloride from zirconium chloride using a distillation column, with a hafnium chloride enriched vapor stream taken from the top of the column and a zirconium enriched chloride stream taken from the bottom of the column. The improvement comprising: purifying the zirconium-hafnium chloride in a molten salt purification vessel prior to or after introduction into the distillation column to substantially eliminate iron chloride from the zirconium-hafnium chloride by at least periodically removing iron chloride from the molten salt purification vessel by electrochemically plating iron onto an electrode in the molten salt purification vessel. The molten salt in the molten salt purification vessel consisting essentially of a mixture of chlorides selected from the group consisting of alkali metals, alkaline earth metals, zirconium, hafnium, aluminum, manganese, and zinc
Method of purifying zirconium tetrachloride and hafnium tetrachloride in a vapor stream
International Nuclear Information System (INIS)
Snyder, T.S.; Stolz, R.A.
1992-01-01
This patent describes a method of purifying zirconium tetrachloride and hafnium tetrachloride in a vapor stream from a sand chlorinator in which the silicon and metals present in sand fed to the chlorinator are converted to chlorides at temperatures over about 800 degrees C. It comprises cooling a vapor stream from a sand chlorinator, the vapor stream containing principally silicon tetrachloride, zirconium tetrachloride, and hafnium tetrachloride contaminated with ferric chloride, to a temperature of from about 335 degrees C to about 600 degrees C; flowing the vapor stream through a gaseous diffusion separative barrier to produce a silicon tetrachloride-containing vapor stream concentrated in zirconium tetrachloride and hafnium tetrachloride and a silicon tetrachloride-containing vapor stream depleted in zirconium tetrachloride and hafnium tetrachloride; adsorbing the ferric chloride in the separative barrier; and recovering the silicon tetrachloride stream concentrated in zirconium tetrachloride and hafnium tetrachloride separately from the silicon tetrachloride stream depleted in zirconium tetrachloride and hafnium tetrachloride
Phosphorus oxide gate dielectric for black phosphorus field effect transistors
Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.
2018-04-01
The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.
Spectrofluorimetric determination of hafnium and zirconium with 3,7-dihydroxyflavone
Energy Technology Data Exchange (ETDEWEB)
Ito, Takushi; Suzuki, Osamu; Seuzuki, Tetsuo; Murata, Akira
1986-04-01
The absorptive and fluorescent characteristics of the hafnium and zirconium complexes of 3-hydroxyflavone and its 12 hydroxy and methoxy derivatives have been studied. The fluorescence of the 1:1 hafnium - 3,7-dihydroxyflavone complex (lambdasub(ex.)397 nm,lambdasub(em.) 465 nm) in 3 M hydrochloric acid has been used to determine 2-40 ng ml/sup -1/ of hafnium. The fluorescence of the 1:1 zirconium - 3,7-dihydroxyflavone complex (lambdasub(ex.) 395 nm, lambda sub(em.) 465 nm) at pH 2.0 in 0.02 M sulphate solution has been used to determine 2-40 ng ml/sup -1/ of zirconium. These methods are very sensitive and can be used for the simultaneous determination of hafnium and zirconium with an error of about 5%.
Molten salt extractive distillation process for zirconium-hafnium separation
International Nuclear Information System (INIS)
McLaughlin, D.F.; Stoltz, R.A.
1989-01-01
This patent describes an improvement in a process for zirconium-hafnium separation. It utilizes an extractive distillation column with a mixture of zirconium and hafnium tetrachlorides introduced into a distillation column having a top and bottom with hafnium enriched overheads taken from the top of the column and a molten salt solvent circulated through the column to provide a liquid phase, and with molten salt solvent containing zirconium chloride being taken from the bottom of the distillation column. The improvements comprising: utilizing a molten salt solvent consisting principally of lithium chloride and at least one of sodium, potassium, magnesium and calcium chlorides; stripping of the zirconium chloride taken from the bottom of the distillation column by electrochemically reducing zirconium from the molten salt solvent; and utilizing a pressurized reflux condenser on the top of the column to add the hafnium chloride enriched overheads to the molten salt solvent previously stripped of zirconium chloride
Energy Technology Data Exchange (ETDEWEB)
Chun, Minkyu; Um, Jae Gwang; Park, Min Sang; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 02447 (Korea, Republic of)
2016-07-15
We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of In metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.
40 CFR 421.330 - Applicability: Description of the primary zirconium and hafnium subcategory.
2010-07-01
... primary zirconium and hafnium subcategory. 421.330 Section 421.330 Protection of Environment ENVIRONMENTAL... CATEGORY Primary Zirconium and Hafnium Subcategory § 421.330 Applicability: Description of the primary zirconium and hafnium subcategory. The provisions of this subpart are applicable to discharges resulting...
Carbon nanotube transistors with graphene oxide films as gate dielectrics
Institute of Scientific and Technical Information of China (English)
无
2010-01-01
Carbon nanomaterials,including the one-dimensional(1-D) carbon nanotube(CNT) and two-dimensional(2-D) graphene,are heralded as ideal candidates for next generation nanoelectronics.An essential component for the development of advanced nanoelectronics devices is processing-compatible oxide.Here,in analogy to the widespread use of silicon dioxide(SiO2) in silicon microelectronic industry,we report the proof-of-principle use of graphite oxide(GO) as a gate dielectrics for CNT field-effect transistor(FET) via a fast and simple solution-based processing in the ambient condition.The exceptional transistor characteristics,including low operation voltage(2 V),high carrier mobility(950 cm2/V-1 s-1),and the negligible gate hysteresis,suggest a potential route to the future all-carbon nanoelectronics.
Chemical gating of epitaxial graphene through ultrathin oxide layers.
Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano
2015-08-07
We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.
Design and fabrication of hafnium tube to control the power of the irradiation test fuel in HANARO
Energy Technology Data Exchange (ETDEWEB)
Kim, D. H.; Lee, C. B.; Kim, Y. M.; Yang, Y. S.; Jung, Y. H
2003-05-01
For the irradiation test at HANARO, non-instrumentation capsule was manufactured and hafnium tube was used to control LHGR of HANARO. Hafnium tube can control the irradiation condition of HANARO similar to that of commercial reactor. Hafnium tube thickness was determined by the LHGR calculated at OR-4 irradiation hole to be installed the non-instrumented capsule. To fabricate the hafnium tube with hafnium plate, the fabrication method was determined by using the hafnium mechanical properties. And the tensile strength of hafnium was confirmed by tensile test. This report is confirmed the LHGR control at the OR-4 and the Hafnium fabrication for in used which the AFPCAP non-instrumented irradiation capsule.
Use of X-ray fluorescence analysis for the determination of hafnium in zircalloys
International Nuclear Information System (INIS)
Sato, I.M.; Salvador, V.L.R.; Lordello, A.R.
1985-01-01
The determination of hafnium at trace levels (ppm) in the presence of zirconium by X-ray fluorescence technique is presented. The samples were prepared in the form of double-layer pellets, with boric acid as the binding material. The most sensitive first order line of hafnium HfLα (0.157 nm), which is used analyticaly, has approximately 67% overlapping with second order line of zirconium ZrKα 1 , (0.079 nm). As the excitation potencial of zirconium is larger than hafnium, the best condition was selected, so that the interference of zirconium intensity would not be significant in hafnium analytical line. The method allowed the determination of hafnium above 5.0 ppm (LLd = 1.5 ppm) with an accuracy of less than 10%. (Author) [pt
ZnO nanowire-based nano-floating gate memory with Pt nanocrystals embedded in Al2O3 gate oxides
International Nuclear Information System (INIS)
Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig
2008-01-01
The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al 2 O 3 tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I DS -V GS ) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper
Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures
International Nuclear Information System (INIS)
Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich
2006-01-01
The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si
Impact of oxide thickness on gate capacitance – Modelling and ...
Indian Academy of Sciences (India)
Department of Electronics and Communication Engineering, National ... conventional HEMT, Schottky barrier diode is formed at the gate electrode. .... term corresponds to the energy required for the electric field in the oxide layer and the.
The corrosion behavior of hafnium in high-temperature-water environments
Energy Technology Data Exchange (ETDEWEB)
Rishel, D.M.; Smee, J.D.; Kammenzind, B.F.
1999-10-01
The high-temperature-water corrosion performance of hafnium is evaluated. Corrosion kinetic data are used to develop correlations that are a function of time and temperature. The evaluation is based on corrosion tests conducted in out-of-pile autoclaves and in out-of-flux locations of the Advanced Test Reactor (ATR) at temperatures ranging from 288 to 360 C. Similar to the corrosion behavior of unalloyed zirconium, the high-temperature-water corrosion response of hafnium exhibits three corrosion regimes: pretransition, posttransition, and spalling. In the pretransition regime, cubic corrosion kinetics are exhibited, whereas in the posttransition regime, linear corrosion kinetics are exhibited. Because of the scatter in the spalling regime data, it is not reasonable to use a best fit of the data to describe spalling regime corrosion. Data also show that neutron irradiation does not alter the corrosion performance of hafnium. Finally, the data illustrate that the corrosion rate of hafnium is significantly less than that of Zircaloy-2 and Zircaloy-4.
Solvothermal synthesis of gallium-indium-zinc-oxide nanoparticles for electrolyte-gated transistors.
Santos, Lídia; Nunes, Daniela; Calmeiro, Tomás; Branquinho, Rita; Salgueiro, Daniela; Barquinha, Pedro; Pereira, Luís; Martins, Rodrigo; Fortunato, Elvira
2015-01-14
Solution-processed field-effect transistors are strategic building blocks when considering low-cost sustainable flexible electronics. Nevertheless, some challenges (e.g., processing temperature, reliability, reproducibility in large areas, and cost effectiveness) are requirements that must be surpassed in order to achieve high-performance transistors. The present work reports electrolyte-gated transistors using as channel layer gallium-indium-zinc-oxide nanoparticles produced by solvothermal synthesis combined with a solid-state electrolyte based on aqueous dispersions of vinyl acetate stabilized with cellulose derivatives, acrylic acid ester in styrene and lithium perchlorate. The devices fabricated using this approach display a ION/IOFF up to 1 × 10(6), threshold voltage (VTh) of 0.3-1.9 V, and mobility up to 1 cm(2)/(V s), as a function of gallium-indium-zinc-oxide ink formulation and two different annealing temperatures. These results validates the usage of electrolyte-gated transistors as a viable and promising alternative for nanoparticle based semiconductor devices as the electrolyte improves the interface and promotes a more efficient step coverage of the channel layer, reducing the operating voltage when compared with conventional dielectrics gating. Moreover, it is shown that by controlling the applied gate potential, the operation mechanism of the electrolyte-gated transistors can be modified from electric double layer to electrochemical doping.
Impact of metal-ion contaminated silica particles on gate oxide integrity
Rink, Ingrid; Wali, F.; Knotter, D.M.
2009-01-01
The impact of metal-ion contamination (present on wafer surface before oxidation) on gate oxide integrity (GOI) is well known in literature, which is not the case for clean silica particles [1, 2]. However, it is known that particles present in ultra-pure water (UPW) decrease the random yield in
Directory of Open Access Journals (Sweden)
Jingyu Shen
2018-01-01
Full Text Available The breakdown characteristics of ultra-thin gate oxide MOS capacitors fabricated in 65 nm CMOS technology under constant voltage stress and substrate hot-carrier injection are investigated. Compared to normal thick gate oxide, the degradation mechanism of time-dependent dielectric breakdown (TDDB of ultra-thin gate oxide is found to be different. It is found that the gate current (Ig of ultra-thin gate oxide MOS capacitor is more likely to be induced not only by Fowler-Nordheim (F-N tunneling electrons, but also by electrons surmounting barrier and penetrating electrons in the condition of constant voltage stress. Moreover it is shown that the time to breakdown (tbd under substrate hot-carrier injection is far less than that under constant voltage stress when the failure criterion is defined as a hard breakdown according to the experimental results. The TDDB mechanism of ultra-thin gate oxide will be detailed. The differences in TDDB characteristics of MOS capacitors induced by constant voltage stress and substrate hot-carrier injection will be also discussed.
Corrosion potentials of hafnium in molten alkaline-earth metal chlorides
International Nuclear Information System (INIS)
Kovalik, O.Yu.; Tkhaj, V.D.
2000-01-01
Corrosion potentials of hafnium in molten calcium, strontium and barium chlorides are measured and their temperature dependences are determined. It is stated that the corrosion potential of hafnium becomes more electropositive with an increase of the environment temperature. If the temperature is the same the potential shifts to the interval of more electronegative values in the row of CaCl 2 , SrCl 2 , BaCl 2 which corresponds to a lesser corrosion rate in environments positioned from left to right. the comparison of hafnium corrosion potentials with previously measured values for titanium and zirconium shows that a metal activity decrease results in a more electronegative corrosion potential [ru
Electronic structure of pentacene on hafnium studied by ultraviolet photoelectron spectroscopy
International Nuclear Information System (INIS)
Kang, Seong Jun; Yi, Yeon Jin; Kim, Chung Yi; Whang, Chung Nam
2005-01-01
The electronic structure of pentacene on hafnium, which is a low work function metal, was analyzed by using ultraviolet photoelectron spectroscopy. The energy level alignment was studied by using the onset of the highest occupied molecular orbital level and the shift of the vacuum level of the pentacene layer, which was deposited on a clean hafnium surface in a stepwise manner. The measured onset of the highest occupied molecular orbital energy level was 1.52 eV from the Fermi level of hafnium. The vacuum level was shifted 0.28 eV toward higher binding energy with additional pentacene layers, which means an interfacial dipole exists at the interface between pentacene and hafnium. We confirm that a small electron injection barrier can be achieved by inserting a low work function metal in a pentacene thin-film transistor.
Synthesis of Hafnium-Based Ceramic Materials for Ultra-High Temperature Aerospace Applications
Johnson, Sylvia; Feldman, Jay
2004-01-01
This project involved the synthesis of hafnium (Hf)-based ceramic powders and Hf-based precursor solutions that were suitable for preparation of Hf-based ceramics. The Hf-based ceramic materials of interest in this project were hafnium carbide (with nominal composition HE) and hafnium dioxide (HfO2). The materials were prepared at Georgia Institute of Technology and then supplied to research collaborators Dr. Sylvia Johnson and Dr. Jay Feldman) at NASA Ames Research Center.
Application of hafnium hydride control rod to large sodium cooled fast breeder reactor
Energy Technology Data Exchange (ETDEWEB)
Ikeda, Kazumi, E-mail: kazumi_ikeda@mfbr.mhi.co.jp [Mitsubishi FBR Systems, Inc., 34-17, Jingumae 2-Chome, Shibuya-ku, Tokyo 150-0001 (Japan); Moriwaki, Hiroyuki, E-mail: hiroyuki_moriwaki@mfbr.mhi.co.jp [Mitsubishi FBR Systems, Inc., 34-17, Jingumae 2-Chome, Shibuya-ku, Tokyo 150-0001 (Japan); Ohkubo, Yoshiyuki, E-mail: yoshiyuki_okubo@mfbr.mhi.co.jp [Mitsubishi FBR Systems, Inc., 34-17, Jingumae 2-Chome, Shibuya-ku, Tokyo 150-0001 (Japan); Iwasaki, Tomohiko, E-mail: tomohiko.iwasaki@qse.tohoku.ac.jp [Department of Quantum Science and Energy Engineering, Tohoku University, Aoba, Aramaki, Aoba-ku, Sendai-shi, Miyagi-ken 980-8579 (Japan); Konashi, Kenji, E-mail: konashi@imr.tohoku.ac.jp [Institute for Materials Research, Tohoku University, Narita-cho, Oarai-machi, Higashi-Ibaraki-gun, Ibaraki-ken 311-1313 (Japan)
2014-10-15
Highlights: • Application of hafnium hydride control rod to large sodium cooled fast breeder reactor. • This paper treats application of an innovative hafnium hydride control rod to a large sodium cooled fast breeder reactor. • Hydrogen absorption triples the reactivity worth by neutron spectrum shift at H/Hf ratio of 1.3. • Lifetime of the control rod quadruples because produced daughters of hafnium isotopes are absorbers. • Nuclear and thermal hydraulic characteristics of the reactor are as good as or better than B-10 enriched boron carbide. - Abstract: This study treats the feasibility of long-lived hafnium hydride control rod in a large sodium-cooled fast breeder reactor by nuclear and thermal analyses. According to the nuclear calculations, it is found that hydrogen absorption of hafnium triples the reactivity by the neutron spectrum shift at the H/Hf ratio of 1.3, and a hafnium transmutation mechanism that produced daughters are absorbers quadruples the lifetime due to a low incineration rate of absorbing nuclides under irradiation. That is to say, the control rod can function well for a long time because an irradiation of 2400 EFPD reduces the reactivity by only 4%. The calculation also reveals that the hafnium hydride control rod can apply to the reactor in that nuclear and thermal characteristics become as good as or better than 80% B-10 enriched boron carbide. For example, the maximum linear heat rate becomes 3% lower. Owing to the better power distribution, the required flow rate decreases approximately by 1%. Consequently, it is concluded on desk analyses that the long lived hafnium hydride control rod is feasible in the large sodium-cooled fast breeder reactor.
An oxide filled extended trench gate super junction MOSFET structure
International Nuclear Information System (INIS)
Cai-Lin, Wang; Jun, Sun
2009-01-01
This paper proposes an oxide filled extended trench gate super junction (SJ) MOSFET structure to meet the need of higher frequency power switches application. Compared with the conventional trench gate SJ MOSFET, new structure has the smaller input and output capacitances, and the remarkable improvements in the breakdown voltage, on-resistance and switching speed. Furthermore, the SJ in the new structure can be realized by the existing trench etching and shallow angle implantation, which offers more freedom to SJ MOSFET device design and fabrication. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Separation of zirconium--hafnium by nitride precipitation
International Nuclear Information System (INIS)
Anderson, R.N.; Parlee, N.A.
1977-01-01
A method is described for the separation of a light reactive metal (e.g., zirconium) from a heavy reactive metal (e.g., hafnium) by forming insoluble nitrides of the metals in a molten metal solvent (e.g., copper) inert to nitrogen and having a suitable density for the light metal nitride to form a separate phase in the upper portion of the solvent and for the heavy metal nitride to form a separate phase in the lower portion of the solvent. Nitriding is performed by maintaining a nitrogen-containing atmosphere over the bath. The light and heavy metals may be an oxide mixture and carbothermically reduced to metal form in the same bath used for nitriding. The nitrides are then separately removed and decomposed to form the desired separate metals. 16 claims, 1 figure
A study of a production process for hafnium-free zirconium from zircon
International Nuclear Information System (INIS)
Ratanalert, N.
1985-01-01
The purpose of this experiment was to extract and purify the zirconium from zircon. The effects of time of extraction and stripping of zirconium, concentration of feed solution, concentration of hydrochloric acid in stripping process, equilibrium curve of extraction of zirconium and hafnium and equilibrium curve of stripping zirconium or scrubbing hafnium were studied from standard zirconium and hafnium. The results, subsequently were applied to the extraction procedures for zirconium from zircon. Minus 100 mesh zircon was fused with sodium hydroxide in the ratio of 1 : 6 at 700 degree C for l hour. After fusion the zirconate was leached with water and dissolved in hot concentrated hydrochloric acid. Zirconyl chloride octahydrate crystallized out when the solution was cooled. An agueons solution of zirconyl chloride was used as the feed to the hexone - thiocyanate solvent extraction process. This was prepared by dissolving zirconyl chloride octahydrate crystal in waster. This zirconium feed solution in 1 M HCl and 1 M N H 4 CNS was extracted with 2.7 m N H 4 CNS in hexone and then stripped with 3.6 M HCl the aqueous phase was got rid of thiocyanate ion by extracting with pure hexone, then the zirconium in aqueous phase was precipitated with sulfuric acid and ammonium hydroxide at pH 1.8 - 2.0 and zirconium oxide was obtained by ignition at 700 degree C. The process could be modified to improve the purity of zirconium by using cation exchange resin to get rid of thiocyanate ion after solvent extraction process
Energy Technology Data Exchange (ETDEWEB)
Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)
2014-07-01
Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and
Quevedo Lopez, Manuel Angel
Hafnium and Zirconium based gate dielectrics are considered potential candidates to replace SiO2 or SiON as the gate dielectric in CMOS processing. Furthermore, the addition of nitrogen into this pseudo-binary alloy has been shown to improve their thermal stability, electrical properties, and reduce dopant penetration. Because CMOS processing requires high temperature anneals (up to 1050°C), it is important to understand the diffusion properties of any metal associated with the gate dielectric in silicon at these temperatures. In addition, dopant penetration from the doped polysilicon gate into the Si channel at these temperatures must also be studied. Impurity outdiffusion (Hf, Zr) from the dielectric, or dopant (B, As, P) penetration through the dielectric into the channel region would likely result in deleterious effects upon the carrier mobility. In this dissertation extensive thermal stability studies of alternate gate dielectric candidates ZrSixOy and HfSixO y are presented. Dopant penetration studies from doped-polysilicon through HfSixOy and HfSixOyNz are also presented. Rutherford Backscattering Spectroscopy (RBS), Heavy Ion RBS (HI-RBS), X-ray Photoelectron Spectroscopy (XPS), High Resolution Transmission Electron Microscopy (HR-TEM), and Time of Flight and Dynamic Secondary Ion Mass Spectroscopy (ToF-SIMS, D-SIMS) methods were used to characterize these materials. The dopant diffusivity is calculated by modeling of the dopant profiles in the Si substrate. In this disseration is reported that Hf silicate films are more stable than Zr silicate films, from the metal interdiffusion point of view. On the other hand, dopant (B, As, and P) penetration is observed for HfSixO y films. However, the addition of nitrogen to the Hf - Si - O systems improves the dopant penetration properties of the resulting HfSi xOyNz films.
Directory of Open Access Journals (Sweden)
Mallory Mativenga
2012-09-01
Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.
High temperature diffusion of hafnium in tungsten and a tungsten-hafnium carbide alloy
International Nuclear Information System (INIS)
Ozaki, Y.; Zee, R.H.
1994-01-01
Refractory metals and ceramics are used extensively in energy systems due to their high temperature properties. This is particularly important in direct conversion systems where thermal to electric conversion efficiency is a direct function of temperature. Tungsten, which has the highest melting temperature among elemental metals, does not possess sufficient creep resistance at temperature above 1,600 K. Different dispersion strengthened tungsten alloys have been developed to extend the usefulness of tungsten to higher temperatures. One of these alloys, tungsten with 0.4 mole percent of finely dispersed HfC particles (W-HfC), has the optimum properties for high temperature applications. Hafnium carbide is used as the strengthening agent due to its high chemical stability and its compatibility with tungsten. The presence of HfC particles retards the rate of grain growth as well as restricting dislocation motion. Both of which are beneficial for creep resistance. The long term behavior of this alloy depends largely on the evolution of its microstructure which is governed by the diffusion of its constituents. Data on the diffusion of carbon in tungsten and tungsten self-diffusion are available, but no direct measurements have been made on the diffusion of hafnium in tungsten. The only diffusion data available are estimated from a coarsening study and these data are highly unreliable. In this study, the diffusion behavior of hafnium in pure tungsten and in a W-HfC alloy was directly measured by means of Secondary Ion Mass Spectroscopy (SIMS). The selection of the W-HfC alloy is due to its importance in high temperature engineering applications, and its higher recrystallization temperature. The presence of HfC particles in tungsten restricts grain growth resulting in better high temperature creep resistance. The higher recrystallization temperature allows measurements to be made over a wider range of temperatures at a relatively constant grain size
Electronic States of High-k Oxides in Gate Stack Structures
Zhu, Chiyu
In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen
Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.
Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei
2018-01-10
Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on the channel resistance of Cr 2 Ge 2 Te 6 devices (ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .
International Nuclear Information System (INIS)
Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.
2003-01-01
Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides
Modelling of Zirconium and Hafnium separation using continuous annular chromatography
International Nuclear Information System (INIS)
Moch-Setyadji; Endang Susiantini
2014-01-01
Nuclear degrees of zirconium in the form of a metal alloy is the main material for fuel cladding of NPP. Zirconium is also used as sheathing UO 2 kernel in the form of ZrC as a substitute of SiC in the fuel elements of High Temperature Reactor (HTR). Difficulty separating hafnium from zirconium because it has a lot of similarities in the chemical properties of Zr and Hf. Annular chromatography is a device that can be used for separating of zirconium and hafnium to obtain zirconium nuclear grade. Therefore, it is necessary to construct the mathematical modelling that can describe the separation of zirconium and hafnium in the annular chromatography containing anion resin dowex-1X8. The aim of research is to perform separation simulation by using the equilibrium model and mass transfer coefficient resulted from research. Zr and Hf feed used in this research were 26 and 1 g/l, respectively. Height of resin (L), angular velocity (ω) and the superficial flow rate (uz) was varied to determine the effect of each parameter on the separation of Zr and Hf. By using Kd and Dv values resulted previous research. Simulation results showed that zirconium and hafnium can be separated using a continuous annular chromatography with high resin (long bed) 50 cm, superficial flow rate of 0.001 cm/s, the rotation speed of 0.006 rad/min and 20 cm diameter annular. In these conditions the results obtained zirconium concentration of 10,303.226 g/m 3 and hafnium concentration of 12.324 g/m 3 (ppm). (author)
Joint titrimetric determination of zirconium and hafnium
International Nuclear Information System (INIS)
Vazquez, Cristina; Botbol, Moises; Bianco de Salas, G.N.; Cornell de Casas, M.I.
1980-01-01
A method for the joint titrimetric determination of zirconium and hafnium, which are elements of similar chemical behaviour, is described. The disodic salt of the ethylendiaminetetracetic acid (EDTA) is used for titration, while xilenol orange serves as final point indicator. Prior to titration it is important to evaporate with sulfuric acid, the solution resulting from the zirconium depolymerization process, to adjust the acidity and to eliminate any interferences. The method, that allows the quick and precise determination of zirconium and hafnium in quantities comprised between 0.01 and mg, was applied to the analysis of raw materials and of intermediate and final products in the fabrication of zirconium sponge and zircaloy. (M.E.L.) [es
Energy Technology Data Exchange (ETDEWEB)
Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, Seoul 136-701 (Korea, Republic of)], E-mail: sangsig@korea.ac.kr
2008-10-01
The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al{sub 2}O{sub 3} tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I{sub DS}-V{sub GS}) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper.
Compositional characterization of hafnium alloy used as control rod material in nuclear reactor
International Nuclear Information System (INIS)
Sharma, P.K.; Bassan, M.K.T.; Avhad, D.K.; Singhal, R.K.
2014-01-01
Hafnium (Hf) is a heavy, steel-gray metal in the reactive metals group that is very closely related to zirconium (Zr) and forms a continuous solid-solution at all concentrations of zirconium and hafnium. Hafnium occurs naturally with zirconium at a ratio of approximately 1:50 and is produced exclusively as a co-product of nuclear-grade zirconium. It is used in a variety of applications where few substitutes are available. Thus with its relatively high thermal neutron absorption cross-section, hafnium's biggest application is as control rod material in nuclear reactors. During this work, major (Zirconium (Zr), Cobalt (Co) and Molybdenum (Mo)) and trace ((Iron (Fe), Nickel (Ni) and Titanium (Ti)) elements were measured in the bulk matrices of Hf. These materials are also associated with other impurities such as O, N, H etc.
International Nuclear Information System (INIS)
Liu, Chang; Chor, Eng Fong; Tan, Leng Seow
2007-01-01
Improved DC performance of AlGaN/GaN high electron mobility transistors (HEMTs) have been demonstrated using reactive-sputtered hafnium oxide (HfO 2 ) thin film as the surface passivation layer. Hall data indicate a significant increase in the product of sheet carrier concentration (n s ) and electron mobility (μ n ) in the HfO 2 -passivated HEMTs, compared to the unpassivated HEMTs. This improvement in electron carrier characteristics gives rise to a 22% higher I Dmax and an 18% higher g mmax in HEMTs with HfO 2 passivation relative to the unpassivated devices. On the other hand, I gleak of the HEMTs decreases by nearly one order of magnitude when HfO 2 passivation is applied. In addition, drain current is measured in the subthreshold regime. Compared to the unpassivated HEMTs, HfO 2 -passivated HEMTs exhibit a much smaller off-state I D , indicating better turn-off characteristics
Degradation of Ultra-Thin Gate Oxide NMOSFETs under CVDT and SHE Stresses
International Nuclear Information System (INIS)
Shi-Gang, Hu; Yan-Rong, Cao; Yue, Hao; Xiao-Hua, Ma; Chi, Chen; Xiao-Feng, Wu; Qing-Jun, Zhou
2008-01-01
Degradation of device under substrate hot-electron (SHE) and constant voltage direct-tunnelling (CVDT) stresses are studied using NMOSFET with 1.4-nm gate oxides. The degradation of device parameters and the degradation of the stress induced leakage current (SILC) under these two stresses are reported. The emphasis of this paper is on SILC and breakdown of ultra-thin-gate-oxide under these two stresses. SILC increases with stress time and several soft breakdown events occur during direct-tunnelling (DT) stress. During SHE stress, SILC firstly decreases with stress time and suddenly jumps to a high level, and no soft breakdown event is observed. For DT injection, the positive hole trapped in the oxide and hole direct-tunnelling play important roles in the breakdown. For SHE injection, it is because injected hot electrons accelerate the formation of defects and these defects formed by hot electrons induce breakdown. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation
International Nuclear Information System (INIS)
Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.
1992-01-01
Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)
Hafnium Resonance Parameter Analysis Using Neutron Capture and Transmission Experiments
International Nuclear Information System (INIS)
MJ Trbovich; DP Barry; RE Slovacck; Y Danon; RC Block; JA Burke; NJ Drindak; G Leinweber; RV Ballad
2004-01-01
The focus of this work is to determine resonance parameters for stable hafnium isotopes in the 0.005-200 eV region, with special emphasis on the overlapping 176 Hf and 178 Hf resonances near 8 eV. The large neutron cross section of hafnium, combined with its corrosion resistance and excellent mechanical properties, make it a useful material for controlling nuclear reactions. Experiments measuring neutron capture and transmission were performed at the Rensselaer Polytechnic Institute (RPI) electron linear accelerator (LINAC) using the time of flight method. 6 Li glass scintillation detectors were used for transmission experiments at flight path lengths of 15 and 25 m. Capture experiments were done using a sixteen section NaI(Tl) multiplicity detector at a flight path length of 25 m. These experiments utilized various thicknesses of metallic and isotopically-enriched liquid samples. The liquid samples were designed to provide information on the 176 Hf and 178 Hf contributions to the 8 eV doublet without saturation. Data analysis was done using the R-matrix Bayesian code SAMMY version M6 beta. SAMMY is able to account for experimental resolution effects for each of the experimental setups at the RPI LINAC, and also can correct for multiple scattering effects in neutron capture yield data. The combined capture and transmission data analysis yielded resonance parameters for all hafnium isotopes from 0.005-200 eV. Resonance integrals were calculated along with errors for each hafnium isotope using the NJOY [1] and INTER [2] codes. The isotopic resonance integrals calculated were significantly different than previously published values; however the calculated elemental hafnium resonance integral changed very little
International Nuclear Information System (INIS)
Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.
2009-01-01
This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.
Metal oxide targets produced by the polymer-assisted deposition method
Energy Technology Data Exchange (ETDEWEB)
Garcia, Mitch A., E-mail: mitch@berkeley.ed [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Ali, Mazhar N.; Chang, Noel N.; Parsons-Moss, T. [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Ashby, Paul D. [Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Gates, Jacklyn M. [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Stavsetra, Liv [Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Gregorich, Kenneth E.; Nitsche, Heino [Department of Chemistry, Room 446 Latimer Hall, University of California Berkeley, Berkeley, CA 94720-1460 (United States); Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States)
2010-02-11
The polymer-assisted deposition (PAD) method was used to create crack-free homogenous metal oxide films for use as targets in nuclear science applications. Metal oxide films of europium, thulium, and hafnium were prepared as models for actinide oxides. Films produced by a single application of PAD were homogenous and uniform and ranged in thickness from 30 to 320 nm. Reapplication of the PAD method (six times) with a 10% by weight hafnium(IV) solution resulted in an equally homogeneous and uniform film with a total thickness of 600 nm.
Metal oxide targets produced by the polymer-assisted deposition method
International Nuclear Information System (INIS)
Garcia, Mitch A.; Ali, Mazhar N.; Chang, Noel N.; Parsons-Moss, T.; Ashby, Paul D.; Gates, Jacklyn M.; Stavsetra, Liv; Gregorich, Kenneth E.; Nitsche, Heino
2010-01-01
The polymer-assisted deposition (PAD) method was used to create crack-free homogenous metal oxide films for use as targets in nuclear science applications. Metal oxide films of europium, thulium, and hafnium were prepared as models for actinide oxides. Films produced by a single application of PAD were homogenous and uniform and ranged in thickness from 30 to 320 nm. Reapplication of the PAD method (six times) with a 10% by weight hafnium(IV) solution resulted in an equally homogeneous and uniform film with a total thickness of 600 nm.
Zirconium and hafnium in the southeastern Atlantic States
Mertie, J.B.
1958-01-01
The principal source of zirconium and hafnium is zircon, though a minor source is baddeleyite, mined only in Brazil. Zircon is an accessory mineral in igneous, metamorphic, and sedimentary rocks, but rarely occurs in hardrock in minable quantities. The principal sources of zircon are therefore alluvial deposits, which are mined in many countries of five continents. The principal commercial deposits in the United States are in Florida, though others exist elsewhere in the southeastern Coastal Plain. The evidence indicates that conditions for the accumulation of workable deposits of heavy minerals were more favorable during the interglacial stages of the Pleistocene epoch than during Recent time. Therefore detrital ores of large volume and high tenor are more likely to be found in the terrace deposits than along the present beaches. Other concentrations of heavy minerals, however, are possible at favored sites close to the Fall Line where the Tuscaloosa formation rests upon the crystalline rocks of the Piedmont province. A score of heavy and semiheavy minerals occur in the detrital deposits of Florida, but the principal salable minerals are ilmenite, leucoxene, rutile, and zircon, though monazite and staurolite are saved at some mining plants. Commercial deposits of heavy minerals are generally required to have a tenor of 4 percent, though ores with a lower tenor can be mined at a profit if the content of monazite is notably high. The percentages of zircon in the concentrates ranges from 10 to 16 percent, and in eastern Florida from 13 to 15 percent. Thus the tenor in zircon of the ore-bearing sands ranges from 0.4 to 0.6 percent. The content of hafnium in zircon is immaterial for many uses, but for some purposes very high or very low tenors in hafnium are required. Alluvial zircon cannot be separated into such varieties, which, if needed, must be obtained from sources in bedrock. It thus becomes necessary to determine the Hf : Zr ratios in zircon from many kinds of
Nano-crystals of cerium–hafnium binary oxide: Their size-dependent structure
Energy Technology Data Exchange (ETDEWEB)
Raitano, Joan M. [Department of Applied Physics and Applied Mathematics, Materials Science and Engineering Program, Columbia University, New York, NY 10027 (United States); Khalid, Syed [National Synchrotron Light Source, Brookhaven National Laboratory, Upton, NY 11973 (United States); Marinkovic, Nebojsa [Chemical Engineering Department, Columbia University, 500 W 120th St, Mudd 801, New York, NY 10027 (United States); Chan, Siu-Wai, E-mail: sc174@columbia.edu [Department of Applied Physics and Applied Mathematics, Materials Science and Engineering Program, Columbia University, New York, NY 10027 (United States)
2015-09-25
Highlights: • (1 − x)CeO{sub 2}–xHfO{sub 2} was precipitated (0 < x < 1) and calcined in air. • For x ⩽ 0.14, crystallites ⩽140 nm in size exhibit only the fluorite structure. • This low hafnia solubility is attributable to no auto-reduction (Ce{sup 3+} = 0). • The low solubility is also due to the high temperature required for homogenization. • Coarsening is lessened as Hf{sup 4+} ions slow cation diffusion in these crystallites. - Abstract: Cerium oxide (CeO{sub 2}, “ceria”) and hafnium oxide (HfO{sub 2}, “hafnia”) were aqueously co-precipitated and subsequently calcined to allow for homogenization. The size of the (1−x)CeO{sub 2}–xHfO{sub 2} crystallites, determined by the Scherrer equation, varied from 140 nm for x = 0 to 15 nm for x = 0.73. For x ⩽ 0.14, only cubic structures are visible in X-ray diffractograms, and the lattice parameters are consistent with the values expected for structurally cubic solid solutions of hafnia in ceria. At x = 0.26, tetragonal and monoclinic phases nucleated with the former not being observed in the bulk phase diagram for ceria–hafnia. Therefore, the solubility limit of the cubic structure is between x = 0.14 and x = 0.26 for 40–61 nm crystallites, the sizes of these respective compositions. More specifically, for the 40 nm crystallites of x = 0.26 (1 − x)CeO{sub 2}–xHfO{sub 2}, 15% of the hafnia remains in a structurally cubic solid solution with ceria based on the observed cubic lattice parameter. The compositional domain for the cubic fluorite structure in this study is narrower than other nanostructured (1 − x)CeO{sub 2}–xHfO{sub 2} studies, especially studies with crystallite sizes less than 10 nm, but wider than observed in the bulk and helps to expand the size regime over which the relationship between crystallite size and phase stability is known. The extent of this cubic-structure domain is mainly attributable to the intermediate crystallite size and the roughly zero Ce{sup 3
High temperature evaporation of titanium, zirconium and hafnium carbides
International Nuclear Information System (INIS)
Gusev, A.I.; Rempel', A.A.
1991-01-01
Evaporation of cubic nonstoichiometric carbides of titanium, zirconium and hafnium in a comparatively low-temperature interval (1800-2700) with detailed crystallochemical sample certification is studied. Titanium carbide is characterized by the maximum evaporation rate: at T>2300 K it loses 3% of sample mass during an hour and at T>2400 K titanium carbide evaporation becomes extremely rapid. Zirconium and hafnium carbide evaporation rates are several times lower than titanium carbide evaporation rates at similar temperatures. Partial pressures of metals and carbon over the carbides studied are calculated on the base of evaporation rates
International Nuclear Information System (INIS)
Ide, Kunikazu; Kobayashi, Takeshi; Sudo, Emiko.
1985-01-01
The analytical procedure is as follows: Weigh 1 g of a sample and put it into a 100 cm 3 PTFE beaker. Add 5 ml of distilled water and 5 ml of hydrofluoric acid, and then heat the solution on a hot plate, adding 3 ml of nitric acid dropwise. Dilute the solution to 100 cm 3 with distilled water. When hafnium is determined, add 2 g of diammonium titanium hexafluoride ((NH 4 ) 2 TiF 6 )) before dilution. Working standard solutions are prepared by adding the stock standard solutions of hafnium, molybdenum, and vanadium into niobium solutions. When hafnium is determined, add 2 g of (NH 4 ) 2 TiF 6 and the alloying elements in amounts corresponding to those in sample solutions into the working standard solutions. The tolerable amounts of hydrofluoric acid were 2.9 M, 2.1 M, and 3.1 M and those of nitric acid were 1.0 M, 1.6 M, and 1.6 M for hafnium, molybdenum, and vanadium, respectively. It was found that (NH 4 ) 2 TiF 6 greatly increased the sensitivity for hafnium determination. Niobium showed minus effect for hafnium and plus effect for molybdenum and vanadium. The atomic absorption of molybdenum and vanadium were not influenced by the presence of 20 % of each alloying element, while the atomic absorption of hafnium was given plus effect by 20 % of zirconium, iron, cobalt, nickel, manganese, chromium or vanadium and minus effect by 20 % tungsten. The analytical values of hafnium, molybdenum, and vanadium in niobium-based alloys by this method showed a good agreement with those by X-ray fluorescence analysis. The lower limits of determination (S/N=2) were 0.05, 0.001, and 0.002 % and the relative standard deviation were 3, 1, and 1.5 % for hafnium, molybdenum, and vanadium, respectively. (author)
Energy Technology Data Exchange (ETDEWEB)
Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)
2016-07-18
The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.
Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics
Alshareef, Husam N.
2010-11-19
Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.
Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics
Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.
2010-01-01
Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.
Energy Technology Data Exchange (ETDEWEB)
Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel
2017-07-01
We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.
Directory of Open Access Journals (Sweden)
Masamichi Suzuki
2012-03-01
Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.
High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors
Energy Technology Data Exchange (ETDEWEB)
Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)
2010-01-01
In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp
Separation of zirconium from hafnium by ion exchange
Energy Technology Data Exchange (ETDEWEB)
Felipe, Elaine C.B.; Palhares, Hugo G.; Ladeira, Ana Claudia Q., E-mail: elainecfelipe@yahoo.com.br, E-mail: hugopalhares@gmail.com, E-mail: ana.ladeira@cdtn.br [Centro de Desenvolvimento da Tecnologia Nuclear (CDTN/CNEN-MG), Belo Horizonte, MG (Brazil)
2013-07-01
Zirconium and hafnium are two of the most important metals for the nuclear industry. Hafnium occurs in all zirconium ores usually in the range 2 - 3%. However, for the most nuclear industry applications, it is necessary to use a zirconium of extremely pure level. The current work consists in the separation of zirconium and hafnium by the ion exchange method in order to obtain a zirconium concentrate of high purity. The zirconium and hafnium liquors were produced from the leaching of the Zr(OH){sub 4} and Hf(OH){sub 4} with nitric acid for 24 hours. From these two liquors it was prepared one solution containing 7.5 x 10{sup -2} mol L{sup -1} of Zr and 5.8 x 10{sup -3} mol L{sup -1} of Hf with acidity of 1 M. Ion exchange experiments were carried out in batch with the resins Dowex 50WX4, Dowex 50WX8 100, Dowex 50WX8 50, Amberlite IR-120 and Marathon C at constant temperature 28 deg C. Other variables such as, acidity and agitation were kept constant. The data were adjusted to Langmuir equation in order to calculate the maximum loading capacity (q{sub max}) of the resins, the distribution coefficient (K{sub d}) for Zr and Hf and the separation factor (α{sub Hf}{sup Zr} ). The results of maximum loading capacity (q{sub max}) for Zr and Hf, in mmol g{sup -}1, showed that the most suitable resins for columns experiments are: Dowex 50WX4 50 (q{sub max} Z{sub r} = 2.21, Hf = 0.18), Dowex 50WX8 50 (q{sub max} Zr = 1.89, Hf = 0.13) and Amberlite (q{sub max} Zr = 1.64, Hf = 0.12). However, separations factors, α{sub Hf}{sup Zr}, showed that the resins are not selective. (author)
On the stabilization of niobium(V) solutions by zirconium(IV) and hafnium(IV)
DEFF Research Database (Denmark)
Sørensen, E.; Bjerre, A.B.
1992-01-01
Niobium cannot be separated from zirconium or hafnium when these elements occur together in solution with common anions such as chloride and sulphate. This is ascribed to the co-polymerization of niobium(V) and the hydrolysed ionic species of zirconium(IV) and hafnium(IV) to form colloidal...
Catalytic activity of metall-like carbides in carbon oxide oxidation reaction
International Nuclear Information System (INIS)
Kharlamov, A.I.; Kosolapova, T.Ya.; Rafal, A.N.; Kirillova, N.V.
1980-01-01
Kinetics of carbon oxide oxidation upon carbides of hafnium, niobium, tantalum, molybdenum, zirconium and chromium is studied. Probable mechanism of the catalysts action is suggested. The established character of the change of the carbide catalytic activity is explained by the change of d-electron contribution to the metal-metal interaction
Modelling ionising radiation induced defect generation in bipolar oxides with gated diodes
International Nuclear Information System (INIS)
Barnaby, H.J.; Cirba, C.; Schrimpf, R.D.; Kosier, St.; Fouillat, P.; Montagner, X.
1999-01-01
Radiation-induced oxide defects that degrade electrical characteristics of bipolar junction transistor (BJTs) can be measured with the use of gated diodes. The buildup of defects and their effect on device radiation response are modeled with computer simulation. (authors)
Energy Technology Data Exchange (ETDEWEB)
Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr
2015-08-31
This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.
Electrochemical investigations of ion-implanted oxide films
International Nuclear Information System (INIS)
Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.
1985-01-01
Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)
Knight shift in scandium and its alloys with hafnium and titanium
International Nuclear Information System (INIS)
Chachkhiani, Z.B.; Chechernikov, V.I.; Martynova, L.F.; Nidel'ko, V.I.; Chachkhiani, L.G.; Georgadze, G.S.
1981-01-01
Results of the investigation of NMR on 45 Sc nuclei and magnetic susceptibility of scandium and its solid solutions with titanium and hafnium are presented. It is shown that the existing hybridization of S and d zones in pure scandium and its alloys with titanium and hafnium affects the Knight shift reducing the value of the contact contribution. The temperature behaviour of the Knight shift from the temperature dependence and spin susceptibility of collectivized d electrons [ru
International Nuclear Information System (INIS)
Utkin, A.V.; Prokip, V.E.; Baklanova, N.I.
2014-01-01
The phase composition and morphology of zirconium and hafnium germanates synthesized by ceramic and co-precipitation routes were studied. The products were characterized using high-temperature X-ray diffraction analysis (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and thermal (TG/DTA) analysis. To investigate the phase composition and stoichiometry of compounds the unit cell parameters were refined by full-profile Rietveld XRD analysis. The morphology of products and its evolution during high-temperature treatment was examined by SEM analysis. It was stated that there is the strong dependence of the phase composition and morphology of products on the preparation route. The ceramic route requires a multi-stage high-temperature treatment to obtain zirconium and hafnium germanates of 95% purity or more. Also, there are strong diffusion limitations to obtain hafnium germanate Hf 3 GeO 8 by ceramic route. On the contrary, the co-precipitation route leads to the formation of nanocrystalline single phase germanates of stoichiometric composition at a relatively low temperatures (less than 1000 °C). The results of quantitative XRD analysis showed the hafnium germanates are stoichiometric compounds in contrast to zirconium germanates that form a set of solid solutions. This distinction may be related to the difference in the ion radii of Zr and Hf. - Graphical abstract: The phase composition and morphology of zirconium and hafnium germanates synthesized by ceramic and co-precipitation routes were studied. It was stated that there is the strong dependence of the phase composition and morphology of products on the preparation route. Display Omitted - Highlights: • Zr and Hf germanates were synthesized by ceramic and co-precipitation routes. • The morphology of products depends on the synthesis parameters. • Zirconium germanates forms a set of solid solutions. • Hafnium germanates are stoichiometric compounds
International Nuclear Information System (INIS)
Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun
2013-01-01
Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics
The effects of gate oxide thickness on radiation damage in MOS system
International Nuclear Information System (INIS)
Zhu Hui; Yan Rongliang; Wang Yu; He Jinming
1988-01-01
The dependences of the flatband voltage shift (ΔV FB ) and the threshold voltage shift (ΔV TH ) in MOS system on the oxide thickness (T ox ) and on total irradiated dose (D) of electron-beam and 60 Co γ-ray have been studied. It has been found that ΔV FB ∝ T ox 3 , with +10V of gate bias during irradiation for n-Si substrate MOS capacitors; ΔV TH ∝ T ox 3 D 2/3 , with 'on' gate bias during irradiation for n- and P-channel MOS transistors; ΔV TP ∝ T ox 2 D 2/3 , with 'off' gate bias during irradiation for P-channel MOS transistors. These results are explained by Viswanathan model. According to ∼T ox 3 dependence, the optimization of radiation hardening process for MOS system is also simply discussed
Recovery of hafnium radioisotopes from a proton irradiated tantalum target
International Nuclear Information System (INIS)
Taylor, W.A.; Garcia, J.G.; Hamilton, V.T.; Heaton, R.C.; Jamriska, D.J.; Ott, M.A.; Philips, D.R.; Radzinski, S.D.
1998-01-01
The 178m2 Hf nucleus, with its long half-life (31 y) and high-spin isomeric state (16 + ) is desired for new and exotic nuclear physics studies. The Los Alamos Radioisotope Program irradiated a kilogram of natural tantalum at the Los Alamos Meson Physics Facility in early 1981. After fifteen years of decay, this target was ideal for the recovery of 178m2 Hf. There was more than a millicurie of 178m2 Hf produced during this irradiation and there has been a sufficient period of time for most of the other hafnium radioisotopes to decayed away. Traditionally, separation techniques for recovering hafnium isotopes from tantalum targets employ solvent extractions with reagents that are considered hazardous. These techniques are no longer condoned because they generate a mixed-waste (radioactive and hazardous components) that can not be treated for disposal. In this paper we describe a new and unique procedure for the recovery of hafnium radioisotopes from a highly radioactive, proton irradiated, tantalum target using reagents that do not contribute a hazardous waste component. (author)
International Nuclear Information System (INIS)
Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.
2001-01-01
We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics
Directory of Open Access Journals (Sweden)
Yu-Hsien Lin
2015-01-01
Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.
International Nuclear Information System (INIS)
Lin, Y. H.; Chou, J. C.
2015-01-01
We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.
Energy Technology Data Exchange (ETDEWEB)
Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)
2015-07-28
Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.
Highly stable thin film transistors using multilayer channel structure
Nayak, Pradipta K.
2015-03-09
We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.
Research on total-dose hardening for H-gate PD NMOSFET/SIMOX by ion implanting into buried oxide
International Nuclear Information System (INIS)
Qian Cong; Zhang Zhengxuan; Zhang Feng; Lin Chenglu
2008-01-01
In this work, we investigate the back-gate I-V characteristics for two kinds of NMOSFET/SIMOX transistors with H gate structure fabricated on two different SOI wafers. A transistors are made on the wafer implanted with Si + and then annealed in N 2 , and B transistors are made on the wafer without implantation and annealing. It is demonstrated experimentally that A transistors have much less back-gate threshold voltage shift ΔV th than B transistors under X-ray total close irradiation. Subthreshold charge separation technique is employed to estimate the build-up of oxide charge and interface traps during irradiation, showing that the reduced ΔV th for A transistors is mainly due to its less build-up of oxide charge than B transistors. Photo-luminescence (PL) research indicates that Si implantation results in the formation of silicon nanocrystalline (nanocluster) whose size increases with the implant dose. This structure can trap electrons to compensate the positive charge build-up in the buried oxide during irradiation, and thus reduce the threshold voltage negative shift. (authors)
Preparation, structure and properties of hafnium compounds in the system Hf-C-N-O
International Nuclear Information System (INIS)
Brundiers, G.D.
1975-08-01
Highly dense, homogenous and single phase hafnium carbonitride samples (with low oxygen content) were prepared in the whole concentration range of the ternary cubic carbonitrides. Stoichiometric hafnium oxicarbides were also prepared within the range of solubility. The procedure involved the hot pressing of powders of HfC, HfN, Hf, Hf-Oxide and carbon at temperatures of 3,000 0 C and pressures up to 550 kpf/cm 2 using a novel technique. Small single crystals of slightly substoichiometric HfN were also repared. The densification of the powders was studied as a function of the non-metal concentration. Carbonitrides with N/Hf ratio of 0.37 were prepared in a high temperature autoclave operating at medium pressures by the reaction of HfC with nitrogen. All the samples were characterized by density measurements, chemical, X-ray and metallographic analysis and in some cases with the aid of quantitative metallography and microprobe analysis. Typical properties investigated were lattice parameter, thermal expansion, microhardness and electrical resistivity as function of the non-metal content. For specific concentrations extreme values in the properties are attained. With the aid of the valence electron concentration (VEC) parameter, the properties can be correlated with the density of states of electrons at the Fermi level. (orig./HK) [de
Investigation of colourless complexes of thorium, hafnium and zirconium
International Nuclear Information System (INIS)
Kiciak, S.; Stefanowicz, T.; Gontarz, H.; Swit, Z.
1980-01-01
The investigations conducted in the Institute of General Chemistry of Poznan Technical University in partial cooperation with Kharkhof Technical University related with thorium, hafnium and zirconium complexes are reviewed. (author)
Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure
Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He
2017-12-01
An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.
Energy Technology Data Exchange (ETDEWEB)
Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)
2006-06-15
Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.
Gagnard, Xavier; Bonnaud, Olivier
2000-08-01
We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.
Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks
Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.
2013-06-01
In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.
Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi
2018-04-01
Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.
Separation process of zirconium and hafnium; Procede de separation du zirconium et du hafnium
Energy Technology Data Exchange (ETDEWEB)
Hure, J; Saint-James, R [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires
1955-07-01
About the separation different processes of zirconium-hafnium, the extraction by solvent in cross-current is the most easily the process usable on an industrial scale. It uses tributyl phosphate as solvent, diluted with white spirit to facilitate the decanting. Some exploratory tests showed that nitric environment seemed the most favorable for extraction; but a lot of other factors intervene in the separation process. We studied the influence of the acidity successively, the NO{sub 3}{sup -} ions concentration, the role of the cation coming with NO{sub 3}{sup -}, as well as the influence of the concentration of zirconium in the solution on the separation coefficient {beta} = {alpha}{sub Zr} / {alpha}{sub Hf}. (M.B.) [French] Des differents procedes de separation zirconium-hafnium, l'extraction par solvant en contre-courant est le procede le plus facilement utilisable a l'echelle industrielle. On utilise comme solvant le phosphate de tributyle, dilue avec du white spirit pour faciliter les decantations. Des essais preliminaires ont montre que le milieu nitrique semblait le plus favorable a l'extraction; mais beaucoup d'autres facteurs interviennent dans le processus de separation. Nous avons etudie successivement l'influence de l'acidite, celle de la concentration en ions NO{sub 3}{sup -}, le role du cation accompagnant NO{sub 3}{sup -}, ainsi que l'influence de la concentration en zirconium de la solution sur le coefficient de separation {beta} = {alpha}{sub Zr} / {alpha}{sub Hf}. (MB)
Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan
2015-12-01
A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.
Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan
2015-12-17
A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.
Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik
2018-07-01
We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.
Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik
2018-07-20
We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.
Growth of HfO{sub x} thin films by reactive molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)
2008-07-01
Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.
Papendorf, Benjamin; Nonnenmacher, Katharina; Ionescu, Emanuel; Kleebe, Hans-Joachim; Riedel, Ralf
2011-04-04
The present study focuses on the synthesis and ceramization of novel hafnium-alkoxide-modified silazanes as well as on their microstructure evolution at high temperatures. The synthesis of hafnia-modified polymer-derived SiCN ceramic nanocomposites is performed via chemical modification of a polysilazane and of a cyclotrisilazane, followed by cross-linking and pyrolysis in argon atmosphere. Spectroscopic investigation (i.e., NMR, FTIR, and Raman) shows that the hafnium alkoxide reacts with the N-H groups of the cyclotrisilazane; in the case of polysilazane, reactions of N-H as well as Si-H groups with the alkoxide are observed. Consequently, scanning and transmission electron microscopy studies reveal that the ceramic nanocomposites obtained from cyclotrisilazane and polysilazane exhibited markedly different microstructures, which is a result of the different reaction pathways of the hafnium alkoxide with cyclotrisilazane and with polysilazane. Furthermore, the two prepared ceramic nanocomposites are unexpectedly found to exhibit extremely different high-temperature behavior with respect to decomposition and crystallization; this essential difference is found to be related to the different distribution of hafnium throughout the ceramic network in the two samples. Thus, the homogeneous distribution of hafnium observed in the polysilazane-derived ceramic leads to an enhanced thermal stability with respect to decomposition, whereas the local enrichment of hafnium within the matrix of the cyclotrisilazane-based sample induces a pronounced decomposition upon annealing at high temperatures. The results indicate that the chemistry and architecture of the precursor has a crucial effect on the microstructure of the resulting ceramic material and consequently on its high-temperature behavior. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Separation of hafnium from zirconium in sulfuric acid solutions using pressurized ion exchange
International Nuclear Information System (INIS)
Hurst, F.J.
1981-01-01
High-resolution pressurized ion exchange has been used successfully to study and separate hafnium and zirconium sulfate complexes by chromatographic elution from Dowex 50W-X8 (15 to 25 μm) resin with sulfuric acid solutions. Techniques were developed to continuously monitor the column effluents for zirconium and hafnium by reaction with fluorometric and colorimetric reagents. Since neither reagent was specific for either metal ion, peak patterns were initially identified by using the stable isotopes 90 Zr and 180 Hf as fingerprints of their elution position. Distribution ratios for both zirconium and hafnium decrease as the inverse fourth power of the sulfuric acid concentration below 2N and as the inverse second power at higher acid concentration. The hafnium-to-zirconium separation factor is approximately constant (approx. 8) over the 0.5 to 3N range. Under certain conditions, an unseparated fraction was observed that was not retained by the resin. The amount of this fraction which is thought to be a polymeric hydrolysis product appears to be a function of metal and sulfuric acid concentrations. Conditions are being sought to give the highest zirconium concentration and the lowest acid concentration that can be used as a feed material for commercial scale-up in the continuous annular chromatographic (CAC) unit without formation of the polymer
Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing
2016-04-20
In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.
100-nm gate lithography for double-gate transistors
Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.
2001-09-01
The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.
Heterojunction fully depleted SOI-TFET with oxide/source overlap
Chander, Sweta; Bhowmick, B.; Baishya, S.
2015-10-01
In this work, a hetero-junction fully depleted (FD) Silicon-on-Insulator (SOI) Tunnel Field Effect Transistor (TFET) nanostructure with oxide overlap on the Germanium-source region is proposed. Investigations using Synopsys Technology Computer Aided Design (TCAD) simulation tools reveal that the simple oxide overlap on the Germanium-source region increases the tunneling area as well as the tunneling current without degrading the band-to-band tunneling (BTBT) and improves the device performance. More importantly, the improvement is independent of gate overlap. Simulation study shows improvement in ON current, subthreshold swing (SS), OFF current, ION/IOFF ration, threshold voltage and transconductance. The proposed device with hafnium oxide (HfO2)/Aluminium Nitride (AlN) stack dielectric material offers an average subthreshold swing of 22 mV/decade and high ION/IOFF ratio (∼1010) at VDS = 0.4 V. Compared to conventional TFET, the Miller capacitance of the device shows the enhanced performance. The impact of the drain voltage variation on different parameters such as threshold voltage, subthreshold swing, transconductance, and ION/IOFF ration are also found to be satisfactory. From fabrication point of view also it is easy to utilize the existing CMOS process flows to fabricate the proposed device.
International Nuclear Information System (INIS)
Lebedeva, G.G.; Viktorova, M.E.
1980-01-01
Solvents have been chosen and investigated which provide expressed separation of zirconium and hafnium at the analysis of mineral raw materials by paper chromatography. The systems with HNO 3 and HCl containing methyl, ethyl, propyl and butyl alcohols have been studied as mobile phases for separation of zirconium and hafnium. It has been shown that alcohol contents and solvent acidity affect the Rsub(f) value of these elements. The C 2 H 5 OH-5MHCl and C 2 H 5 OH-5MHNO 3 systems are most optimal for pre-concentration of zirconium and hafnium
Directory of Open Access Journals (Sweden)
H. Hussin
2014-01-01
Full Text Available We present a simulation study on negative bias temperature instability (NBTI induced hole trapping in E′ center defects, which leads to depassivation of interface trap precursor in different geometrical structures of high-k PMOSFET gate stacks using the two-stage NBTI model. The resulting degradation is characterized based on the time evolution of the interface and hole trap densities, as well as the resulting threshold voltage shift. By varying the physical thicknesses of the interface silicon dioxide (SiO2 and hafnium oxide (HfO2 layers, we investigate how the variation in thickness affects hole trapping/detrapping at different stress temperatures. The results suggest that the degradations are highly dependent on the physical gate stack parameters for a given stress voltage and temperature. The degradation is more pronounced by 5% when the thicknesses of HfO2 are increased but is reduced by 11% when the SiO2 interface layer thickness is increased during lower stress voltage. However, at higher stress voltage, greater degradation is observed for a thicker SiO2 interface layer. In addition, the existence of different stress temperatures at which the degradation behavior differs implies that the hole trapping/detrapping event is thermally activated.
Energy Technology Data Exchange (ETDEWEB)
Nylund, Gustav; Storm, Kristian; Torstensson, Henrik; Wallentin, Jesper; Borgström, Magnus T.; Hessman, Dan; Samuelson, Lars [Solid State Physics, Nanometer Structure Consortium, Lund University, Box 118, S-221 00 Lund (Sweden)
2013-12-04
We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.
International Nuclear Information System (INIS)
Mao, L.F.; Wang, Z.O.
2008-01-01
HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Ultra-low specific on-resistance SOI double-gate trench-type MOSFET
International Nuclear Information System (INIS)
Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji
2011-01-01
An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)
International Nuclear Information System (INIS)
Yurchuk, Ekaterina
2015-01-01
Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.
Energy Technology Data Exchange (ETDEWEB)
Yurchuk, Ekaterina
2015-02-06
Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO{sub 2}) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO{sub 2} thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO{sub 2}-based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.
Primary hafnium metal sponge and other forms, approved standard 1973
International Nuclear Information System (INIS)
Anon.
1975-01-01
A specification is presented covering virgin hafnium metal commonly designated as sponge because of its porous, sponge-like texture; it may also be in other forms such as chunklets. The specification does not cover crystal bar
Three dimensional graphene transistor for ultra-sensitive pH sensing directly in biological media.
Ameri, Shideh Kabiri; Singh, Pramod K; Sonkusale, Sameer R
2016-08-31
In this work, pH sensing directly in biological media using three dimensional liquid gated graphene transistors is presented. The sensor is made of suspended network of graphene coated all around with thin layer of hafnium oxide (HfO2), showing high sensitivity and sensing beyond the Debye-screening limit. The performance of the pH sensor is validated by measuring the pH of isotonic buffered, Dulbecco's phosphate buffered saline (DPBS) solution, and of blood serum derived from Sprague-Dawley rat. The pH sensor shows high sensitivity of 71 ± 7 mV/pH even in high ionic strength media with molarities as high as 289 ± 1 mM. High sensitivity of this device is owing to suspension of three dimensional graphene in electrolyte which provides all around liquid gating of graphene, leading to higher electrostatic coupling efficiency of electrolyte to the channel and higher gating control of transistor channel by ions in the electrolyte. Coating graphene with hafnium oxide film (HfO2) provides binding sites for hydrogen ions, which results in higher sensitivity and sensing beyond the Debye-screening limit. The 3D graphene transistor offers the possibility of real-time pH measurement in biological media without the need for desaltation or sample preparation. Copyright © 2016 Elsevier B.V. All rights reserved.
Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors
International Nuclear Information System (INIS)
Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.
2005-01-01
We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs
Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass
International Nuclear Information System (INIS)
Usuda, R.; Uchida, K.; Nozaki, S.
2015-01-01
Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO x film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10 11 cm −2 eV −1 by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H 2 O molecules and facilitate dissociation of the molecules into H and OH − . The OH − ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H 2 O molecules. The ionization results in the electron stimulated dissociation of H 2 O molecules and the decreased interface trap density
Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass
Energy Technology Data Exchange (ETDEWEB)
Usuda, R.; Uchida, K.; Nozaki, S., E-mail: nozaki@ee.uec.ac.jp [Graduate School of Informatics and Engineering, The University of Electro-Communications, 1-5-1 Chofugaoka, Chofu-shi, Tokyo 182-1515 (Japan)
2015-11-02
Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO{sub x} film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10{sup 11 }cm{sup −2} eV{sup −1} by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H{sub 2}O molecules and facilitate dissociation of the molecules into H and OH{sup −}. The OH{sup −} ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H{sub 2}O molecules. The ionization results in the electron stimulated dissociation of H{sub 2}O molecules and the decreased interface trap density.
Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo
2018-01-01
Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.
Evolution of the hafnium isotopic composition in the RBMK reactor
International Nuclear Information System (INIS)
Jurkevicius, A.; Remeikis, V.
2002-01-01
The isotopic composition of hafnium in the radial neutron flux sensor of the RBMK-1500 reactor, the rates of the neutron absorption on Hf isotopes and the neutron spectrum in the sensor were numerically modeled. The sequence SAS2 (Shielding Analysis Sequence) program from the package SCALE 4.4A and the HELIOS code system were used for calculations. It has been obtained that the overall neutron absorption rates in hafnium for the sensors located in the 2.4 % and 2.6 % enrichment uranium-erbium nuclear fuel assemblies are by 16 % and 19 % lower than in the 2.0 % enrichment uranium nuclear fuel assemblies. The overall neutron absorption rate in hafnium decreases 2.70-2.75 times due to the sensor burnup to 5800 MW d. The sensitivity of the Hf sensors to the thermal neutron flux increases twice due to the nuclear fuel assembly burnup to 3000 MW d. The corrective factors ξ d (I) at the different integral current I of the sensors and ξ td (E) at the different burnup E of the nuclear fuel assemblies were calculated. The obtained dependence ξ d (I) calculated numerically was compared to the experimental one determined by comparing signals of the fresh sensor and the sensor with the integral current I and by processing repeated calibration results of Hf sensors in RBMK-1500 reactors. The relative relationship coefficients K T (T FA ) were found for all RBMK-1500 nuclear fuel types. (author)
Gate Engineering in SOI LDMOS for Device Reliability
Directory of Open Access Journals (Sweden)
Aanand
2016-01-01
Full Text Available A linearly graded doping drift region with step gate structure, used for improvement of reduced surface field (RESURF SOI LDMOS transistor performance has been simulated with 0.35µm technology in this paper. The proposed device has one poly gate and double metal gate arranged in a stepped manner, from channel to drift region. The first gate uses n+ poly (near source where as other two gates of aluminium. The first gate with thin gate oxide has good control over the channel charge. The third gate with thick gate oxide at drift region reduce gate to drain capacitance. The arrangement of second and third gates in a stepped manner in drift region spreads the electric field uniformly. Using two dimensional device simulations, the proposed SOI LDMOS is compared with conventional structure and the extended metal structure. We demonstrate that the proposed device exhibits significant enhancement in linearity, breakdown voltage, on-resistance and HCI. Double metal gate reduces the impact ionization area which helps to improve the Hot Carrier Injection effect..
Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung
2008-11-01
In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.
A high performance gate drive for large gate turn off thyristors
Energy Technology Data Exchange (ETDEWEB)
Szilagyi, C.P.
1993-01-01
Past approaches to gate turn-off (GTO) gating are application oriented, inefficient and dissipate power even when inactive. They allow the gate to avalanch, and do not reduce GTO turn-on and turn-off losses. A new approach is proposed which will allow modular construction and adaptability to large GTOs in the 50 amp to 2000 amp range. The proposed gate driver can be used in large voltage source and current source inverters and other power converters. The approach consists of a power metal-oxide-silicon field effect transistor (MOSFET) technology gating unit, with associated logic and supervisory circuits and an isolated flyback converter as the dc power source for the gating unit. The gate driver formed by the gating unit and the flyback converter is designed for 4000 V isolation. Control and supervisory signals are exchanged between the gate driver and the remote control system via fiber optics. The gating unit has programmable front-porch current amplitude and pulse-width, programmable closed-loop controlled back-porch current, and a turn-off switch capable of supplying negative gate current at demand as a function of peak controllable forward anode current. The GTO turn-on, turn-off and gate avalanch losses are reduced to a minimum. The gate driver itself has minimum operating losses. Analysis, design and practical realization are reported. 19 refs., 54 figs., 1 tab.
Directory of Open Access Journals (Sweden)
Zhang Changrui
2016-07-01
Full Text Available An entirely aqueous solution-gel route has been developed for the synthesis of zirconium carbide, hafnium carbide and their ternary carbide powders. Zirconium oxychloride (ZrOCl₂.8H₂O, malic acid (MA and ethylene glycol (EG were dissolved in water to form the aqueous zirconium carbide precursor. Afterwards, this aqueous precursor was gelled and transformed into zirconium carbide at a relatively low temperature (1200 °C for achieving an intimate mixing of the intermediate products. Hafnium and the ternary carbide powders were also synthesized via the same aqueous route. All the zirconium, hafnium and ternary carbide powders exhibited a particle size of ∼100 nm.
Energy Technology Data Exchange (ETDEWEB)
Sundaramurthi, N M; Shinde, V M
1989-02-01
A solvent extraction method is proposed for the extraction of quadrivalent titanium, zirconium an hafnium from salicylate media using liquid ion exchangers such as Aliquat 336 and trioctylamine dissolved in xylene. The optimum conditions were evaluated from a critical study of the following: pH, salicylate concentration, amine concentration, diluent and period of equilibration. The method allows the separation of titanium, zirconium and hafnium from binary mixtures containing commonly associated metal ions and is applicable to the analysis of real samples such as BCS-CRM 387 nimonic 901, BCS-CRM 243/4 ferro-titanium, BCS-CRM 307 magnesium alloy and BCS-CRM 388 zircon. Titanium is determined either with hydrogen peroxide or by atomic absorption spectrometry whereas zirconium and hafnium are determined spectrophotometrically with Alizarin Red S and Zylenol Orange, respectively. The results of both separation and analysis are reported. The method is precise, accurate and fast.
Kim, Young-Hee
Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is
Solvent extraction of zirconium and hafnium using MIBK-HSCN-HCL process
International Nuclear Information System (INIS)
Borges, J.A.L.; Cunha, O.G.C. da
1985-01-01
The separation process of zirconium and hafnium, using MIBK as extractant in a set of mixer-settler is described. The chemical analysis was done by X-ray fluorescence and emission spectrography. (Author) [pt
Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei
2015-12-18
The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.
Separation of zirconium and hafnium using paper distribution chromatography
International Nuclear Information System (INIS)
Lebedeva, G.G.; Viktorova, M.E.
1981-01-01
A method is suggested of chromatographic separation of zirconium and hafnium in a CCl 4 -tributyl phosphate system (1:9) containing KCl as a salting-out agent in 5 M HNO 3 at 28-30 deg C. Zr and Hf are deterfmined in articiial mixtures under optimal chromatography conditions using visual colorimetry [ru
International Nuclear Information System (INIS)
Tanaka, Yuusuke; Tanabe, Akira; Suzuki, Katsumi
1998-01-01
The effects of synchrotron x-ray irradiation on the device characteristics and hot-carrier resistance of n- and p-channel metal oxide semiconductor field effect transistors (MOSFETs) with 4 nm thick gate oxides are investigated. In p-channel MOSFETs, device characteristics were significantly affected by the x-ray irradiation but completely recovered after annealing, while the device characteristics in n-channel MOSFETs were not noticeably affected by the irradiation. This difference appears to be due to a difference in interface-state generation. In p-channel MOSFETs, defects caused by boron-ion penetration through the gate oxides may be sensitive to x-ray irradiation, causing the generation of many interface states. These interface states are completely eliminated after annealing in hydrogen gas. The effects of irradiation on the resistance to hot-carrier degradation in annealed 4 nm thick gate-oxide MOSFETs were negligible even at an x-ray dose of 6,000 mJ/cm 2
International Nuclear Information System (INIS)
Ruiz Sanchez, F.; Cruz Castillo, F. de la; Fernandez Cellini, R.
1962-01-01
The zirconium and Hafnium oxides are obtained from a Spanish mineral of zircon with an average contest of 55% in ZrO 2 -HfO 2 . An alkaline fusion to open the mineral, followed by a purification by crystallization as (Zr O-Hf O)Cl 2 H 2 O or as (Zr-Hf) (SO 4 ) 2 . 4H 2 O, is used. A discussion of the best experimental conditions for opening the mineral and of the purification method is made. (Author) 45 refs
International Nuclear Information System (INIS)
Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.
2005-01-01
AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators
Hafnium as a prospective absorber for VVER-1000 reactors of Ukraine
International Nuclear Information System (INIS)
Afanas'ev, A.A.; Konotop, Yu.F.; Odejchuk, N.L.
2000-01-01
Nuclear-physical parameters of hafnium having in mind its use as an absorber, are considered. Technical aspects of Hf production are exposed. Use of B 4 C/Hf absorber is twice cheaper than a standard one
Energy Technology Data Exchange (ETDEWEB)
Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena; Roccaforte, Fabrizio [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII 5, Zona Industriale 95121 Catania (Italy)
2016-07-04
This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{sup 11} cm{sup −2}).
Heavy-ion-induced, gate-rupture in power MOSFETs
International Nuclear Information System (INIS)
Fischer, T.A.
1987-01-01
A new, heavy-ion-induced, burnout mechanism has been experimentally observed in power metal-oxide-semiconductor field-effect transistors (MOSFETs). This mechanism occurs when a heavy, charged particle passes through the gate oxide region of n- or p-channel devices having sufficient gate-to-source or gate-to-drain bias. The gate-rupture leads to significant permanent degradation of the device. A proposed failure mechanism is discussed and experimentally verified. In addition, the absolute immunity of p-channel devices to heavy-ion-induced, semiconductor burnout is demonstrated and discussed along with new, non-destructive, burnout testing methods
Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho
2017-06-14
We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.
Rat Aquaporin-5 Is pH-Gated Induced by Phosphorylation and Is Implicated in Oxidative Stress
Directory of Open Access Journals (Sweden)
Claudia Rodrigues
2016-12-01
Full Text Available Aquaporin-5 (AQP5 is a membrane water channel widely distributed in human tissues that was found up-regulated in different tumors and considered implicated in carcinogenesis in different organs and systems. Despite its wide distribution pattern and physiological importance, AQP5 short-term regulation was not reported and mechanisms underlying its involvement in cancer are not well defined. In this work, we expressed rat AQP5 in yeast and investigated mechanisms of gating, as well as AQP5’s ability to facilitate H2O2 plasma membrane diffusion. We found that AQP5 can be gated by extracellular pH in a phosphorylation-dependent manner, with higher activity at physiological pH 7.4. Moreover, similar to other mammalian AQPs, AQP5 is able to increase extracellular H2O2 influx and to affect oxidative cell response with dual effects: whereas in acute oxidative stress conditions AQP5 induces an initial higher sensitivity, in chronic stress AQP5 expressing cells show improved cell survival and resistance. Our findings support the involvement of AQP5 in oxidative stress and suggest AQP5 modulation by phosphorylation as a novel tool for therapeutics.
Chia-Song, Wu; Hsing-Chung, Liu
2009-11-01
This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.
Energy Technology Data Exchange (ETDEWEB)
Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)
2012-07-01
We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.
Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji
2018-06-01
The impacts of inserting ultrathin oxides into insulator/AlGaN interfaces on their electrical properties were investigated to develop advanced AlGaN/GaN metal–oxide–semiconductor (MOS) gate stacks. For this purpose, the initial thermal oxidation of AlGaN surfaces in oxygen ambient was systematically studied by synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) and atomic force microscopy (AFM). Our physical characterizations revealed that, when compared with GaN surfaces, aluminum addition promotes the initial oxidation of AlGaN surfaces at temperatures of around 400 °C, followed by smaller grain growth above 850 °C. Electrical measurements of AlGaN/GaN MOS capacitors also showed that, although excessive oxidation treatment of AlGaN surfaces over around 700 °C has an adverse effect, interface passivation with the initial oxidation of the AlGaN surfaces at temperatures ranging from 400 to 500 °C was proven to be beneficial for fabricating high-quality AlGaN/GaN MOS gate stacks.
Madan, Jaya; Gupta, R. S.; Chaujar, Rishu
2015-09-01
In this work, an analytical drain current model for gate dielectric engineered (hetero dielectric)-dual material gate-gate all around tunnel field effect transistor (HD-DMG-GAA-TFET) has been developed. Parabolic approximation has been used to solve the two-dimensional (2D) Poisson equation with appropriate boundary conditions and continuity equations to evaluate analytical expressions for surface potential, electric field, tunneling barrier width and drain current. Further, the analog performance of the device is studied for three high-k dielectrics (Si3N4, HfO2, and ZrO2), and it has been investigated that the problem of lower ION, can be overcome by using the hetero-gate architecture. Moreover, the impact of scaling the gate oxide thickness and bias variations has also been studied. The HD-DMG-GAA-TFET shows an enhanced ION of the order of 10-4 A. The effectiveness of the proposed model is validated by comparing it with ATLAS device simulations.
Directory of Open Access Journals (Sweden)
Minkyu Chun
2015-05-01
Full Text Available We investigated the effects of top gate voltage (VTG and temperature (in the range of 25 to 70 oC on dual-gate (DG back-channel-etched (BCE amorphous-indium-gallium-zinc-oxide (a-IGZO thin film transistors (TFTs characteristics. The increment of VTG from -20V to +20V, decreases the threshold voltage (VTH from 19.6V to 3.8V and increases the electron density to 8.8 x 1018cm−3. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on VTG. At VTG of 20V, the mobility decreases from 19.1 to 15.4 cm2/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at VTG of - 20V, the mobility increases from 6.4 to 7.5cm2/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.
Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination
Chang, P. K.; Hwu, J. G.
2017-04-01
The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.
Electrodeposition in molten salts of metals used in nuclear industry: hafnium and uranium
International Nuclear Information System (INIS)
Serrano, K.
1998-01-01
The aim of this work is to study the electrodeposition in molten salts of metals used in nuclear industry: hafnium and uranium. The experiment is carried out in a molten alkaline halogenide medium in a temperature range between 670 and 750 degrees Celsius. The first part of this work concerns more particularly the electrochemical behaviour of the hafnium and uranium ions in the electrolytic solution. The reduction mechanisms of these ions have been studied by the use of three methods: cyclic voltametry, chrono-potentiometry and square wave voltametry. Results have shown that the process of metal deposition is difficult to explain because secondary reactions (as for instance: adsorption phenomena or cathodic deposit dissolution) occur. The uranium germination has then been studied by modelling of chrono-amperograms. The experiments have shown that the deposition is the result of the initial uranium crystal growth and depends on the electrolyte diffusion. The second part of this work deals with the implementation of hafnium and uranium deposition taking into account the preceding mechanistic studies. Depositions have all been observed by physical methods as for instance scanning electron microscopy. Particular experimental solutions (soluble anode, addition of fluoride ions to the electrolyte) have been used. The obtained deposition of hafnium is smooth and adheres very well to the substrate. The uranium depositions have been implemented with the use of a soluble anode. Uranium is deposited in a dendritic shape to the cathode. It has also been shown that the electro-kinetic parameters (temperature, uranium ions concentration, current density) have not an important influence on the dendritic morphology of the deposition. This morphology could be the consequence of particular convection movements to the surface of the cathode. (O.M.)
Feng, Ping; Du, Peifu; Wan, Changjin; Shi, Yi; Wan, Qing
2016-09-30
New-concept devices featuring the characteristics of ultralow operation voltages and low fabrication cost have received increasing attention recently because they can supplement traditional Si-based electronics. Also, organic/inorganic composite systems can offer an attractive strategy to combine the merits of organic and inorganic materials into promising electronic devices. In this report, solution-processed graphene oxide/chitosan composite film was found to be an excellent proton conducting electrolyte with a high specific capacitance of ~3.2 μF/cm 2 at 1.0 Hz, and it was used to fabricate multi-gate electric double layer transistors. Dual-gate AND logic operation and two-terminal diode operation were realized in a single device. A two-terminal synaptic device was proposed, and some important synaptic behaviors were emulated, which is interesting for neuromorphic systems.
Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming
2016-12-01
In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.
Plasma spraying of zirconium carbide – hafnium carbide – tungsten cermets
Czech Academy of Sciences Publication Activity Database
Brožek, Vlastimil; Ctibor, Pavel; Cheong, D.-I.; Yang, S.-H.
2009-01-01
Roč. 9, č. 1 (2009), s. 49-64 ISSN 1335-8987 Institutional research plan: CEZ:AV0Z20430508 Keywords : Plasma spraying * cermet coatings * microhardness * zirconium carbide * hafnium carbide * tungsten * water stabilized plasma Subject RIV: JH - Ceramics, Fire-Resistant Materials and Glass
International Nuclear Information System (INIS)
Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue
2013-01-01
A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Caraveo-Frescas, J. A.
2012-03-09
It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ∼350 mV negative shift with the Si overlayer present and a ∼110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.
Negative charge induced degradation of PMOSFETs with BF2-implanted p+-poly gate
International Nuclear Information System (INIS)
Lu, C.Y.; Sung, J.M.
1989-01-01
A new degradation phenomenon on thin gate oxide PMOS-FETs with BF 2 implanted p + -poly gate has been demonstrated and investigated. The cause of this type of degradation is a combination of the boron penetration through the gate oxide and charge trap generation due to the presence of fluorine in the gate oxide and some other processing-induced effects. The negative charge-induced degradation other than enhanced boron diffusion has been studied in detail here. The impact of this process-sensitive p + -poly gate structure on deep submicron CMOS process integration has been discussed. (author)
Energy Technology Data Exchange (ETDEWEB)
Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)
2015-05-15
We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.
International Nuclear Information System (INIS)
Okada, A.T.
1982-01-01
A zirconium-hafnium separation process for application in nuclear industry is presented. The extraction of zirconium and hafnium thiocyanates in chloride medium by hexone-HSCN solvent was studied. The extraction process was developed, varying the parameters, such as, concentrations of the metals, the thiocyanate ion, the sulphate ion and free acidity in aqueous phase. (Author) [pt
Separation process of zirconium and hafnium
International Nuclear Information System (INIS)
Hure, J.; Saint-James, R.
1955-01-01
About the separation different processes of zirconium-hafnium, the extraction by solvent in cross-current is the most easily the process usable on an industrial scale. It uses tributyl phosphate as solvent, diluted with white spirit to facilitate the decanting. Some exploratory tests showed that nitric environment seemed the most favorable for extraction; but a lot of other factors intervene in the separation process. We studied the influence of the acidity successively, the NO 3 - ions concentration, the role of the cation coming with NO 3 - , as well as the influence of the concentration of zirconium in the solution on the separation coefficient β = α Zr / α Hf . (M.B.) [fr
Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy
Energy Technology Data Exchange (ETDEWEB)
Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)
2009-07-01
Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.
Composite catalyst for carbon monoxide and hydrocarbon oxidation
Liu, Wei; Flytzani-Stephanopoulos, Maria
1996-01-01
A method and composition for the complete oxidation of carbon monoxide and/or hydrocarbon compounds. The method involves reacting the carbon monoxide and/or hydrocarbons with an oxidizing agent in the presence of a metal oxide composite catalyst. The catalyst is prepared by combining fluorite-type oxygen ion conductors with active transition metals. The fluorite oxide, selected from the group consisting of cerium oxide, zirconium oxide, thorium oxide, hafnium oxide, and uranium oxide, and may be doped by alkaline earth and rare earth oxides. The transition metals, selected from the group consisting of molybdnum, copper, cobalt, maganese, nickel, and silver, are used as additives. The atomic ratio of transition metal to fluorite oxide is less than one.
Directory of Open Access Journals (Sweden)
D.Nirmal
2014-07-01
Full Text Available In the past, most of the research and development efforts in the area of CMOS and IC’s are oriented towards reducing the power and increasing the gain of the circuits. While focusing the attention on low power and high gain in the device, the materials of the device also been taken into consideration. In the present technology, Computationally intensive devices with low power dissipation and high gain are becoming a critical application domain. Several factors have contributed to this paradigm shift. The primary driving factor being the increase in scale of integration, the chip has to accommodate smaller and faster transistors than their predecessors. During the last decade semiconductor technology has been led by conventional scaling. Scaling, has been aimed towards higher speed, lower power and higher density of the semiconductor devices. However, as scaling approached its physical limits, it has become more difficult and challenging for fabrication industry. Therefore, tremendous research has been carried out to investigate the alternatives, and this led to the introduction of new Nano materials and concepts to overcome the difficulties in the device fabrications. In order to reduce the leakage current and parasitic capacitance in devices, gate oxide high-k dielectric materials are explored. Among the different high-k materials available the nano size Zirconium dioxide material is suggested as an alternate gate oxide material for devices due to its thermal stability and small grain size of material. To meet the requirements of ITRS roadmap 2012, the Multi gate devices are considered to be one of the most promising technologies for the future microelectronics industry due to its excellent immunity to short channel effects and high value of On current. The double gate or multi gate devices provide a better scalability option due to its excellent immunity to short-channel effects. Here the different high-k materials are replaced in different
Energy Technology Data Exchange (ETDEWEB)
Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)
2015-08-24
Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.
Energy Technology Data Exchange (ETDEWEB)
Chao, Jin Yu [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China); Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Yuan, Zhi Guo, E-mail: ncityzg@163.com [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China)
2015-12-21
Modulation of charge carrier density in condensed materials based on ionic/electronic interaction has attracted much attention. Here, protonic/electronic hybrid indium-zinc-oxide (IZO) transistors gated by chitosan based electrolyte were obtained. The chitosan-based electrolyte illustrates a high proton conductivity and an extremely strong proton gating behavior. The transistor illustrates good electrical performances at a low operating voltage of ∼1.0 V such as on/off ratio of ∼3 × 10{sup 7}, subthreshold swing of ∼65 mV/dec, threshold voltage of ∼0.3 V, and mobility of ∼7 cm{sup 2}/V s. Good positive gate bias stress stabilities are obtained. Furthermore, a low voltage driven resistor-loaded inverter was built by using an IZO transistor in series with a load resistor, exhibiting a linear relationship between the voltage gain and the supplied voltage. The inverter is also used for decreasing noises of input signals. The protonic/electronic hybrid IZO transistors have potential applications in biochemical sensors and portable electronics.
Energy Technology Data Exchange (ETDEWEB)
Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)
2016-09-15
In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Vapor pressure, heat capacities, and phase transitions of tetrakis(tert-butoxy)hafnium
Czech Academy of Sciences Publication Activity Database
Fulem, Michal; Růžička, K.
2011-01-01
Roč. 311, Dec. (2011), s. 25-29 ISSN 0378-3812 Institutional research plan: CEZ:AV0Z10100521 Keywords : tetrakis(tert-butoxy)hafnium * MO precursor * vapor pressure * heat capacity * vaporization enthalpy * enthalpy of fusion Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.139, year: 2011
Visser, Cindy; Hende, Johannes R. van den; Meetsma, Auke; Hessen, Bart; Teuben, Jan H.
2001-01-01
The half-sandwich zirconium and hafnium N,N-dimethylaminopropyl complexes Cp*M[(CH2)3NMe2]Cl2 (Cp* = η5-C5Me5, M = Zr, 1; Hf, 2) and Cp*M[(CH2)3NMe2]2Cl (M = Zr, 3; Hf, 4) were synthesized by mono- or dialkylation of Cp*MCl3 with the corresponding alkyllithium and Grignard reagents. Hydrogenolysis
Properties of neutron-rich hafnium high-spin isomers
Tungate, G; Walker, P M; Neyens, G; Billowes, J; Flanagan, K; Koester, U H; Litvinov, Y
It is proposed to study highly-excited multi-quasiparticle isomers in neutron-rich hafnium (Z=72) isotopes. Long half-lives have already been measured for such isomers in the storage ring at GSI, ensuring their accessibility with ISOL production. The present proposal focuses on:\\\\ (i) an on-line experiment to measure isomer properties in $^{183}$Hf and $^{184}$Hf, and\\\\ (ii) an off-line molecular breakup test using REXTRAP, to provide Hf$^{+}$ beams for future laser spectroscopy and greater sensitivity for the future study of more neutron-rich isotopes.
Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa
2015-01-01
We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard
Simulation of dual-gate SOI MOSFET with different dielectric layers
Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.
2016-04-01
The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).
Role of Electrical Double Layer Structure in Ionic Liquid Gated Devices.
Black, Jennifer M; Come, Jeremy; Bi, Sheng; Zhu, Mengyang; Zhao, Wei; Wong, Anthony T; Noh, Joo Hyon; Pudasaini, Pushpa R; Zhang, Pengfei; Okatan, Mahmut Baris; Dai, Sheng; Kalinin, Sergei V; Rack, Philip D; Ward, Thomas Zac; Feng, Guang; Balke, Nina
2017-11-22
Ionic liquid gating of transition metal oxides has enabled new states (magnetic, electronic, metal-insulator), providing fundamental insights into the physics of strongly correlated oxides. However, despite much research activity, little is known about the correlation of the structure of the liquids in contact with the transition metal oxide surface, its evolution with the applied electric potential, and its correlation with the measured electronic properties of the oxide. Here, we investigate the structure of an ionic liquid at a semiconducting oxide interface during the operation of a thin film transistor where the electrical double layer gates the device using experiment and theory. We show that the transition between the ON and OFF states of the amorphous indium gallium zinc oxide transistor is accompanied by a densification and preferential spatial orientation of counterions at the oxide channel surface. This process occurs in three distinct steps, corresponding to ion orientations, and consequently, regimes of different electrical conductivity. The reason for this can be found in the surface charge densities on the oxide surface when different ion arrangements are present. Overall, the field-effect gating process is elucidated in terms of the interfacial ionic liquid structure, and this provides unprecedented insight into the working of a liquid gated transistor linking the nanoscopic structure to the functional properties. This knowledge will enable both new ionic liquid design as well as advanced device concepts.
Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.
Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio
2016-06-15
Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).
Stecura, S.
1976-01-01
Oxygen was added to T-111 (Ta-8W-2Hf, wt. %) at 820 and 990 C at an oxygen pressure of about 0.0003 torr. The technique employed permitted predetermined and reproducible doping of T-111 up to 3.0 at. % oxygen. Based on the temperature dependence of the doping reaction, it is concluded that the initial rates of oxygen pickup are probably controlled by solution of oxygen into the T-111 lattice. Although hafnium oxides are more stable than those of tantalum or tungsten, analyses of extracted residues indicate that the latter oxides predominate in the as-doped specimens, presumably because of the higher concentrations of tantalum and tungsten in the alloy. However, high-temperature annealing promotes gettering of dissolved oxygen and of other oxides to form hafnium oxides. Small amounts of tantalum and tungsten oxides were still present after high-temperature annealing. Tungsten oxide (WO3) volatilizes slightly from the surface of T-111 at 990 C. The vaporization of WO3 has no apparent affect on the doping reaction.
International Nuclear Information System (INIS)
Noya, Atsushi; Sasaki, Katsutaka; Umezawa, Toshiji
1989-01-01
Formation process of the anodic oxide film of hafnium for use as a thin-film capacitor has been examined by the current-voltage characteristics of the anodization and the in-depth analysis of formed oxide using Auger electron spectroscopy. It is found that the oxide growth obeys three different rate laws such as the linear rate law at first and next the parabolic rate law during the constant current anodization, and then the reciprocal logarithmic rate law during the constant voltage anodization following after the constant current process. From the Auger electron spectroscopy analysis, it is found that the shape of the compositional depth profile of the grown oxide film varies associating with the rate law of oxidation obeyed. The variation of depth profile correlating with the rate law is discussed with respect to each elementary process such as the transport and/or the reaction of chemical species interpreted from the over-all behavior of anodization process. It is revealed that the stoichiometric film having an interface with sharp transition, which is favorable for obtaining excellent electrical properties of the capacitor, can be obtained under the condition that the phase-boundary reaction is the rate-determining step of the anodization. The constant voltage anodization process also satisfies such circumstances and therefore can be favorable method for preparing highquality thin-film capacitors. (author)
Oxidation and Volatilization from Tantalum Alloy T-222 During Air Exposure
Energy Technology Data Exchange (ETDEWEB)
Smolik, Galen Richard; Petti, David Andrew; Sharpe, John Phillip; Schuetz, Stanley Thomas
2000-10-01
Tantalum alloys are one of the refractory metals with renewed consideration for high temperatures in fusion reactor applications. Tantalum alloys perform well in protective environments but oxidized readily in gases containing higher oxygen levels. In addition, the radioactive isotope Ta-182 would be produced in tantalum and could be a significant contributor to dose if mobilized. Other isotopes of importance are produced from tungsten and hafnium. Mobilization of activated products during an accident with air ingress is therefore a safety issue. In this study, we measured the extent of oxidation and mobilization from tantalum alloy T-222 oxidized in flowing air between 500 and 1200°C. This alloy nominally contains 10 wt% tungsten, 2.5 wt% hafnium and 0.01 wt% carbon. We found that the mobilization of Ta and Hf was closely linked to the occurrence of oxide spalling. These elements showed no migration from the test chamber. Some W was mobilized by volatilization as evidenced by transport from the chamber. Tungsten volatilization could occur primarily during initial stages of oxidation before an oxide scale forms and impedes the process. The mobilization of Ta and W are presented in terms of the mass flux (g/m 2 -h) as a function of test temperature. These measurements along with specific designs, activation calculations, and accident scenarios provide information useful for dose calculations of future fusion devices
Oxidation and Volatilization from Tantalum Alloy T-222 During Air Exposure
Energy Technology Data Exchange (ETDEWEB)
Smolik, G.R.; Petti, D.A.; Sharpe, J.P.; Schuetz, S.T.
2000-10-31
Tantalum alloys are one of the refractory metals with renewed consideration for high temperatures in fusion reactor applications. Tantalum alloys perform well in protective environments but oxidized readily in gases containing higher oxygen levels. In addition, the radioactive isotope Ta-182 would be produced in tantalum and could be a significant contributor to dose if mobilized. Other isotopes of importance are produced from tungsten and hafnium. Mobilization of activated products during an accident with air ingress is therefore a safety issue. In this study, we measured the extent of oxidation and mobilization from tantalum alloy T-222 oxidized in flowing air between 500 and 1200 C. This alloy nominally contains 10 wt% tungsten, 2.5 wt% hafnium and 0.01 wt% carbon. We found that the mobilization of Ta and Hf was closely linked to the occurrence of oxide spalling. These elements showed no migration from the test chamber. Some W was mobilized by volatilization as evidenced by transport from the chamber. Tungsten volatilization could occur primarily during initial stages of oxidation before an oxide scale forms and impedes the process. The mobilization of Ta and W are presented in terms of the mass flux (g/m 2 -h) as a function of test temperature. These measurements along with specific designs, activation calculations, and accident scenarios provide information useful for dose calculations of future fusion devices.
Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.
2017-04-01
In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.
Energy Technology Data Exchange (ETDEWEB)
Gu, Zhiqing; Wang, Jiafu; Hu, Chaoquan; Zhang, Xiaobo; Dang, Jianchen; Gao, Jing; Zheng, Weitao [Jilin University, School of Materials Science and Engineering, Key Laboratory of Mobile Materials, MOE, and State Key Laboratory of Superhard Materials, Changchun (China); Zhang, Sam [Nanyang Technological University, School of Mechanical and Aerospace Engineering, Singapore (Singapore); Wang, Xiaoyi [Chinese Academy of Sciences, Key Laboratory of Optical System Advanced Manufacturing Technology, Changchun Institute of Optics, Fine Mechanics and Physics, Changchun (China); Chen, Hong [Jilin University, Department of Control Science and Engineering, Changchun (China)
2016-08-15
Although the role of ion bombardment on electrical conductivity and optical reflectivity of transition metal nitrides films was reported previously, the results were controversial and the mechanism was not yet well explored. Here, we show that proper ion bombardment, induced by applying the negative bias voltage (V{sub b}), significantly improves the electrical conductivity and optical reflectivity in rocksalt hafnium nitride films regardless of level of stoichiometry (i.e., in both near-stoichiometric HfN{sub 1.04} and over-stoichiometric HfN{sub 1.17} films). The observed improvement arises from the increase in the concentration of free electrons and the relaxation time as a result of reduction in nitrogen and hafnium vacancies in the films. Furthermore, HfN{sub 1.17} films have always much lower electrical conductivity and infrared reflectance than HfN{sub 1.04} films for a given V{sub b}, owing to more hafnium vacancies because of larger composition deviation from HfN exact stoichiometry (N:Hf = 1:1). These new insights are supported by good agreement between experimental results and theoretical calculations. (orig.)
Cleaning Challenges of High-κ/Metal Gate Structures
Hussain, Muhammad Mustafa; Shamiryan, Denis G.; Paraschiv, Vasile; Sano, Kenichi; Reinhardt, Karen A.
2010-01-01
High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.
Cleaning Challenges of High-κ/Metal Gate Structures
Hussain, Muhammad Mustafa
2010-12-20
High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.
International Nuclear Information System (INIS)
Drueke, V.; Filges, D.; Nabi, R.; Neef, R.D.; Paul, N.; Schaal, H.
1979-10-01
Experiments and checking computations for investigating the initial-core poisoning of the pebble bed high temperature reactor are described. Following the example of the THTR-300, THTR absorber elements poisoned with hafnium/boron were added to the THTR fuel- and graphite elements of the KAHTER core. Three different hafnium-boron poisoned core loadings, corresponding to 2.7, 5.3 and 8% reactivity compensation, were used in the experiments. For purposes of comparison, two cores exclusively boron poisoned were also studied. The poisoning of these cores correspond to 2.7 and 8% reactivity compensation. The experiments and checking computations should serve to test the accuracy of the theoretical models and data sets in modeling the reactivity effects of absorber poisoned elements in the THTR. In particular, the applicability of the nuclear data of hafnium and the treatment of resonance calculations should be verified. In addition, to determining critical masses and ksub(eff), special emphasis was placed in the experiments on the exact determination of all reactivity effects. In some cases, repeated loading of a configuration also provided a measure of the reproducibility of ksub(eff). The experiments were checked computationally using the GAMTEREX code package and the program system RSYST. These two computation packages contain different data bases, - although the hafnium data are identical -, and the computing models differ in certain phases of the calculations. Both code systems compute ksub(eff) values to within the present accuracy requirements, whereas the program system RSYST gives better agreement with experimental measurements. (orig.) 891 RW/orig. 892 RDG [de
Analyzing Single-Event Gate Ruptures In Power MOSFET's
Zoutendyk, John A.
1993-01-01
Susceptibilities of power metal-oxide/semiconductor field-effect transistors (MOSFET's) to single-event gate ruptures analyzed by exposing devices to beams of energetic bromine ions while applying appropriate bias voltages to source, gate, and drain terminals and measuring current flowing into or out of each terminal.
Yang, Yi; Wen, Juan; Guo, Liqiang; Wan, Xiang; Du, Peifu; Feng, Ping; Shi, Yi; Wan, Qing
2016-11-09
Emulating neural behaviors at the synaptic level is of great significance for building neuromorphic computational systems and realizing artificial intelligence. Here, oxide-based electric double-layer (EDL) thin-film transistors were fabricated using 3-triethoxysilylpropylamine modified graphene oxide (KH550-GO) electrolyte as the gate dielectrics. Resulting from the EDL effect and electrochemical doping between mobile protons and the indium-zinc-oxide channel layer, long-term synaptic plasticity was emulated in our devices. Synaptic functions including long-term memory, synaptic temporal integration, and dynamic filters were successfully reproduced. In particular, spike rate-dependent plasticity (SRDP), one of the basic learning rules of long-term plasticity in the neural network where the synaptic weight changes according to the rate of presynaptic spikes, was emulated in our devices. Our results may facilitate the development of neuromorphic computational systems.
Energy Technology Data Exchange (ETDEWEB)
Chain, Cecilia Y. [Universidad Nacional de La Plata (Argentina). Dept. de Fisica; Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET), La Plata (Argentina). IFLP-CCT; Consejo Nacional de Investigaciones Cientificas y Tecnicas, Buenos Aires (Argentina); Rivas, Patricia [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET), La Plata (Argentina). IFLP-CCT; Consejo Nacional de Investigaciones Cientificas y Tecnicas, Buenos Aires (Argentina); Universidad Nacional de La Plata (Argentina). Facultad de Ciencias Agrarias y Forestales; Pasquevich, Alberto F. [Universidad Nacional de La Plata (Argentina). Dept. de Fisica; Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET), La Plata (Argentina). IFLP-CCT; Comision de Investigaciones Cientificas de la Provincia de Buenos Aires (CIC-PBA) (Argentina)
2014-07-01
Polyaminecarboxilic ligands like diethylenetriaminepentaacetic acid form stable complexes with many heavy metal ions, excelling as cation chelants especially in the field of radiopharmacy. The aim of this work is to characterize, by using the Time Differential Perturbed Angular Correlations (TDPAC) technique, the hyperfine interactions at hafnium sites in hafnium diethylenetriaminepentaacetate and to investigate their evolution as temperature increases. TDPAC results for KHfDTPA.3H{sub 2}O obtained by chemical synthesis yield a well defined and highly asymmetric interaction of quadrupole frequency ω{sub Q} = 141 Mrad/s, which is consistent with the existence of a unique site for the metal in the crystal lattice. The thermal behaviour of the chelate is investigated by means of differential scanning calorimetry and thermogravimetrical analyses revealing that an endothermic dehydration of KHfDTPA.3H{sub 2}O takes place in one step between 80 C and 180 C. The anhydrous KHfDTPA thus arising is characterized by a fully asymmetric and well defined interaction of quadrupole frequency ω{sub Q} = 168 Mrad/s. (orig.)
International Nuclear Information System (INIS)
Chain, Cecilia Y.; Rivas, Patricia
2014-01-01
Polyaminecarboxilic ligands like diethylenetriaminepentaacetic acid form stable complexes with many heavy metal ions, excelling as cation chelants especially in the field of radiopharmacy. The aim of this work is to characterize, by using the Time Differential Perturbed Angular Correlations (TDPAC) technique, the hyperfine interactions at hafnium sites in hafnium diethylenetriaminepentaacetate and to investigate their evolution as temperature increases. TDPAC results for KHfDTPA.3H 2 O obtained by chemical synthesis yield a well defined and highly asymmetric interaction of quadrupole frequency ω Q = 141 Mrad/s, which is consistent with the existence of a unique site for the metal in the crystal lattice. The thermal behaviour of the chelate is investigated by means of differential scanning calorimetry and thermogravimetrical analyses revealing that an endothermic dehydration of KHfDTPA.3H 2 O takes place in one step between 80 C and 180 C. The anhydrous KHfDTPA thus arising is characterized by a fully asymmetric and well defined interaction of quadrupole frequency ω Q = 168 Mrad/s. (orig.)
Kim, Hyun-Min; Kwon, Dae Woong; Kim, Sihyun; Lee, Kitae; Lee, Junil; Park, Euyhwan; Lee, Ryoongbin; Kim, Hyungjin; Kim, Sangwan; Park, Byung-Gook
2018-09-01
In this paper, the volatile and nonvolatile characteristics of asymmetric dual-gate thyristor random access memory (TRAM) are investigated using the technology of a computer-aided design (TCAD) simulation. Owing to the use of two independent gates having different gate dielectric layers, volatile and nonvolatile memory functions can be realized in a single device. The first gate with a silicon oxide layer controls the one-transistor dynamic random access memory (1T-DRAM) characteristics of the device. From the simulation results, a rapid write speed (107) can be achieved. The second gate, whose dielectric material is composed of oxide/nitride/oxide (O/N/O) layers, is used to implement the nonvolatile property by trapping charges in the nitride layer. In addition, this offers an advantage when processing the 3D-stack memory application, as the device has a vertical channel structure with polycrystalline silicon.
Sherck, Nicholas J; Won, You-Yeon
2017-12-01
To assess the radiotherapy dose enhancement (RDE) potential of calcium tungstate (CaWO 4 ) and hafnium oxide (HfO 2 ) nano- and microparticles (NPs). A Monte Carlo simulation study was conducted to gauge their respective RDE potentials relative to that of the broadly studied gold (Au) NP. The study was warranted due to the promising clinical and preclinical studies involving both CaWO 4 and HfO 2 NPs as RDE agents in the treatment of various types of cancers. The study provides a baseline RDE to which future experimental RDE trends can be compared to. All three materials were investigated in silico with the software Penetration and Energy Loss of Positrons and Electrons (PENELOPE 2014) developed by Francesc Salvat and distributed in the United States by the Radiation Safety Information Computational Center (RSICC) at Oak Ridge National Laboratory. The work utilizes the extensively studied Au NP as the "gold standard" for a baseline. The key metric used in the evaluation of the materials was the local dose enhancement factor (DEF loc ). An additional metric used, termed the relative enhancement ratio (RER), evaluates material performance at the same mass concentrations. The results of the study indicate that Au has the strongest RDE potential using the DEF loc metric. HfO 2 and CaWO 4 both underperformed relative to Au with lower DEF loc of 2-3 × and 4-100 ×, respectively. The computational investigation predicts the RDE performance ranking to be: Au > HfO 2 > CaWO 4 . © 2017 American Association of Physicists in Medicine.
The effect of gate length on SOI-MOSFETS operation | Baedi ...
African Journals Online (AJOL)
The effect of gate length on the operation of silicon-on-insulator (SOI) MOSFET structure with a layer of buried silicon oxide added to isolate the device body has been simulated. Three transistors with gate lengths of 100, 200 and 500 nm were simulated. Simulations showed that with a fixed channel length, when the gate ...
Ajay; Narang, Rakhi; Saxena, Manoj; Gupta, Mridula
2015-12-01
In this paper, an analytical model for gate drain underlap channel Double-Gate Metal-Oxide-Semiconductor Field-Effect Transistor (DG-MOSFET) for label free electrical detection of biomolecules has been proposed. The conformal mapping technique has been used to derive the expressions for surface potential, lateral electric field, energy bands (i.e. conduction and valence band) and threshold voltage (Vth). Subsequently a full drain current model to analyze the sensitivity of the biosensor has been developed. The shift in the threshold voltage and drain current (after the biomolecules interaction with the gate underlap channel region of the MOS transistor) has been used as a sensing metric. All the characteristic trends have been verified through ATLAS (SILVACO) device simulation results.
Bias stress instability of double-gate a-IGZO TFTs on polyimide substrate
Cho, Won-Ju; Ahn, Min-Ju
2017-09-01
In this study, flexible double-gate thin-film transistor (TFT)-based amorphous indium-galliumzinc- oxide (a-IGZO) was fabricated on a polyimide substrate. Double-gate operation with connected front and back gates was compared with a single-gate operation. As a result, the double-gate a- IGZO TFT exhibited enhanced electrical characteristics as well as improved long-term reliability. Under positive- and negative-bias temperature stress, the threshold voltage shift of the double-gate operation was much smaller than that of the single-gate operation.
Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation
International Nuclear Information System (INIS)
Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.; Bersuker, G.; Brown, G. A.; Murto, R. W.; Jackson, M. D.; Huff, H. R.; Kraus, P.; Lopes, D.
2001-01-01
In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSG oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. [copyright] 2001 American Institute of Physics
An analytical gate tunneling current model for MOSFETs
Energy Technology Data Exchange (ETDEWEB)
Kazerouni, Iman Abaspur, E-mail: imanabaspur@gmail.com; Hosseini, Seyed Ebrahim [Sabzevar Tarbiat Moallem University, Electrical and Computer Department (Iran, Islamic Republic of)
2012-03-15
Gate tunneling current of MOSFETs is an important factor in modeling ultra small devices. In this paper, gate tunneling in present-generation MOSFETs is studied. In the proposed model, we calculate the electron wave function at the semiconductor-oxide interface and inversion charge by treating the inversion layer as a potential well, including some simplifying assumptions. Then we compute the gate tunneling current using the calculated wave function. The proposed model results have an excellent agreement with experimental results in the literature.
Hafnium isotope evidence for a transition in the dynamics of continental growth 3.2 Gyr ago.
Næraa, T; Scherstén, A; Rosing, M T; Kemp, A I S; Hoffmann, J E; Kokfelt, T F; Whitehouse, M J
2012-05-30
Earth's lithosphere probably experienced an evolution towards the modern plate tectonic regime, owing to secular changes in mantle temperature. Radiogenic isotope variations are interpreted as evidence for the declining rates of continental crustal growth over time, with some estimates suggesting that over 70% of the present continental crustal reservoir was extracted by the end of the Archaean eon. Patterns of crustal growth and reworking in rocks younger than three billion years (Gyr) are thought to reflect the assembly and break-up of supercontinents by Wilson cycle processes and mark an important change in lithosphere dynamics. In southern West Greenland numerous studies have, however, argued for subduction settings and crust growth by arc accretion back to 3.8 Gyr ago, suggesting that modern-day tectonic regimes operated during the formation of the earliest crustal rock record. Here we report in situ uranium-lead, hafnium and oxygen isotope data from zircons of basement rocks in southern West Greenland across the critical time period during which modern-like tectonic regimes could have initiated. Our data show pronounced differences in the hafnium isotope-time patterns across this interval, requiring changes in the characteristics of the magmatic protolith. The observations suggest that 3.9-3.5-Gyr-old rocks differentiated from a >3.9-Gyr-old source reservoir with a chondritic to slightly depleted hafnium isotope composition. In contrast, rocks formed after 3.2 Gyr ago register the first additions of juvenile depleted material (that is, new mantle-derived crust) since 3.9 Gyr ago, and are characterized by striking shifts in hafnium isotope ratios similar to those shown by Phanerozoic subduction-related orogens. These data suggest a transitional period 3.5-3.2 Gyr ago from an ancient (3.9-3.5 Gyr old) crustal evolutionary regime unlike that of modern plate tectonics to a geodynamic setting after 3.2 Gyr ago that involved juvenile crust generation by plate
The interaction of fast neutrons with hafnium
International Nuclear Information System (INIS)
Smith, A.B.
2002-01-01
Elemental hafnium neutron total cross sections are measured from ∼0.75 to 4.5 MeV in steps of ∼40 keV. Differential neutron elastic-scattering cross sections are measured from ∼4.5 to 10.0 MeV in ∼0.5 MeV steps and at 40 scattering angles distributed between ∼17 and 160 deg. These data are combined with those found in the literature to construct a comprehensive experimental data base which is interpreted in terms of coupled-channels models. Physical characteristics of the resulting potentials are discussed. Comparisons are made with ENDF/B-6 (MAT 7200). A detailed report of this work is given by Smith (Smith, A., 2001. Argonne National Laboratory Report ANL/NDM-153)
International Nuclear Information System (INIS)
Provot, B.; Herter, P.
2000-01-01
In order to improve the mechanical behaviour of materials used as neutron absorbers in nuclear reactors, we have developed CERCER or CERMET composites with boron and hafnium. Thus a new composite B 4 C/HfB 2 has been especially studied. We have identified three kinds of degradation under irradiation (thermal gradient, swelling due to fission products and accidental corrosion) that induce imposed deformations cracking phenomena. Mechanical behaviour and crack propagation resistance have been studied by ball-on-three-balls and double torsion tests. A special device was developed to enable crack propagation and associated stress intensity factor measurements. Effects of structure and of a second phase are underline. First results show that these materials present crack initiation and propagation resistance much higher than pure boron carbide or hafnium diboride. We observe R-Curves effects, crack bridging or branching, crack arrests, and toughness increases that we can relate respectively to the composite structures. (author)
Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing
2013-11-07
In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.
Titrimetric determination of thiocyanate in solutions of the hafnium-zirconium separation process
International Nuclear Information System (INIS)
Vazquez, Cristina; Botbol, Moises; Hernandez, M.H.
1980-01-01
The control of the thiocyanate concentration is necessary during the process of separating hafnium from zirconium by the hexone-thiocyanate method. Said control is carried out by titrimetric determination of thiocyanate in aqueous and organic solutions containing hydrochloric acid and ammonium thiocyanate in presence or absence of zirconium and/or hafnium. The method consists in a redox volumetric analysis using a cerium (IV) salt as titrating agent, and ferroine as the final point indicator. Owing to the instability of thiocyanate in an acid medium it is necessary to know previously if the decomposition of solutions with different concentration of ammonium thiocyanate and hydrochloric acid may have an influence upon the analytic results or may even invalidate them. In order to obtain reliable results, it must be taken into account that the stability of the solutions depends on the thiocyanate concentration, the acidity and the time elapsed from the moment the sample is taken until the test is performed. The decomposition process can be slowed down by cooling the solutions. This method allows to control the plant and does not require any special equipment. (M.E.L) [es
Lee, Ching-Ting; Wang, Chun-Chi
2018-04-01
To study the function of channel width in multiple-submicron channel array, we fabricated the enhancement mode GaN-based gate-recessed fin metal-oxide-semiconductor high-electron mobility transistors (MOS-HEMTs) with a channel width of 450 nm and 195 nm, respectively. In view of the enhanced gate controllability in a narrower fin-channel structure, the transconductance was improved from 115 mS/mm to 151 mS/mm, the unit gain cutoff frequency was improved from 6.2 GHz to 6.8 GHz, and the maximum oscillation frequency was improved from 12.1 GHz to 13.1 GHz of the devices with a channel width of 195 nm, compared with the devices with a channel width of 450 nm.
Gate length variation effect on performance of gate-first self-aligned In₀.₅₃Ga₀.₄₇As MOSFET.
Mohd Razip Wee, Mohd F; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y
2013-01-01
A multi-gate n-type In₀.₅₃Ga₀.₄₇As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm(2)/Vs are achieved for the gate length and width of 0.2 µm and 30 µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10(-8) A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared.
Gate Length Variation Effect on Performance of Gate-First Self-Aligned In0.53Ga0.47As MOSFET
Mohd Razip Wee, Mohd F.; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y.
2013-01-01
A multi-gate n-type In0.53Ga0.47As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm2/Vs are achieved for the gate length and width of 0.2 µm and 30µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10−8 A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared. PMID:24367548
International Nuclear Information System (INIS)
Farnham, W.B.; Hertler, W.R.
1988-01-01
This patent describes a process for preparing ''living'' polymer. The process comprises contacting one or more acrylic monomers under polymerizing conditions with a polymerization-initiating amount of a tetra-coordinate organotitanium, organozirconium or organo-hafnium polymerization initiator, and ''living'' polymers produced thereby
International Nuclear Information System (INIS)
McLaughlin, D.F.; Stoltz, R.A.
1988-01-01
In an extractive distillation method for separating hafniuim tetrachloride from zirconium tetrachloride of the type wherein a mixture of zirconium and hafnium tetrachlorides is introduced into an extractive distillation column, which extractive distillation column has a reboiler connected at the bottom and a reflux condenser connected at the top and wherein a molten salt solvent is circulated into the reflux condenser and through the column to provide a liquid phase, and wherein molten salt solvent containing zirconium tetrachloride is taken from the reboiler and run through a stripper to remove zirconium tetrachloride product from the molten salt solvent and the stripped molten salt solvent is returned to the reflux condenser and hafnium tetrachloride enriched vapor is taken as product from the reflux condenser, the improvement is described comprising: the molten salt having a composition of at least 30 mole percent zinc chloride and at least 10 mole percent of lead chloride
Oxidation kinetics of some zirconium alloys in flowing carbon dioxide at high temperatures
International Nuclear Information System (INIS)
Kohli, R.
1980-01-01
The oxidation kinetics of three zirconium alloys (Zr-2.2 wt% Hf, Zr-2.5 wt% Nb, and Zr-3 wt% Nb-1 wt% Sn) have been measured in flowing carbon dioxide in the temperature range from 873 to 1173 K to 120 ks (2000 min). At all oxidation temperatures, Zr-2.5 Nb and Zr-3 Nb-1 Sn showed a transition to rapid linear kinetics after initial parabolic oxidation. The Zr-2.2 Hf showed this transition at temperatures in the range from 973 to 1173 K; at 873 K, no transition was observed within the oxidation times reported. The Zr-2.2 Hf showed the smallest weight gains, followed in order by Zr-2.5 Nb and Zr-3 Nb-1 Sn. Increased oxidation rates and shorter times-to-rate-transition of Zr-2.2 Nb and Zr-1 Sn as compared with Zr-2.2 Hf can be attributed to the presence of niobium, tin, and hafnium in the alloys. This is considered in terms of the Nomura-Akutsu model, according to which hafnium should delay the rate transition, while niobium and tin lead to shorter times-to-rate-transition. The scale on Zr-2.2 Hf was identified as monoclinic zirconia, while the tetragonal phase, 6ZrO 2 .Nb 2 O 5 , was contained in the monoclinic zirconia scales on both other alloys
Hattori, Junichi; Fukuda, Koichi; Ikegami, Tsutomu; Ota, Hiroyuki; Migita, Shinji; Asai, Hidehiro; Toriumi, Akira
2018-04-01
We study the effects of fringing electric fields on the behavior of negative-capacitance (NC) field-effect transistors (FETs) with a silicon-on-insulator body and a gate stack consisting of an oxide film, an internal metal film, a ferroelectric film, and a gate electrode using our own device simulator that can properly handle the complicated relationship between the polarization and the electric field in ferroelectric materials. The behaviors of such NC FETs and the corresponding metal-oxide-semiconductor (MOS) FETs are simulated and compared with each other to evaluate the effects of the NC of the ferroelectric film. Then, the fringing field effects are evaluated by comparing the NC effects in NC FETs with and without gate spacers. The fringing field between the gate stack, especially the internal metal film, and the source/drain region induces more charges at the interface of the film with the ferroelectric film. Accordingly, the function of the NC to modulate the gate voltage and the resulting function to improve the subthreshold swing are enhanced. We also investigate the relationships of these fringing field effects to the drain voltage and four design parameters of NC FETs, i.e., gate length, gate spacer permittivity, internal metal film thickness, and oxide film thickness.
Directory of Open Access Journals (Sweden)
Pejović Momčilo M.
2011-01-01
Full Text Available Gamma-ray irradiation and post-irradiation response at room and elevated temperature have been studied for radiation sensitive pMOS transistors with gate oxide thickness of 100 and 400 nm, respectively. Their response was followed based on the changes in the threshold voltage shift which was estimated on the basis of transfer characteristics in saturation. The presence of radiation-induced fixed oxide traps and switching traps - which lead to a change in the threshold voltage - was estimated from the sub-threshold I-V curves, using the midgap technique. It was shown that fixed oxide traps have a dominant influence on the change in the threshold voltage shift during gamma-ray irradiation and annealing.
Modified method for zirconium or hafnium gravimetric determination with glycolic acid derivatives
International Nuclear Information System (INIS)
Barbieri, R.S.; Rocha, J.C.; Terra, V.R.; Marques Neto, A.
1989-01-01
The conditions for gravimetric determination of zirconium or hafnium by glicolic acid derivatives were studied by thermogravimetric analysis. The method utilized shown that after precipitation, washing and drying of precipitates at 150 0 C, the resulting solid was weighed in the form of [M{RCH(OH)COO} 4 ] (M = Zr,Hf;R = C 6 H 5 , β-C 10 H 7 ,p-BrC 6 H 4 ). (author) [pt
Energy Technology Data Exchange (ETDEWEB)
Palau, J M
1999-07-01
The aim of this work was to evaluate how much integral slab experiments can both reduce discrepancies between experimental results and calculations, and improve the knowledge of hafnium isotopes neutronic parameters by an adapted sensitivity and uncertainty method. A statistical approach, based on the generalized least squares method and perturbation theory, has been incorporated into our calculation system in order to deduce microscopic cross-section adjustments from observed integral measurements on this particular 'mock-up' reactor.In this study it has been established that the correlations between integral parameters and hafnium capture cross-sections enable specific variations in the region of resolved resonances at the level of multigroup and punctual cross-sections recommended data (JEF-2.2 evaluation) to be highlighted. The use of determinist methods together with Monte Carlo- type simulations enabled a depth analysis of the modelling approximations to be carried out. Furthermore, the sensitivity coefficient validation technique employed leads to a reliable assessment of the quality of the new basic nuclear data. In this instance, the adjustments proposed for certain isotope {sup 177}Hf resonance parameters reduce, after error propagation, by 3 to 5 per cent the difference between experimental results and calculations related to this absorbent's efficiency. Beyond this particular application, the qualification methodology integrated in our calculation system should enable other basic sizing parameters to be treated (chemical / geometric data or other unexplored nuclear data) to make technological requirements less stringent. (author)
Energy Technology Data Exchange (ETDEWEB)
Palau, J.M
1999-07-01
The aim of this work was to evaluate how much integral slab experiments can both reduce discrepancies between experimental results and calculations, and improve the knowledge of hafnium isotopes neutronic parameters by an adapted sensitivity and uncertainty method. A statistical approach, based on the generalized least squares method and perturbation theory, has been incorporated into our calculation system in order to deduce microscopic cross-section adjustments from observed integral measurements on this particular 'mock-up' reactor.In this study it has been established that the correlations between integral parameters and hafnium capture cross-sections enable specific variations in the region of resolved resonances at the level of multigroup and punctual cross-sections recommended data (JEF-2.2 evaluation) to be highlighted. The use of determinist methods together with Monte Carlo- type simulations enabled a depth analysis of the modelling approximations to be carried out. Furthermore, the sensitivity coefficient validation technique employed leads to a reliable assessment of the quality of the new basic nuclear data. In this instance, the adjustments proposed for certain isotope {sup 177}Hf resonance parameters reduce, after error propagation, by 3 to 5 per cent the difference between experimental results and calculations related to this absorbent's efficiency. Beyond this particular application, the qualification methodology integrated in our calculation system should enable other basic sizing parameters to be treated (chemical / geometric data or other unexplored nuclear data) to make technological requirements less stringent. (author)
On-line separation of refractory hafnium and tantalum isotopes at the ISOCELE separator
Liang, C F; Obert, J; Paris, P; Putaux, J C
1981-01-01
By chemical evaporation technique, neutron deficient hafnium nuclei have been on-line separated at the ISOCELE facility, from the isobar rare-earth elements, in the metal-fluoride HfF/sub 3//sup +/ ion form. Half-lives of /sup 162-165/Hf have been measured. Similarly, tantalum has been selectively separated on the TaF/sub 4//sup +/ form. (4 refs) .
Silicon/HfO2 interface: Effects of proton irradiation
International Nuclear Information System (INIS)
Maurya, Savita; Radhakrishna, M.
2015-01-01
Substrate oxide interfaces are of paramount importance in deciding the quality of the semiconductor devices. In this work we have studied how 200 keV proton irradiation affects the interface of a 13 nm thick, atomic layer deposited hafnium dioxide on silicon substrate. Pre- and post-irradiation electrical measurements are used to quantify the effect of proton irradiation for varying electrode geometries. Proton irradiation introduces positive charge in the oxide and at the interface of Si/HfO 2 interface. The gate current is not very much affected under positive injection since the induced positive charge is compensated by the injected electrons. Current voltage characteristics under negative bias get affected by the proton irradiation
International Nuclear Information System (INIS)
Chang, Geng-Wei; Chang, Ting-Chang; Syu, Yong-En; Tsai, Tsung-Ming; Chang, Kuan-Chang; Tu, Chun-Hao; Jian, Fu-Yen; Hung, Ya-Chi; Tai, Ya-Hsiang
2011-01-01
In this research, paraffin wax is employed as the passivation layer of the bottom gate amorphous indium–gallium–zinc oxide thin-film transistors (a-IGZO TFTs), and it is formed by sol–gel process in the atmosphere. The high yield and low cost passivation layer of sol–gel process technology has attracted much attention for current flat-panel-display manufacturing. Comparing with passivation-free a-IGZO TFTs, passivated devices exhibit a superior stability against positive gate bias stress in different ambient gas, demonstrating that paraffin wax shows gas-resisting characteristics for a-IGZO TFTs application. Furthermore, light-induced stretch-out phenomenon for paraffin wax passivated device is suppressed. This superior stability of the passivated device was attributed to the reduced total density of states (DOS) including the interfacial and semiconductor bulk trap densities.
Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue
2017-02-01
This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.
Ground-state structures of Hafnium clusters
Energy Technology Data Exchange (ETDEWEB)
Ng, Wei Chun; Yoon, Tiem Leong [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Lim, Thong Leng [Faculty of Engineering and Technoloty, Multimedia University, Melaca Campus, 75450 Melaka (Malaysia)
2015-04-24
Hafnium (Hf) is a very large tetra-valence d-block element which is able to form relatively long covalent bond. Researchers are interested to search for substitution to silicon in the semi-conductor industry. We attempt to obtain the ground-state structures of small Hf clusters at both empirical and density-functional theory (DFT) levels. For calculations at the empirical level, charge-optimized many-body functional potential (COMB) is used. The lowest-energy structures are obtained via a novel global-minimum search algorithm known as parallel tempering Monte-Carlo Basin-Hopping and Genetic Algorithm (PTMBHGA). The virtue of using COMB potential for Hf cluster calculation lies in the fact that by including the charge optimization at the valence shells, we can encourage the formation of proper bond hybridization, and thus getting the correct bond order. The obtained structures are further optimized using DFT to ensure a close proximity to the ground-state.
Molecular structure, vibrational, HOMO-LUMO, MEP and NBO analysis of hafnium selenite
Yankova, Rumyana; Genieva, Svetlana; Dimitrova, Ginka
2017-08-01
In hydrothermal condition hafnium selenite with estimated chemical composition Hf(SeO3)2·n(H2O) was obtained and characterized by powder X-Ray diffraction, IR spectroscopy and thermogravimetrical analysis. The composition of the obtained crystalline phase was established as dihydrate of tetraaqua complex of the hafnium selenite [Hf(SeO3)2(H2O)4]·2H2O. The results of the thermogravimetrical analysis are shown that the two hydrated water molecules are released in the temperature interval 80-110°C, while the four coordinated water molecules - at 210-300°C. By DFT method, with Becke's three parameter exchange-functional combined with gradient-corrected correlation functional of Lee, Yang and Parr and 6-31G(d), 6-311 + G(d,p) basis sets and LANL2DZ for Hf atom were calculated the molecular structure, vibrational frequencies and thermodynamic properties of the structure. The UV-Vis spectra and electronic properties are presented. The energy and oscillator strength calculated by time-dependent density functional theory corresponds well with the experimental ones. Molecular electrostatic potential (MEP) was performed. Mulliken population analysis on atomic charges was also calculated. The stability and intramolecular interactions are interpreted by NBO analysis.
Near net shape processing of zirconium or hafnium metals and alloys
International Nuclear Information System (INIS)
Evans, S.C.
1992-01-01
This patent describes a process for producing a metal shape. It comprises: plasma arc melting a metal selected from zirconium, hafnium and alloys thereof comprising at least about 90 w/o of these metals to form a liquid pool; pouring the metal form the pool into a mold to form a near net shape; and reducing the metal from its near net shape to a final size while maintaining the metal temperature below the alpha-beta transition temperature throughout the size reducing step
Three dimensional graphene transistor for ultra-sensitive pH sensing directly in biological media
International Nuclear Information System (INIS)
Ameri, Shideh Kabiri; Singh, Pramod K.; Sonkusale, Sameer R.
2016-01-01
In this work, pH sensing directly in biological media using three dimensional liquid gated graphene transistors is presented. The sensor is made of suspended network of graphene coated all around with thin layer of hafnium oxide (HfO_2), showing high sensitivity and sensing beyond the Debye-screening limit. The performance of the pH sensor is validated by measuring the pH of isotonic buffered, Dulbecco's phosphate buffered saline (DPBS) solution, and of blood serum derived from Sprague-Dawley rat. The pH sensor shows high sensitivity of 71 ± 7 mV/pH even in high ionic strength media with molarities as high as 289 ± 1 mM. High sensitivity of this device is owing to suspension of three dimensional graphene in electrolyte which provides all around liquid gating of graphene, leading to higher electrostatic coupling efficiency of electrolyte to the channel and higher gating control of transistor channel by ions in the electrolyte. Coating graphene with hafnium oxide film (HfO_2) provides binding sites for hydrogen ions, which results in higher sensitivity and sensing beyond the Debye-screening limit. The 3D graphene transistor offers the possibility of real-time pH measurement in biological media without the need for desaltation or sample preparation. - Graphical abstract: (a) Test setup – Direct rat blood serum pH measurements (b) Measured transfer characteristics of the transistor for blood serum at different pH values, and (c) Zoomed in version around direct point. - Highlights: • A three-dimensional graphene transistor for pH sensing is presented. • It shows sensitivity of 71 ± 7 mV/pH even in high ionic strength media. • High sensitivity attributed to 3D foam structure and all-around liquid gating. • Enables real-time pH sensing in biological media without need of desaltation.
Three dimensional graphene transistor for ultra-sensitive pH sensing directly in biological media
Energy Technology Data Exchange (ETDEWEB)
Ameri, Shideh Kabiri; Singh, Pramod K.; Sonkusale, Sameer R., E-mail: sameer@ece.tufts.edu
2016-08-31
In this work, pH sensing directly in biological media using three dimensional liquid gated graphene transistors is presented. The sensor is made of suspended network of graphene coated all around with thin layer of hafnium oxide (HfO{sub 2}), showing high sensitivity and sensing beyond the Debye-screening limit. The performance of the pH sensor is validated by measuring the pH of isotonic buffered, Dulbecco's phosphate buffered saline (DPBS) solution, and of blood serum derived from Sprague-Dawley rat. The pH sensor shows high sensitivity of 71 ± 7 mV/pH even in high ionic strength media with molarities as high as 289 ± 1 mM. High sensitivity of this device is owing to suspension of three dimensional graphene in electrolyte which provides all around liquid gating of graphene, leading to higher electrostatic coupling efficiency of electrolyte to the channel and higher gating control of transistor channel by ions in the electrolyte. Coating graphene with hafnium oxide film (HfO{sub 2}) provides binding sites for hydrogen ions, which results in higher sensitivity and sensing beyond the Debye-screening limit. The 3D graphene transistor offers the possibility of real-time pH measurement in biological media without the need for desaltation or sample preparation. - Graphical abstract: (a) Test setup – Direct rat blood serum pH measurements (b) Measured transfer characteristics of the transistor for blood serum at different pH values, and (c) Zoomed in version around direct point. - Highlights: • A three-dimensional graphene transistor for pH sensing is presented. • It shows sensitivity of 71 ± 7 mV/pH even in high ionic strength media. • High sensitivity attributed to 3D foam structure and all-around liquid gating. • Enables real-time pH sensing in biological media without need of desaltation.
International Nuclear Information System (INIS)
Cheong, Woo-Seok
2011-01-01
Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .
Energy Technology Data Exchange (ETDEWEB)
Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)
2013-02-15
Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.
Energy Technology Data Exchange (ETDEWEB)
Donna Post Guillen; Douglas L. Porter; James R. Parry; Heng Ban
2010-06-01
A new hafnium aluminide composite material is being developed as a key component in a Boosted Fast Flux Loop (BFFL) system designed to provide fast neutron flux test capability in the Advanced Test Reactor. An absorber block comprised of hafnium aluminide (Al3Hf) particles (~23% by volume) dispersed in an aluminum matrix can absorb thermal neutrons and transfer heat from the experiment to pressurized water cooling channels. However, the thermophysical properties, such as thermal conductivity, of this material and the effect of irradiation are not known. This paper describes the design of an in-pile experiment to obtain such data to enable design and optimization of the BFFL neutron filter.
Energy Technology Data Exchange (ETDEWEB)
Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing
2013-12-02
Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.
Complexing of zirconium and hafnium with ortho-aminobenzoic acid and paraaminobenzoic acid
International Nuclear Information System (INIS)
Alekseeva, I.I.; Nemzer, I.I.; Yuranova, L.I.; Borisova, V.V.; Prozorovskaya, Z.N.
1977-01-01
Formation of complexes between zirconium and hafnium and ortho- and para-aminobenzoic acids has been studied by the kinetic method. It has been found that at pH=1.3-2.0 and concentrations of metals 10 -5 -10 -6 mole complex compounds are formed with composition Me:L=1:2 and 1:1 (Me=Zr, Hf; L=ortho- or para-aminobenzoic acids). Stepwise constants and overall effective constants of complex formation have been calculated
Energy Technology Data Exchange (ETDEWEB)
Jo, Kwang-Won; Cho, Won-Ju, E-mail: chowj@kw.ac.kr [Department of Electronic Materials Engineering, Kwangwoon University, 447-1, Wolgye-dong, Nowon-gu, Seoul 139-701 (Korea, Republic of)
2014-11-24
In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV{sub ON}) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristic trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress.
International Nuclear Information System (INIS)
Jo, Kwang-Won; Cho, Won-Ju
2014-01-01
In this study, we evaluated the effects of microwave irradiation (MWI) post-deposition-annealing (PDA) treatment on the gate bias stress instability of amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) and compared the results with a conventional thermal annealing PDA treatment. The MWI-PDA-treated a-IGZO TFTs exhibited enhanced electrical performance as well as improved long-term stability with increasing microwave power. The positive turn-on voltage shift (ΔV ON ) as a function of stress time with positive bias and varying temperature was precisely modeled on a stretched-exponential equation, suggesting that charge trapping is a dominant mechanism in the instability of MWI-PDA-treated a-IGZO TFTs. The characteristic trapping time and average effective barrier height for electron transport indicate that the MWI-PDA treatment effectively reduces the defects in a-IGZO TFTs, resulting in a superior resistance against gate bias stress
Designing 4H-SiC P-shielding trench gate MOSFET to optimize on-off electrical characteristics
Kyoung, Sinsu; Hong, Young-sung; Lee, Myung-hwan; Nam, Tae-jin
2018-02-01
In order to enhance specific on-resistance (Ron,sp), the trench gate structure was also introduced into 4H-SiC MOSFET as Si MOSFET. But the 4H-SiC trench gate has worse off-state characteristics than the Si trench gate due to the incomplete gate oxidation process (Šimonka et al., 2017). In order to overcome this problem, P-shielding trench gate MOSFET (TMOS) was proposed and researched in previous studies. But P-shielding has to be designed with minimum design rule in order to protect gate oxide effectively. P-shielding TMOS also has the drawback of on-state characteristics degradation corresponding to off state improvement for minimum design rule. Therefore optimized design is needed to satisfy both on and off characteristics. In this paper, the design parameters were analyzed and optimized so that the 4H-SiC P-shielding TMOS satisfies both on and off characteristics. Design limitations were proposed such that P-shielding is able to defend the gate oxide. The P-shielding layer should have the proper junction depth and concentration to defend the electric field to gate oxide during the off-state. However, overmuch P-shielding junction depth disturbs the on-state current flow, a problem which can be solved by increasing the trench depth. As trench depth increases, however, the breakdown voltage decreases. Therefore, trench depth should be designed with due consideration for on-off characteristics. For this, design conditions and modeling were proposed which allow P-shielding to operate without degradation of on-state characteristics. Based on this proposed model, the 1200 V 4H-SiC P-shielding trench gate MOSFET was designed and optimized.
Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S
2000-01-01
In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.
Energy Technology Data Exchange (ETDEWEB)
Jeon, Sang Hun; Jang, Hyeon Woo; Kim, Hyun Soo; Noh, Do Young; Hwang, Hyun Sang [Kwangju Institute of Science and Technology, Kwangju (Korea, Republic of)
2000-12-01
In this research, the feasibility of ultrathin AlO{sub x}N{sub y} prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO{sub x}N{sub y}, respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO{sub 2}. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO{sub 2}. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.
Energy Technology Data Exchange (ETDEWEB)
Palau, J M
1997-10-22
The aim of this thesis was to evaluate how much integral slab experiments can both reduce discrepancies between experimental results and calculations, and improve the knowledge of hafnium isotopes neutronic parameters by an adapted sensitivity and uncertainty method. A statistical approach, based on the generalized least squares method and perturbation theory, has been incorporated into our calculation system in order to deduce microscopic cross-section adjustments from observed integral measurements on this particular `mock-up` reactor. In this study it has been established that the correlations between integral parameters and hafnium capture cross-sections enable specific variations in the region of resolved resonances at the level of multigroup and punctual cross-sections recommended data (JEF-2.2 evaluation) to be highlighted. The use of determinist methods (APOLLO2 code) together with Monte Carlo- type simulations (TRIPOLI4 code) enabled a depth analysis of the modelling approximations to be carried out. Furthermore, the sensitivity coefficient validation technique employed leads to a reliable assessment of the quality of the new basic nuclear data. In this instance, the adjustments proposed for certain isotope {sup 177}Hf resonance parameters reduce, after error propagation, by 3 to 5 per cent the difference between experimental results and calculations related to this absorbent`s efficiency. Beyond this particular application, the qualification methodology integrated in our calculation system should enable other basic sizing parameters to be treated (chemical / geometric data or other unexplored nuclear data) to make technological requirements less stringent. (author) 128 refs.
International Nuclear Information System (INIS)
Weber, R.G.
1980-01-01
A target with an improved heat emissive surface for use in a rotating anode type x-ray tube is described. The target consists of a body having a first surface portion made of x-ray emissive material and a second surface portion made of a heat emissive material comprising at least one of hafnium boride, hafnium oxide, hafnium nitride, hafnium silicide, and hafnium aluminide. (U.K.)
Dynamic Monte Carlo study of isolated-gate InAs/AlSb HEMTs
International Nuclear Information System (INIS)
Rodilla, H; González, T; Mateos, J; Moschetti, G; Grahn, J
2011-01-01
In this work, by means of Monte Carlo simulations, the static and dynamic behavior of isolated-gate InAs/AlSb high electron mobility transistors (Sb-HEMTs) has been studied and compared with experimental results. The influence of the existence of a native oxide under the gate, the value of the surface charges in the gate recess and the possible variation of electron sheet carrier density, n s , have been studied. A decrease in the gate-source capacitance, transconductance and intrinsic cutoff frequency is observed because of the presence of the native oxide, while changes in the value of the surface charges in the recess only introduce a threshold voltage shift. The increase of n s shifts the maximum of the transconductance and intrinsic cutoff frequency to higher values of drain current and improves the agreement with the experimental results
High temperature thermodynamics of solutions of oxygen in zirconium and hafnium
International Nuclear Information System (INIS)
Boureau, G.; Gerdanian, P.
1984-01-01
The Tian-Calvet microcalorimetric method has been applied to the determination at 1323 Kelvin of ΔH(O 2 ), the partial molar enthalpy of mixing of oxygen in zirconium and in hafnium. No measurable departure from Henry's law has been found for dilute solutions (ratio oxygen over metal smaller than 0.1). For concentrated solutions repulsive interactions are found in agreement with the existence of ordered structures at lower temperatures. The domain of homogeneity of zirconium has been found larger than previously assumed. (author)
Ballistic transport of graphene pnp junctions with embedded local gates
International Nuclear Information System (INIS)
Nam, Seung-Geol; Ki, Dong-Keun; Kim, Youngwook; Kim, Jun Sung; Lee, Hu-Jong; Park, Jong Wan
2011-01-01
We fabricated graphene pnp devices, by embedding pre-defined local gates in an oxidized surface layer of a silicon substrate. With neither deposition of dielectric material on the graphene nor electron-beam irradiation, we obtained high-quality graphene pnp devices without degradation of the carrier mobility even in the local-gate region. The corresponding increased mean free path leads to the observation of ballistic and phase-coherent transport across a local gate 130 nm wide, which is about an order of magnitude wider than reported previously. Furthermore, in our scheme, we demonstrated independent control of the carrier density in the local-gate region, with a conductance map very much distinct from those of top-gated devices. This was caused by the electric field arising from the global back gate being strongly screened by the embedded local gate. Our scheme allows the realization of ideal multipolar graphene junctions with ballistic carrier transport.
Study of the properties of internal oxidized Cu - Al - Ti - Hf alloys
International Nuclear Information System (INIS)
Solopov, V.I.; Daneliya, E.P.; Daneliya, G.V.; Lebasova, O.P.
1982-01-01
Investigation results of mechanical properties and electric conductivity of rods of internally oxidized alloys Cu-Al-Ti-Hf depending on chemical composition, varying in the limits ensuring the formation of disperse enough and evenly distributed over the volume oxide phase. (0-1%Al, 0-0.5%Ti, 0-0.3%Hf, the restcopper), in the process of internal oxidation are presented. Internally oxidized alloys Cu-Al-Ti-Hf have increased strength properties with insignificant increase of specific electric resistance as compared with the known internally oxidized alloys Cu-Al. At that, the best combination of physicomechanical properties is achieved at small contents of titanium (0.01-0.05%) and hafnium (0.01-0.1%)
Energy Technology Data Exchange (ETDEWEB)
Lee, Eunji; Chowdhury, Md Delwar Hossain; Park, Min Sang; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)
2015-12-07
We have studied the effect of top gate bias (V{sub TG}) on the generation of photocurrent and the decay of photocurrent for back channel etched inverted staggered dual gate structure amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Upon 5 min of exposure of 365 nm wavelength and 0.7 mW/cm{sup 2} intensity light with negative bottom gate bias, the maximum photocurrent increases from 3.29 to 322 pA with increasing the V{sub TG} from −15 to +15 V. By changing V{sub TG} from negative to positive, the Fermi level (E{sub F}) shifts toward conduction band edge (E{sub C}), which substantially controls the conversion of neutral vacancy to charged one (V{sub O} → V{sub O}{sup +}/V{sub O}{sup 2+} + e{sup −}/2e{sup −}), peroxide (O{sub 2}{sup 2−}) formation or conversion of ionized interstitial (O{sub i}{sup 2−}) to neutral interstitial (O{sub i}), thus electron concentration at conduction band. With increasing the exposure time, more carriers are generated, and thus, maximum photocurrent increases until being saturated. After negative bias illumination stress, the transfer curve shows −2.7 V shift at V{sub TG} = −15 V, which gradually decreases to −0.42 V shift at V{sub TG} = +15 V. It clearly reveals that the position of electron quasi-Fermi level controls the formation of donor defects (V{sub O}{sup +}/V{sub O}{sup 2+}/O{sub 2}{sup 2−}/O{sub i}) and/or hole trapping in the a-IGZO /interfaces.
Characteristics of dual-gate thin-film transistors for applications in digital radiology
International Nuclear Information System (INIS)
Waechter, D.; Huang, Z.; Zhao, W.; Blevis, I.; Rowlands, J.A.
1996-01-01
A large-area flat-panel detector for digital radiology is being developed. The detector uses an array of dual-gate thin-film transistors (TFTs) to read out X-ray-generated charge produced in an amorphous selenium (a-Se) layer. The TFTs use CdSe as the semiconductor and use the bottom gate for row selection. The top gate can be divided into a 'deliberate' gate, covering most of the channel length, and small 'parasitic' gates that consist of: overlap of source or drain metal over the top-gate oxide; and gap regions in the metal that are covered only by the a-Se. In this paper we present the properties of dual-gate TFTs and examine the effect of both the deliberate and parasitic gates on the detector operation. Various options for controlling the top-gate potential are analyzed and discussed. (author)
Extraction of hafnium by 1-phenyl-3-methyl-4-benzoyl-5-pyralozone from aqueous-alcoholic solutions
International Nuclear Information System (INIS)
Hala, J.; Prihoda, J.
1975-01-01
Extraction of hafnium by 1-phenyl-3-methyl-4-benzoyl-5-pyrazolone (HL) in benzene, toluene, chloroform and tetrachloromethane from aqueous-alcoholic solutions of the formal acidity of 2M-HClO 4 was studied. Methyl, ethyl, n- and isopropyl, tert-butyl and allyl alcohol as well as ethylene glycol monomethyl ether and propylene glycol were used as organic components of the mixed aqueous-organic phase. Their presence in some cases resulted in a synergic increase in the distribution ratio of hafnium. The increase is interpreted using the results of a slope analysis and measurements of the alcohol distribution and the relative permittivity of the organic phase. It is suggested that HfL 4 molecules were solvated by alcohol molecules in the organic phase. At high alcohol concentration synergism changed into antagonism. This was caused by changes in the distribution of HL and its interaction with the alcohol in the organic phase. (author)
International Nuclear Information System (INIS)
Lin, Ray-Ming; Chu, Fu-Chuan; Das, Atanu; Liao, Sheng-Yu; Chou, Shu-Tsun; Chang, Liann-Be
2013-01-01
In this study, the rare earth erbium oxide (Er 2 O 3 ) was deposited using an electron beam onto an AlGaN/GaN heterostructure to fabricate metal-oxide-semiconductor high-electron-mobility transistors (MOS–HEMTs) that exhibited device performance superior to that of a conventional HEMT. Under similar bias conditions, the gate leakage currents of these MOS–HEMT devices were four orders of magnitude lower than those of conventional Schottky gate HEMTs. The measured sub-threshold swing (SS) and the effective trap state density (N t ) of the MOS–HEMT were 125 mV/decade and 4.3 × 10 12 cm −2 , respectively. The dielectric constant of the Er 2 O 3 layer in this study was 14, as determined through capacitance–voltage measurements. In addition, the gate–source reverse breakdown voltage increased from –166 V for the conventional HEMT to –196 V for the Er 2 O 3 MOS–HEMT. - Highlights: ► GaN/AlGaN/Er 2 O 3 metal-oxide semiconductor high electron mobility transistor ► Physical and electrical characteristics are presented. ► Electron beam evaporated Er 2 O 3 with excellent surface roughness ► Device exhibits reduced gate leakage current and improved I ON /I OFF ratio
Directory of Open Access Journals (Sweden)
Yongqi Dong
2017-05-01
Full Text Available The effect of gate voltage polarity on the behavior of NdNiO3 epitaxial thin films during ionic liquid gating is studied using in situ synchrotron X-ray techniques. We show that while negative biases have no discernible effect on the structure or composition of the films, large positive gate voltages result in the injection of a large concentration of oxygen vacancies (∼3% and pronounced lattice expansion (0.17% in addition to a 1000-fold increase in sheet resistance at room temperature. Despite the creation of large defect densities, the heterostructures exhibit a largely reversible switching behavior when sufficient time is provided for the vacancies to migrate in and out of the thin film surface. The results confirm that electrostatic gating takes place at negative gate voltages for p-type complex oxides while positive voltages favor the electrochemical reduction of Ni3+. Switching between positive and negative gate voltages therefore involves a combination of electronic and ionic doping processes that may be utilized in future electrochemical transistors.
Determination of hafnium with the inductively coupled plasma (ICP)
International Nuclear Information System (INIS)
Wuensch, G.; Pose, K.
1985-01-01
The relative intensities of 198 hafnium lines in the Ar-ICP are listed. Spectral interference tables are given for the 9 analytically best lines, covering the range of +-60 pm around the Hf-lines. They include measured (not calculated) data of the interferent equivalent concentrations (IEC) and the critical concentration ratios (CCR) for 115 lines of all 34 possibly interfering elements. In many cases, these IEC- or CCR-data differ by 1 or 2 orders of magnitude from those calculated from intensities observed in the NBS-copper arc. Since no intense Hf-line is free from spectral interferences, examples are given for the calculation of the most suitable line for a known matrix. (orig.) [de
Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs
Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong
2018-05-01
Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.
Sohn, Il-Yung; Kim, Duck-Jin; Jung, Jin-Heak; Yoon, Ok Ja; Thanh, Tien Nguyen; Quang, Trung Tran; Lee, Nae-Eung
2013-07-15
Solution-gated reduced graphene oxide field-effect transistors (R-GO FETs) were investigated for pH sensing and biochemical sensing applications. A channel of a networked R-GO film formed by self-assembly was incorporated as a sensing layer into a solution-gated FET structure for pH sensing and the detection of acetylcholine (Ach), which is a neurotransmitter in the nerve system, through enzymatic reactions. The fabricated R-GO FET was sensitive to protons (H(+)) with a pH sensitivity of 29 mV/pH in terms of the shift of the charge neutrality point (CNP), which is attributed to changes in the surface potential caused by the interaction of protons with OH surface functional groups present on the R-GO surface. The R-GO FET immobilized with acetylcholinesterase (AchE) was used to detect Ach in the concentration range of 0.1-10mM by sensing protons generated during the enzymatic reactions. The results indicate that R-GO FETs provide the capability to detect protons, demonstrating their applicability as a biosensing device for enzymatic reactions. Copyright © 2013 Elsevier B.V. All rights reserved.
GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier
Han, Kefeng; Zhu, Lin
2017-09-01
In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.
Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan
2018-05-17
In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO₂ gate insulator and CF₄ plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO₂ gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm²/V∙s (without treatment) to 54.6 cm²/V∙s (with CF₄ plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO₂ gate dielectric has also been improved by the CF₄ plasma treatment. By applying the CF₄ plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device's immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF₄ plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO₂ gate dielectric, but also enhances the device's reliability.
Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films
International Nuclear Information System (INIS)
Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui
2014-01-01
Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics
Impacts of gate bias and its variation on gamma-ray irradiation resistance of SiC MOSFETs
Energy Technology Data Exchange (ETDEWEB)
Murata, Koichi; Mitomo, Satoshi; Matsuda, Takuma; Yokoseki, Takashi [Saitama University, Sakuraku (Japan); National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Makino, Takahiro; Onoda, Shinobu; Takeyama, Akinori; Ohshima, Takeshi [National Institutes for Quantum and Radiological Science and Technology (QST), Takasaki (Japan); Okubo, Shuichi; Tanaka, Yuki; Kandori, Mikio; Yoshie, Toru [Sanken Electric Co., Ltd., Niiza, Saitama (Japan); Hijikata, Yasuto [Saitama University, Sakuraku (Japan)
2017-04-15
Gamma-ray irradiation into vertical type n-channel hexagonal (4H)-silicon carbide (SiC) metal-oxide-semiconductor field effect transistors (MOSFETs) was performed under various gate biases. The threshold voltage for the MOSFETs irradiated with a constant positive gate bias showed a large negative shift, and the shift slightly recovered above 100 kGy. For MOSFETs with non- and a negative constant biases, no significant change in threshold voltage, V{sub th}, was observed up to 400 kGy. By changing the gate bias from positive bias to either negative or non-bias, the V{sub th} significantly recovered from the large negative voltage shift induced by 50 kGy irradiation with positive gate bias after only 10 kGy irradiation with either negative or zero bias. It indicates that the positive charges generated in the gate oxide near the oxide-SiC interface due to irradiation were removed or recombined instantly by the irradiation under zero or negative biases. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)
Electrostatically Gated Graphene-Zinc Oxide Nanowire Heterojunction.
You, Xueqiu; Pak, James Jungho
2015-03-01
This paper presents an electrostatically gated graphene-ZnO nanowire (NW) heterojunction for the purpose of device applications for the first time. A sub-nanometer-thick energy barrier width was formed between a monatomic graphene layer and electrochemically grown ZnO NWs. Because of the narrow energy barrier, electrons can tunnel through the barrier when a voltage is applied across the junction. A near-ohmic current-voltage (I-V) curve was obtained from the graphene-electrochemically grown ZnO NW heterojunction. This near-ohmic contact changed to asymmetric I-V Schottky contact when the samples were exposed to an oxygen environment. It is believed that the adsorbed oxygen atoms or molecules on the ZnO NW surface capture free electrons of the ZnO NWs, thereby creating a depletion region in the ZnO NWs. Consequentially, the electron concentration in the ZnO NWs is dramatically reduced, and the energy barrier width of the graphene-ZnO NW heterojunction increases greatly. This increased energy barrier width reduces the electron tunneling probability, resulting in a typical Schottky contact. By adjusting the back-gate voltage to control the graphene-ZnO NW Schottky energy barrier height, a large modulation on the junction current (on/off ratio of 10(3)) was achieved.
Gate protective device for SOS array
Meyer, J. E., Jr.; Scott, J. H.
1972-01-01
Protective gate device consisting of alternating heavily doped n(+) and p(+) diffusions eliminates breakdown voltages in silicon oxide on sapphire arrays caused by electrostatic discharge from person or equipment. Diffusions are easily produced during normal double epitaxial processing. Devices with nine layers had 27-volt breakdown.
International Nuclear Information System (INIS)
Pang Liang; Kim, Kyekyoon
2012-01-01
A bimodal deposition scheme combining radiofrequency magnetron sputtering and plasma enhanced chemical vapour deposition (PECVD) is proposed as a means for improving the performance of GaN-based metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs). High-density sputtered-SiO 2 is utilized to reduce the gate leakage current and enhance the breakdown voltage while low-density PECVD-SiO 2 is employed to buffer the sputtering damage and further increase the drain current by engineering the stress-induced-polarization. Thus-fabricated MOSHEMT exhibited a low leakage current of 4.21 × 10 -9 A mm -1 and high breakdown voltage of 634 V for a gate-drain distance of 6 µm, demonstrating the promise of bimodal-SiO 2 deposition scheme for the development of GaN-based MOSHEMTs for high-power application. (paper)
Riedell, James A. (Inventor); Easler, Timothy E. (Inventor)
2009-01-01
A precursor of a ceramic adhesive suitable for use in a vacuum, thermal, and microgravity environment. The precursor of the ceramic adhesive includes a silicon-based, preceramic polymer and at least one ceramic powder selected from the group consisting of aluminum oxide, aluminum nitride, boron carbide, boron oxide, boron nitride, hafnium boride, hafnium carbide, hafnium oxide, lithium aluminate, molybdenum silicide, niobium carbide, niobium nitride, silicon boride, silicon carbide, silicon oxide, silicon nitride, tin oxide, tantalum boride, tantalum carbide, tantalum oxide, tantalum nitride, titanium boride, titanium carbide, titanium oxide, titanium nitride, yttrium oxide, zirconium diboride, zirconium carbide, zirconium oxide, and zirconium silicate. Methods of forming the ceramic adhesive and of repairing a substrate in a vacuum and microgravity environment are also disclosed, as is a substrate repaired with the ceramic adhesive.
Houtsma, V.E.; Holleman, J.; Salm, Cora; de Haan, I.R.; Schmitz, Jurriaan; Widdershoven, F.P.; Widdershoven, F.P.; Woerlee, P.H.
1999-01-01
In this paper the I-V conduction mechanism for gate injection (-V g), Stress-Induced Leakage Current (SILC) characteristics and time-to-breakdown (tbd) of PMOS capacitors with p+-poly-Si and poly-SiGe gate material on 5.6, 4.8 and 3.1 nm oxide thickness are studied. A model based on Minority Carrier
Atom-vacancy ordering and magnetic susceptibility of nonstoichiometric hafnium carbide
International Nuclear Information System (INIS)
Gusev, A.I.; Zyryanova, A.N.
1999-01-01
Experimental results on magnetic susceptibility of nonstoichiometric hafnium carbide HfC y (0.6 0.71 , HfC 0.78 and HfC 0.83 in the range of 870-930 K the anomalies are revealed which are associated with superstructure short-range ordering in a non-metallics sublattice. It is shown that a short-range order in HfC 0.71 and HfC 0.78 carbides corresponds to Hf 3 C 2 ordered phase, and in HfC 0.83 carbide - to Hf 6 C 5 ordered phase. HfC 0.78 carbide is found to possesses zero magnetic susceptibility in temperature range 910-980 K [ru
Energy Technology Data Exchange (ETDEWEB)
Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)
2015-08-17
We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.
Hafnium carbide nanocrystal chains for field emitters
International Nuclear Information System (INIS)
Tian, Song; Li, Hejun; Zhang, Yulei; Ren, Jincui; Qiang, Xinfa; Zhang, Shouyang
2014-01-01
A hafnium carbide (HfC) nanostructure, i.e., HfC nanocrystal chain, was synthesized by a chemical vapor deposition (CVD) method. X-ray diffractometer, field-emission scanning electron microscope, transmission electron microscope, and energy-dispersive X-ray spectrometer were employed to characterize the product. The synthesized one-dimensional (1D) nanostructures with many faceted octahedral nanocrystals possess diameters of tens of nanometers to 500 nm and lengths of a few microns. The chain-like structures possess a single crystalline structure and preferential growth direction along the [1 0 0] crystal orientation. The growth of the chains occurred through the vapor–liquid–solid process along with a negative-feedback mechanism. The field emission (FE) properties of the HfC nanocrystal chains as the cold cathode emitters were examined. The HfC nanocrystal chains display good FE properties with a low turn-on field of about 3.9 V μm −1 and a high field enhancement factor of 2157, implying potential applications in vacuum microelectronics.
Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae
2017-11-24
We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.
Nanogranular SiO{sub 2} proton gated silicon layer transistor mimicking biological synapses
Energy Technology Data Exchange (ETDEWEB)
Liu, M. J.; Huang, G. S., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Guo, Q. L.; Tian, Z. A.; Li, G. J.; Mei, Y. F. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Feng, P., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Shao, F.; Wan, Q. [School of Electronic Science and Engineering and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China)
2016-06-20
Silicon on insulator (SOI)-based transistors gated by nanogranular SiO{sub 2} proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.
International Nuclear Information System (INIS)
Barbieri, R.S.; Rocha, J.C.; Terra, V.R.; Marques Netto, A.
1989-01-01
The conditions for gravimetric determination of zirconium or hafnium by glicoloc acids derivatives were studied by thermogravimetric analysis. The method utilized shownd that after precipitation, washing and drying of precipitates at 150 o C, the resulting solid was weighed in the form of [M (RCH(OH)COO) 4 ] (M = Zr, Hf; R + C 6 H 5 , β-C 10 H 7 , p-BrC 6 H 4 ). (author)
Complexonometric determination of hafnium (4) in the presence of europium(3) or tantalum(5)
International Nuclear Information System (INIS)
Oziashvili, E.D.; Ehsakiya, K.E.; Sirakanyan, N.M.
1986-01-01
Complexonometric determination of hafnium in the presence of Ta or Eu in samples which can be decomposed by acids, i.e. in double borides has been investigated. Initial solutions are prepared by fusing with K 2 S 2 O 8 excess, the fusion was leached by hot water, H 2 SO L 4 was added and Hf was titrated by complexone 3 in the presence of xylenol orange
Investigation of interaction of zirconium and hafnium tetrafluorides with strontium fluoride
International Nuclear Information System (INIS)
Ratnikova, I.D.; Korenev, Yu.M.; Novoselova, A.V.
1980-01-01
Diagrams of the condensated state of systems SrF 2 -EF 4 have been plotted, where E represents Zr, Hf. In these systems, three intermediate compounds of Sr 3 EF 10 , Sr 2 EF 8 and Sr EF 6 compositions are formed. All those compounds melt incongruently at temperatures of 982, 865 and 750 deg C, respectively - zirconium salt; at temperatures of 1000, 900 and 820 deg C - hafnium salts. Fluoro-metallates of composition 2:1, and 1:1, exist in two polymorphic forms. Tetrafluorides were found to dissolve in strontium fluoride: they form solid solutions having fluorite structure
Scaling the Serialization of MOSFETs by Magnetically Coupling Their Gate Electrodes
DEFF Research Database (Denmark)
Dimopoulos, Emmanouil; Munk-Nielsen, Stig
2013-01-01
More than twenty years of thorough research on the serialization of power semiconductor switches, like the Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) or the Insulated Gate Bipolar Transistor (IGBT), have resulted into several different stacking concepts; all aiming towards...... the establishment of a high-efficient, high-voltage, fast-switching device. Among the prevailing stacking approaches lies the gate balancing core technique, which, in its initial form, demonstrated very good performance in strings of high-power IGBT modules, by magnetically coupling their gate electrodes. Recently...
Graphene-graphite oxide field-effect transistors.
Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc
2012-03-14
Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society
Near-thermal limit gating in heavily doped III-V semiconductor nanowires using polymer electrolytes
Ullah, A. R.; Carrad, D. J.; Krogstrup, P.; Nygârd, J.; Micolich, A. P.
2018-02-01
Doping is a common route to reducing nanowire transistor on-resistance but it has limits. A high doping level gives significant loss in gate performance and ultimately complete gate failure. We show that electrolyte gating remains effective even when the Be doping in our GaAs nanowires is so high that traditional metal-oxide gates fail. In this regime we obtain a combination of subthreshold swing and contact resistance that surpasses the best existing p -type nanowire metal-oxide semiconductor field-effect transistors (MOSFETs). Our subthreshold swing of 75 mV/dec is within 25 % of the room-temperature thermal limit and comparable with n -InP and n -GaAs nanowire MOSFETs. Our results open a new path to extending the performance and application of nanowire transistors, and motivate further work on improved solid electrolytes for nanoscale device applications.
Correlations between nuclear data and integral slab experiments: the case of hafnium
International Nuclear Information System (INIS)
Palau, J.M.
1999-01-01
The aim of this work was to evaluate how much integral slab experiments can both reduce discrepancies between experimental results and calculations, and improve the knowledge of hafnium isotopes neutronic parameters by an adapted sensitivity and uncertainty method. A statistical approach, based on the generalized least squares method and perturbation theory, has been incorporated into our calculation system in order to deduce microscopic cross-section adjustments from observed integral measurements on this particular 'mock-up' reactor.In this study it has been established that the correlations between integral parameters and hafnium capture cross-sections enable specific variations in the region of resolved resonances at the level of multigroup and punctual cross-sections recommended data (JEF-2.2 evaluation) to be highlighted. The use of determinist methods together with Monte Carlo- type simulations enabled a depth analysis of the modelling approximations to be carried out. Furthermore, the sensitivity coefficient validation technique employed leads to a reliable assessment of the quality of the new basic nuclear data. In this instance, the adjustments proposed for certain isotope 177 Hf resonance parameters reduce, after error propagation, by 3 to 5 per cent the difference between experimental results and calculations related to this absorbent's efficiency. Beyond this particular application, the qualification methodology integrated in our calculation system should enable other basic sizing parameters to be treated (chemical / geometric data or other unexplored nuclear data) to make technological requirements less stringent. (author)
A low specific on-resistance SOI MOSFET with dual gates and a recessed drain
International Nuclear Information System (INIS)
Luo Xiao-Rong; Hu Gang-Yi; Zhang Zheng-Yuan; Luo Yin-Chun; Fan Ye; Wang Xiao-Wei; Fan Yuan-Hang; Cai Jin-Yong; Wang Pei; Zhou Kun
2013-01-01
A low specific on-resistance (R on,sp ) integrable silicon-on-insulator (SOI) metal-oxide semiconductor field-effect transistor (MOSFET) is proposed and investigated by simulation. The MOSFET features a recessed drain as well as dual gates, which consist of a planar gate and a trench gate extended to the buried oxide layer (BOX) (DGRD MOSFET). First, the dual gates form dual conduction channels, and the extended trench gate also acts as a field plate to improve the electric field distribution. Second, the combination of the trench gate and the recessed drain widens the vertical conduction area and shortens the current path. Third, the P-type top layer not only enhances the drift doping concentration but also modulates the surface electric field distributions. All of these sharply reduce R on,sp and maintain a high breakdown voltage (BV). The BV of 233 V and R on,sp of 4.151 mΩ·cm 2 (V GS = 15 V) are obtained for the DGRD MOSFET with 15-μm half-cell pitch. Compared with the trench gate SOI MOSFET and the conventional MOSFET, R on,sp of the DGRD MOSFET decreases by 36% and 33% with the same BV, respectively. The trench gate extended to the BOX synchronously acts as a dielectric isolation trench, simplifying the fabrication processes. (condensed matter: electronic structure, electrical, magnetic, and optical properties)
Laser coating of hafnium on Ti6Al4 for biomedical applications
CSIR Research Space (South Africa)
Phume, L
2012-12-01
Full Text Available Al4V FOR BIOMEDICAL APPLICATIONS Lerato Phume 1, 2, S.L. Pityana 1, 2, C. Meacock 1, A.P.I Popoola 2 1. National Laser Centre, Council for Scientific and Industrial Research, P.O. Box 395, Pretoria, 0001, South Africa 2. Department of Chemical... and Metallurgical Engineering, Tshwane University of Technology, Private Bag X 680, Pretoria, 0001, South Africa (b) (c) (e) To investigate laser surface coating of Ti6Al4V with preplaced Hafnium powder, to determine the influence of the energy density...
CMOS Active-Pixel Image Sensor With Simple Floating Gates
Fossum, Eric R.; Nakamura, Junichi; Kemeny, Sabrina E.
1996-01-01
Experimental complementary metal-oxide/semiconductor (CMOS) active-pixel image sensor integrated circuit features simple floating-gate structure, with metal-oxide/semiconductor field-effect transistor (MOSFET) as active circuit element in each pixel. Provides flexibility of readout modes, no kTC noise, and relatively simple structure suitable for high-density arrays. Features desirable for "smart sensor" applications.
International Nuclear Information System (INIS)
Frantsuzov, A. A.; Boyarkina, N. I.; Popov, V. P.
2008-01-01
Effective electron mobility μ eff in channels of metal-oxide-semiconductor transistors with a gate length L in the range of 3.8 to 0.34 μm was measured; the transistors were formed on wafers of the silicon-oninsulator type. It was found that μ eff decreases as L is decreased. It is shown that this decrease can be accounted for by the effect of series resistances of the source and drain only if it is assumed that there is a rapid increase in these resistances as the gate voltage is decreased. This assumption is difficult to substantiate. A more realistic model is suggested; this model accounts for the observed decrease in μ eff as L is decreased. The model implies that zones with a mobility lower than that in the middle part of the channel originate at the edges of the gate. An analysis shows that, in this case, the plot of the dependence of 1/μ eff on 1/L should be linear, which is exactly what is observed experimentally. The use of this plot makes it possible to determine both the electron mobility μ 0 in the middle part of the channel and the quantity A that characterizes the zones with lowered mobility at the gate’s edges.
PAC study in the HfO2-SiO2 system
International Nuclear Information System (INIS)
Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.
2010-01-01
A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .
Systematic investigation of electromagnetic properties of all stable hafnium isotopes
International Nuclear Information System (INIS)
Napiorkowski, T.J.; Choinski, J.; Czosnyka, T.; Iwanicki, J.; Kownacki, J.; Zemlo, L.; Srebrny, J.; Starosta, K.; Boer, J. de.; Gollwitzer, A.; Loewe, M.; Wuerkner, M.; Guenther, C.; Weber, T.; Hagemann, G.; Sletten, G.
1996-01-01
In a systematic investigation of the electromagnetic structure of hafnium stable isotopes enriched targets of 176, 177, 178, 179, 180 Hf were Coulomb exciting using: 67 MeV 19 F beam from NBITAL FN Tandem, 125 MeV 32 S beam from MP Tandem in Accelerator Laboratory LMU and TU Munich, 225 MeV 58 Ni beam from NBITAL FN Tandem plus 2 Liniac Boosters complex. Scattered particle-gamma as well as p-γ-γ coincidence were registered. A further simultaneous analysis of Coulomb excitation cross section as a function of scattering angle of 19 F, 32 S, 58 Ni projectiles should be sufficient to deduce reduced probabilities of E2 transitions in ground state band
Surface Passivation of CIGS Solar Cells Using Gallium Oxide
Garud, Siddhartha
2018-02-27
This work proposes gallium oxide grown by plasma-enhanced atomic layer deposition, as a surface passivation material at the CdS buffer interface of Cu(In,Ga)Se2 (CIGS) solar cells. In preliminary experiments, a metal-insulator-semiconductor (MIS) structure is used to compare aluminium oxide, gallium oxide, and hafnium oxide as passivation layers at the CIGS-CdS interface. The findings suggest that gallium oxide on CIGS may show a density of positive charges and qualitatively, the least interface trap density. Subsequent solar cell results with an estimated 0.5 nm passivation layer show an substantial absolute improvement of 56 mV in open-circuit voltage (VOC), 1 mA cm−2 in short-circuit current density (JSC), and 2.6% in overall efficiency as compared to a reference (with the reference showing 8.5% under AM 1.5G).
Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO{sub 2} nanogranular films
Energy Technology Data Exchange (ETDEWEB)
Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Chao, Jin Yu; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)
2014-12-15
Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO{sub 2} nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics.
International Nuclear Information System (INIS)
Cheong, Woo-Seok; Yoon, Young-sun; Shin, Jae-Heon; Hwang, Chi-Sun; Chu, Hye Yong
2009-01-01
Indium-tin oxide (ITO) has been widely used as electrodes for LCDs and OLEDs. The applications are expanding to the transparent thin-film transistors (TTFT S ) for the versatile circuits or transparent displays. This paper is related with optimization of ITO source and drain electrode for TTFTs on glass substrates. For example, un-etched ITO remnants, which frequently found in the wet etching process, often originate from unsuitable ITO formation processes. In order to improve them, an ion beam deposition method is introduced, which uses for forming a seed layer before the main ITO deposition. We confirm that ITO films with seed layers are effective to obtain clean and smooth glass surfaces without un-etched ITO remnants, resulting in a good long-run electrical stability of the top-gate indium-gallium-zinc oxide-TTFT.
Dentoni Litta, Eugenio; Ritzenthaler, Romain; Schram, Tom; Spessot, Alessio; O’Sullivan, Barry; Machkaoutsan, Vladimir; Fazan, Pierre; Ji, Yunhyuck; Mannaert, Geert; Lorant, Christophe; Sebaai, Farid; Thiam, Arame; Ercken, Monique; Demuynck, Steven; Horiguchi, Naoto
2018-04-01
Integration of high-k/metal gate stacks in peripheral transistors is a major candidate to ensure continued scaling of dynamic random access memory (DRAM) technology. In this paper, the CMOS integration of diffusion and gate replacement (D&GR) high-k/metal gate stacks is investigated, evaluating four different approaches for the critical patterning step of removing the N-type field effect transistor (NFET) effective work function (eWF) shifter stack from the P-type field effect transistor (PFET) area. The effect of plasma exposure during the patterning step is investigated in detail and found to have a strong impact on threshold voltage tunability. A CMOS integration scheme based on an experimental wet-compatible photoresist is developed and the fulfillment of the main device metrics [equivalent oxide thickness (EOT), eWF, gate leakage current density, on/off currents, short channel control] is demonstrated.
Instrumentation for Gate Current Noise Measurements on sub-100 nm MOS Transistors
Gaioni, L; Ratti, L; Re, V; Speziali, V; Traversi, G
2008-01-01
This work describes a measuring system that was developed to characterize the gate current noise performances of CMOS devices with minimum feature size in the 100 nm span. These devices play an essential role in the design of present daymixedsignal integrated circuits, because of the advantages associated with the scaling process. The reduction in the gate oxide thickness brought about by CMOS technology downscaling leads to a non-negligible gate current due to direct tunneling phenomena; this current represents a noise source which requires an accurate characterization for optimum analog design. In this paper, two instruments able to perform measurements in two different ranges of gate current values will be discussed. Some of the results of gate current noise characterization will also be presented.
International Nuclear Information System (INIS)
Nichau, Alexander
2013-01-01
The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks
Czech Academy of Sciences Publication Activity Database
Havlík, A.; Lamač, Martin; Pinkas, Jiří; Varga, Vojtěch; Růžička, A.; Olejník, R.; Horáček, Michal
2015-01-01
Roč. 786, JUN 2015 (2015), s. 71-80 ISSN 0022-328X R&D Projects: GA ČR GAP106/10/0924 Institutional support: RVO:61388955 Keywords : Zirconium * Hafnium * Cyclopentadienyl Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.336, year: 2015
International Nuclear Information System (INIS)
Titus, J.L.; Wheatley, C.F.; Burton, D.I.; Mouret, I.; Allenspach, M.; Brews, J.; Schrimpf, R.; Galloway, K.; Pease, R.L.
1995-01-01
This paper investigates the role that the gate oxide thickness (T ox ) plays on the gate and drain failure threshold voltages required to induce the onset of single-event gate rupture (SEGR). The impact of gate oxide thickness on SEGR is experimentally determined from vertical power metal-oxide semiconductor field-effect transistors (MOSFETs) having identical process and design parameters, except for the gate oxide thickness. Power MOSFETs from five variants were specially fabricated with nominal gate oxide thicknesses of 30, 50, 70, 100, and 150 nm. Devices from each variant were characterized to mono-energetic ion beams of Nickel, Bromine, Iodine, and Gold, Employing different bias conditions, failure thresholds for the onset of SEGR were determined for each oxide thickness. Applying these experimental test results, the previously published empirical expression is extended to include the effects of gate oxide thickness. In addition, observations of ion angle, temperature, cell geometry, channel conductivity, and curvature at high drain voltages are briefly discussed
Capacitance-voltage characterization of fully silicided gated MOS capacitor
International Nuclear Information System (INIS)
Wang Baomin; Ru Guoping; Jiang Yulong; Qu Xinping; Li Bingzong; Liu Ran
2009-01-01
This paper investigates the capacitance-voltage (C-V) measurement on fully silicided (FUSI) gated metal-oxide-semiconductor (MOS) capacitors and the applicability of MOS capacitor models. When the oxide leakage current of an MOS capacitor is large, two-element parallel or series model cannot be used to obtain its real C-V characteristic. A three-element model simultaneously consisting of parallel conductance and series resistance or a four-element model with further consideration of a series inductance should be used. We employed the three-element and the four-element models with the help of two-frequency technique to measure the Ni FUSI gated MOS capacitors. The results indicate that the capacitance of the MOS capacitors extracted by the three-element model still shows some frequency dispersion, while that extracted by the four-element model is close to the real capacitance, showing little frequency dispersion. The obtained capacitance can be used to calculate the dielectric thickness with quantum effect correction by NCSU C-V program. We also investigated the influence of MOS capacitor's area on the measurement accuracy. The results indicate that the decrease of capacitor area can reduce the dissipation factor and improve the measurement accuracy. As a result, the frequency dispersion of the measured capacitance is significantly reduced, and real C-V characteristic can be obtained directly by the series model. In addition, this paper investigates the quasi-static C-V measurement and the photonic high-frequency C-V measurement on Ni FUSI metal gated MOS capacitor with a thin leaky oxide. The results indicate that the large tunneling current through the gate oxide significantly perturbs the accurate measurement of the displacement current, which is essential for the quasi-static C-V measurement. On the other hand, the photonic high-frequency C-V measurement can bypass the leakage problem, and get reliable low-frequency C-V characteristic, which can be used to
A split accumulation gate architecture for silicon MOS quantum dots
Rochette, Sophie; Rudolph, Martin; Roy, Anne-Marie; Curry, Matthew; Ten Eyck, Gregory; Dominguez, Jason; Manginell, Ronald; Pluym, Tammy; King Gamble, John; Lilly, Michael; Bureau-Oxton, Chloé; Carroll, Malcolm S.; Pioro-Ladrière, Michel
We investigate tunnel barrier modulation without barrier electrodes in a split accumulation gate architecture for silicon metal-oxide-semiconductor quantum dots (QD). The layout consists of two independent accumulation gates, one gate forming a reservoir and the other the QD. The devices are fabricated with a foundry-compatible, etched, poly-silicon gate stack. We demonstrate 4 orders of magnitude of tunnel-rate control between the QD and the reservoir by modulating the reservoir gate voltage. Last electron charging energies of app. 10 meV and tuning of the ST splitting in the range 100-200 ueV are observed in two different split gate layouts and labs. This work was performed, in part, at the Center for Integrated Nanotechnologies, an Office of Science User Facility operated for the U.S. Department of Energy (DOE) Office of Science. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.
Liu, Xinke; Lu, Youming; Yu, Wenjie; Wu, Jing; He, Jiazhu; Tang, Dan; Liu, Zhihong; Somasuntharam, Pannirselvam; Zhu, Deliang; Liu, Wenjun; Cao, Peijiang; Han, Sun; Chen, Shaojun; Seow Tan, Leng
2015-01-01
Effect of a polarized P(VDF-TrFE) ferroelectric polymer gating on AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) was investigated. The P(VDF-TrFE) gating in the source/drain access regions of AlGaN/GaN MOS-HEMTs was positively polarized (i.e., partially positively charged hydrogen were aligned to the AlGaN surface) by an applied electric field, resulting in a shift-down of the conduction band at the AlGaN/GaN interface. This increases the 2-dimensional electron gas (2-DEG) density in the source/drain access region of the AlGaN/GaN heterostructure, and thereby reduces the source/drain series resistance. Detailed material characterization of the P(VDF-TrFE) ferroelectric film was also carried out using the atomic force microscopy (AFM), X-ray Diffraction (XRD), and ferroelectric hysteresis loop measurement. PMID:26364872
Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor
International Nuclear Information System (INIS)
Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.
2016-01-01
Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.
Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor
Energy Technology Data Exchange (ETDEWEB)
Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)
2016-08-30
Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.
Iron modified titanium–hafnium binary oxides as catalysts in total oxidation of ethyl acetate
Czech Academy of Sciences Publication Activity Database
Tsoncheva, T.; Ivanova, R.; Henych, Jiří; Velinov, N.; Kormunda, M.; Dimitrov, M.; Paneva, D.; Slušná, Michaela; Mitov, I.; Štengl, Václav
2016-01-01
Roč. 81, JUN (2016), s. 14-19 ISSN 1566-7367 R&D Projects: GA MŠk LM2015073 Institutional support: RVO:61388980 Keywords : Titania–hafnia binary oxide s * Iron modifications * Support effect * Ethyl acetate oxydation Subject RIV: CA - Inorganic Chemistry Impact factor: 3.330, year: 2016
Correlations between nuclear data and results of integral slab experiments. Case of hafnium
International Nuclear Information System (INIS)
Palau, J.M.
1997-01-01
The aim of this thesis was to evaluate how much integral slab experiments can both reduce discrepancies between experimental results and calculations, and improve the knowledge of hafnium isotopes neutronic parameters by an adapted sensitivity and uncertainty method. A statistical approach, based on the generalized least squares method and perturbation theory, has been incorporated into our calculation system in order to deduce microscopic cross-section adjustments from observed integral measurements on this particular 'mock-up' reactor. In this study it has been established that the correlations between integral parameters and hafnium capture cross-sections enable specific variations in the region of resolved resonances at the level of multigroup and punctual cross-sections recommended data (JEF-2.2 evaluation) to be highlighted. The use of determinist methods (APOLLO2 code) together with Monte Carlo- type simulations (TRIPOLI4 code) enabled a depth analysis of the modelling approximations to be carried out. Furthermore, the sensitivity coefficient validation technique employed leads to a reliable assessment of the quality of the new basic nuclear data. In this instance, the adjustments proposed for certain isotope 177 Hf resonance parameters reduce, after error propagation, by 3 to 5 per cent the difference between experimental results and calculations related to this absorbent's efficiency. Beyond this particular application, the qualification methodology integrated in our calculation system should enable other basic sizing parameters to be treated (chemical / geometric data or other unexplored nuclear data) to make technological requirements less stringent. (author)
Fabrication and evaluation of series-triple quantum dots by thermal oxidation of silicon nanowire
International Nuclear Information System (INIS)
Uchida, Takafumi; Jo, Mingyu; Tsurumaki-Fukuchi, Atsushi; Arita, Masashi; Takahashi, Yasuo; Fujiwara, Akira
2015-01-01
Series-connected triple quantum dots were fabricated by a simple two-step oxidation technique using the pattern-dependent oxidation of a silicon nanowire and an additional oxidation of the nanowire through the gap of the fine gates attached to the nanowire. The characteristics of multi-dot single-electron devices are obtained. The formation of each quantum dot beneath an attached gate is confirmed by analyzing the electrical characteristics and by evaluating the gate capacitances between all pairings of gates and quantum dots. Because the gate electrode is automatically attached to each dot, the device structure benefits from scalability. This technique promises integrability of multiple quantum dots with individual control gates
Extraction of hafnium with chelating agents from aqueous-alcoholic solutions
International Nuclear Information System (INIS)
Hala, J.; Prihoda, J.
1975-01-01
The extraction was studied of hafnium into solutions of N-benzoyl-N-phenylhydroxylamine, 2-thenoyltrifluoroacetone and di-n-butylphosphoric acid in benzene, toluene, chloroform and tetrachloromethane from aqueous alcoholic solutions with a formal acidity of 2M-HClO 4 . Methyl-, ethyl-, n- and isopropyl- and tert-butyl alcohol were used as organic components in the mixed aqueous-organic phase. In the extraction into N-benzoyl-N-phenylhydroxylamine the presence of the alcohols resulted in synergic effects analogous to the previously described extraction by substituted benzoylpyrazolone. With the other two extractants, the effect of the alcohols was antagonistic, due to the interaction of alcohol or water with the reagent in the organic phase, and to the decrease in the reagent distribution constant. (author)
International Nuclear Information System (INIS)
Tsai, Jyun-Yu; Liu, Kuan-Ju; Lu, Ying-Hsin; Liu, Xi-Wen; Chang, Ting-Chang; Chen, Ching-En; Ho, Szu-Han; Tseng, Tseung-Yuen; Cheng, Osbert; Huang, Cheng-Tung; Lu, Ching-Sen
2014-01-01
This work investigates electron-electron scattering (EES)-induced channel hot electron (CHE) injection in nanoscale n-channel metal-oxide-semiconductor field-effect-transistors (n-MOSFETs) with high-k/metal gate stacks. Many groups have proposed new models (i.e., single-particle and multiple-particle process) to well explain the hot carrier degradation in nanoscale devices and all mechanisms focused on Si-H bond dissociation at the Si/SiO 2 interface. However, for high-k dielectric devices, experiment results show that the channel hot carrier trapping in the pre-existing high-k bulk defects is the main degradation mechanism. Therefore, we propose a model of EES-induced CHE injection to illustrate the trapping-dominant mechanism in nanoscale n-MOSFETs with high-k/metal gate stacks.
Studies of high-K isomers in hafnium nuclei
International Nuclear Information System (INIS)
Sletten, G.; Gjoerup, N.L.
1991-01-01
K-isomeric states built on high-Ω Nilsson orbitals from deformation-aligned high-j levels near the Fermi surface are found to cluster in the neutron rich Hf, W and Os nuclei. It has been shown that some of the high seniority states of this type have decay properties that indicate strong mixing of configurations and that in Osmium nuclei γ-softness cause strong deviations from the well established K-selection rule. Also in the Hafnium nuclei is the expected forbiddenness in isomeric decays an order of magnitude smaller than expected from the K-selection rule. A new 9 quasiparticle isomer has been discovered in 175 Hf at I=57/2. This isomer has the anomalous decay as the dominant mode. Other lower seniority states are also identified. At spin 35/2 and 45/2 the deformation aligned states become yrast, but the structure of the yrast line to even higher spins is not yet understood. (author)
Luminescent determination of zirconium and hafnium with myricetin
International Nuclear Information System (INIS)
Talipov, Sh.T.; Zel'tser, L.E.; Morozova, L.A.; Tashkhodzhaev, A.T.
1978-01-01
Reaction of formation of 3, 5, 7, 3', 4', 5' - hexaoxiflavone - myricetin complexes with zirconium and hafnium ions has been the basis for development of luminescent method of determining these elements. Optimum conditions for complexing have been determined. For Hf they are : 8-9 HCl concentration, maximum fluorescence wave length (lambda fl.)of 520 nm, wave length of exciting light (lambda el) of 436 nm, for Zr lambda fl = 536nm, lambda el = 436 nm. Stable fluorescence establishes after 25 min. for Zr and after 15 min for Hf in the presence of 40% ethanol. Usage of various camouflage agents has permitted to attain high selectivity of the method. Possibility for determination of Zr with myricetin in the presence of a 10-time excess of Hf, Cr, Cu, 50-time excess of Mo and Ti is shown. Sensitivity of Zr determination is 2.0x10 μg -2 /ml, for Hf it is 9.0x10 μg -3 and mineral waters
A gate enhanced power U-shaped MOSFET integrated with a Schottky rectifier
International Nuclear Information System (INIS)
Wang Ying; Jiao Wen-Li; Hu Hai-Fan; Liu Yun-Tao; Cao Fei
2012-01-01
An accumulation gate enhanced power U-shaped metal-oxide-semiconductor field-effect-transistor (UMOSFET) integrated with a Schottky rectifier is proposed. In this device, a Schottky rectifier is integrated into each cell of the accumulation gate enhanced power UMOSFET. Specific on-resistances of 7.7 mΩ·mm 2 and 6.5 mΩ·mm 2 for the gate bias voltages of 5 V and 10 V are achieved, respectively, and the breakdown voltage is 61 V. The numerical simulation shows a 25% reduction in the reverse recovery time and about three orders of magnitude reduction in the leakage current as compared with the accumulation gate enhanced power UMOSFET. (condensed matter: structural, mechanical, and thermal properties)
Sub-50 nm gate length SOI transistor development for high performance microprocessors
International Nuclear Information System (INIS)
Horstmann, M.; Greenlaw, D.; Feudel, Th.; Wei, A.; Frohberg, K.; Burbach, G.; Gerhardt, M.; Lenski, M.; Stephan, R.; Wieczorek, K.; Schaller, M.; Hohage, J.; Ruelke, H.; Klais, J.; Huebler, P.; Luning, S.; Bentum, R. van; Grasshoff, G.; Schwan, C.; Cheek, J.; Buller, J.; Krishnan, S.; Raab, M.; Kepler, N.
2004-01-01
Partial depleted (PD) SOI technologies have reached maturity for production of high speed, low power microprocessors. The paper will highlight several challenges found during the course of development for bringing 40 nm gate length (L GATE ) PD SOI transistors into volume manufacturing for high-speed microprocessors. The key innovations developed for this transistor in order to overcome classical gate oxide and L GATE scaling is an unique differential triple spacer structure, stressed overlayer films inducing strain in the Silicon channel and optimized junctions. This transistor structure yields an outstanding ring oscillator speed with an unloaded inverter delay of 5.5 ps. The found improvements are highly manufacturable and scaleable for future device technologies like FD SOI
Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs
Directory of Open Access Journals (Sweden)
Jiabao Sun
2015-01-01
Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.
Polycrystalline diamond RF MOSFET with MoO3 gate dielectric
Directory of Open Access Journals (Sweden)
Zeyang Ren
2017-12-01
Full Text Available We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.
Study on effective MOSFET channel length extracted from gate capacitance
Tsuji, Katsuhiro; Terada, Kazuo; Fujisaka, Hisato
2018-01-01
The effective channel length (L GCM) of metal-oxide-semiconductor field-effect transistors (MOSFETs) is extracted from the gate capacitances of actual-size MOSFETs, which are measured by charge-injection-induced-error-free charge-based capacitance measurement (CIEF CBCM). To accurately evaluate the capacitances between the gate and the channel of test MOSFETs, the parasitic capacitances are removed by using test MOSFETs having various channel sizes and a source/drain reference device. A strong linear relationship between the gate-channel capacitance and the design channel length is obtained, from which L GCM is extracted. It is found that L GCM is slightly less than the effective channel length (L CRM) extracted from the measured MOSFET drain current. The reason for this is discussed, and it is found that the capacitance between the gate electrode and the source and drain regions affects this extraction.
Development of III-V p-MOSFETs with high-kappa gate stack for future CMOS applications
Nagaiah, Padmaja
As the semiconductor industry approaches the limits of traditional silicon CMOS scaling, non-silicon materials and new device architectures are gradually being introduced to improve Si integrated circuit performance and continue transistor scaling. Recently, the replacement of SiO2 with a high-k material (HfO2) as gate dielectric has essentially removed one of the biggest advantages of Si as channel material. As a result, alternate high mobility materials are being considered to replace Si in the channel to achieve higher drive currents and switching speeds. III-V materials in particular have become of great interest as channel materials, owing to their superior electron transport properties. However, there are several critical challenges that need to be addressed before III-V based CMOS can replace Si CMOS technology. Some of these challenges include development of a high quality, thermally stable gate dielectric/III-V interface, and improvement in III-V p-channel hole mobility to complement the n-channel mobility, low source/drain resistance and integration onto Si substrate. In this thesis, we would be addressing the first two issues i.e. the development high performance III-V p-channels and obtaining high quality III-V/high-k interface. We start with using the device architecture of the already established InGaAs n-channels as a baseline to understand the effect of remote scattering from the high-k oxide and oxide/semiconductor interface on channel transport properties such as electron mobility and channel electron concentration. Temperature dependent Hall electron mobility measurements were performed to separate various scattering induced mobility limiting factors. Dependence of channel mobility on proximity of the channel to the oxide interface, oxide thickness, annealing conditions are discussed. The results from this work will be used in the design of the p-channel MOSFETs. Following this, InxGa1-xAs (x>0.53) is chosen as channel material for developing p
Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang
2018-04-01
For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.
2010-03-31
floating gate devices and metal-insulator-oxide-semiconductor (MIOS) devices. First attempts to use polarizable gate insulators in combination with...bulk of the semiconductor (ii) Due to the polarizable gate dielectric (iii) dipole polarization and (iv)electret effect due to mobile ions in the...characterization was carried out under an argon environment inside the glove box. An Agilent model E5273A with a two source-measurement unit instrument was
Rojas, Jhonathan Prieto
2013-02-12
In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry\\'s most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.
Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa; Sevilla, Galo T.
2013-01-01
In pursuit of flexible computers with high performance devices, we demonstrate a generic process to fabricate 10 000 metal-oxide-semiconductor capacitors (MOSCAPs) with semiconductor industry's most advanced high-k/metal gate stacks on widely used, inexpensive bulk silicon (100) wafers and then using a combination of iso-/anisotropic etching to release the top portion of the silicon with the already fabricated devices as a mechanically flexible (bending curvature of 133 m−1), optically semi-transparent silicon fabric (1.5 cm × 3 cm × 25 μm). The electrical characteristics show 3.7 nm effective oxide thickness, −0.2 V flat band voltage, and no hysteresis from the fabricated MOSCAPs.
Energy Technology Data Exchange (ETDEWEB)
Liao, Po-Yung [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Taiwan (China); Hsieh, Tien-Yu [Department of Physics, National Sun Yat-sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Tsai, Ming-Yen; Chen, Bo-Wei; Chu, Ann-Kuo [Department of Photonics, National Sun Yat-Sen University, 70 Lien-hai Road, Kaohsiung 80424, Taiwan (China); Chou, Cheng-Hsu; Chang, Jung-Fang [Product Technology Center, Chimei Innolux Corp., Tainan 741, Taiwan (China)
2016-03-31
The degree of degradation between the amorphous-indium–gallium–zinc oxide (a-IGZO) thin film transistor (TFT) using the top-gate only or bottom-gate only is compared. Under negative gate bias illumination stress (NBIS), the threshold voltage (V{sub T}) after bottom-gate NBIS monotonically shifts in the negative direction, whereas top-gate NBIS operation exhibits on-state current increases without V{sub T} shift. Such anomalous degradation behavior of NBIS under top-gate operation is due to hole-trapping in the etch stop layer above the central portion of the channel. These phenomena can be ascribed to the screening of the electric field by redundant source/drain electrodes. In addition, the device degradation of dual gate a-IGZO TFT stressed with different top gate pulse waveforms is investigated. It is observed that the degradation is dependent on the frequency of the top gate pulses. The V{sub T} shift increases with decreasing frequency, indicating the hole mobility of IGZO is low. - Highlights: • Static and dynamic gate bias stresses are imposed on dual gate InGaZnO TFTs. • Top-gate NBIS operation exhibits on-state current increases without VT shift. • The degradation behavior of top-gate NBIS is due to hole-trapping in the ESL. • The degradation is dependent on the frequency of the top gate pulses. • The V{sub T} shift increases with decreasing frequency of the top gate pulses.
International Nuclear Information System (INIS)
Liao, Po-Yung; Chang, Ting-Chang; Hsieh, Tien-Yu; Tsai, Ming-Yen; Chen, Bo-Wei; Chu, Ann-Kuo; Chou, Cheng-Hsu; Chang, Jung-Fang
2016-01-01
The degree of degradation between the amorphous-indium–gallium–zinc oxide (a-IGZO) thin film transistor (TFT) using the top-gate only or bottom-gate only is compared. Under negative gate bias illumination stress (NBIS), the threshold voltage (V T ) after bottom-gate NBIS monotonically shifts in the negative direction, whereas top-gate NBIS operation exhibits on-state current increases without V T shift. Such anomalous degradation behavior of NBIS under top-gate operation is due to hole-trapping in the etch stop layer above the central portion of the channel. These phenomena can be ascribed to the screening of the electric field by redundant source/drain electrodes. In addition, the device degradation of dual gate a-IGZO TFT stressed with different top gate pulse waveforms is investigated. It is observed that the degradation is dependent on the frequency of the top gate pulses. The V T shift increases with decreasing frequency, indicating the hole mobility of IGZO is low. - Highlights: • Static and dynamic gate bias stresses are imposed on dual gate InGaZnO TFTs. • Top-gate NBIS operation exhibits on-state current increases without VT shift. • The degradation behavior of top-gate NBIS is due to hole-trapping in the ESL. • The degradation is dependent on the frequency of the top gate pulses. • The V T shift increases with decreasing frequency of the top gate pulses.
Electric field cycling behavior of ferroelectric hafnium oxide.
Schenk, Tony; Schroeder, Uwe; Pešić, Milan; Popovici, Mihaela; Pershin, Yuriy V; Mikolajick, Thomas
2014-11-26
HfO2 based ferroelectrics are lead-free, simple binary oxides with nonperovskite structure and low permittivity. They just recently started attracting attention of theoretical groups in the fields of ferroelectric memories and electrostatic supercapacitors. A modified approach of harmonic analysis is introduced for temperature-dependent studies of the field cycling behavior and the underlying defect mechanisms. Activation energies for wake-up and fatigue are extracted. Notably, all values are about 100 meV, which is 1 order of magnitude lower than for conventional ferroelectrics like lead zirconate titanate (PZT). This difference is mainly atttributed to the one to two orders of magnitude higher electric fields used for cycling and to the different surface to volume ratios between the 10 nm thin films in this study and the bulk samples of former measurements or simulations. Moreover, a new, analog-like split-up effect of switching peaks by field cycling is discovered and is explained by a network model based on memcapacitive behavior as a result of defect redistribution.
International Nuclear Information System (INIS)
Roy, Sukhdev; Yadav, Chandresh
2013-01-01
A detailed theoretical analysis of ultrafast transition from saturable absorption (SA) to reverse saturable absorption (RSA) has been presented in graphene-oxide thin films with femtosecond laser pulses at 800 nm. Increase in pulse intensity leads to switching from SA to RSA with increased contrast due to two-photon absorption induced excited-state absorption. Theoretical results are in good agreement with reported experimental results. Interestingly, it is also shown that increase in concentration results in RSA to SA transition. The switching has been optimized to design parallel all-optical femtosecond NOT, AND, OR, XOR, and the universal NAND and NOR logic gates
Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan
2018-01-01
In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767
Directory of Open Access Journals (Sweden)
Ching-Lin Fan
2018-05-01
Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.
Jiao, C.; Ahyi, A. C.; Dhar, S.; Morisette, D.; Myers-Ward, R.
2017-04-01
We report results on the interface trap density ( D it) of 4H- and 6H-SiC metal-oxide-semiconductor (MOS) capacitors with different interface chemistries. In addition to pure dry oxidation, we studied interfaces formed by annealing thermal oxides in NO or POCl3. The D it profiles, determined by the C- ψ s method, show that, although the as-oxidized 4H-SiC/SiO2 interface has a much higher D it profile than 6H-SiC/SiO2, after postoxidation annealing (POA), both polytypes maintain comparable D it near the conduction band edge for the gate oxides incorporated with nitrogen or phosphorus. Unlike most conventional C- V- or G- ω-based methods, the C- ψ s method is not limited by the maximum probe frequency, therefore taking into account the "fast traps" detected in previous work on 4H-SiC. The results indicate that such fast traps exist near the band edge of 6H-SiC also. For both polytypes, we show that the total interface trap density ( N it) integrated from the C- ψ s method is several times that obtained from the high-low method. The results suggest that the detected fast traps have a detrimental effect on electron transport in metal-oxide-semiconductor field-effect transistor (MOSFET) channels.
Majima, Yutaka; Hackenberger, Guillaume; Azuma, Yasuo; Kano, Shinya; Matsuzaki, Kosuke; Susaki, Tomofumi; Sakamoto, Masanori; Teranishi, Toshiharu
2017-01-01
Single-electron transistors (SETs) are sub-10-nm scale electronic devices based on conductive Coulomb islands sandwiched between double-barrier tunneling barriers. Chemically assembled SETs with alkanethiol-protected Au nanoparticles show highly stable Coulomb diamonds and two-input logic operations. The combination of bottom-up and top-down processes used to form the passivation layer is vital for realizing multi-gate chemically assembled SET circuits, as this combination enables us to connect conventional complementary metal oxide semiconductor (CMOS) technologies via planar processes. Here, three-input gate exclusive-OR (XOR) logic operations are demonstrated in passivated chemically assembled SETs. The passivation layer is a hybrid bilayer of self-assembled monolayers (SAMs) and pulsed laser deposited (PLD) aluminum oxide (AlO[Formula: see text]), and top-gate electrodes were prepared on the hybrid passivation layers. Top and two-side-gated SETs showed clear Coulomb oscillation and diamonds for each of the three available gates, and three-input gate XOR logic operation was clearly demonstrated. These results show the potential of chemically assembled SETs to work as logic devices with multi-gate inputs using organic and inorganic hybrid passivation layers.
International Nuclear Information System (INIS)
Xu, J.P.; Zou, X.; Lai, P.T.; Li, C.X.; Chan, C.L.
2009-01-01
Annealing of high-permittivity HfTiO gate dielectric on Ge substrate in different gases (N 2 , NH 3 , NO and N 2 O) with or without water vapor is investigated. Analysis by transmission electron microscopy indicates that the four wet anneals can greatly suppress the growth of a GeO x interlayer at the dielectric/Ge interface, and thus decrease interface states, oxide charges and gate leakage current. Moreover, compared with the wet N 2 anneal, the wet NH 3 , NO and N 2 O anneals decrease the equivalent permittivity of the gate dielectric due to the growth of a GeO x N y interlayer. Among the eight anneals, the wet N 2 anneal produces the best dielectric performance with an equivalent relative permittivity of 35, capacitance equivalent thickness of 0.81 nm, interface-state density of 6.4 x 10 11 eV -1 cm -2 and gate leakage current of 2.7 x 10 -4 A/cm 2 at V g = 1 V
International Nuclear Information System (INIS)
Silveira, J.G. da.
1981-01-01
Measures of quadrupolar interaction to nucleus level of the metal, in some hafnium complexes are presented, including the analysis by combustion, microanalysis, infrared spectroscopy and thermogravimetry. The hyperfine interaction parameters, the temperature effects and the thermal neutrons capture effects over the irradiated Hf Y (Y = EDTA) are also studied. (author)
Energy Technology Data Exchange (ETDEWEB)
Niang, K. M.; Flewitt, A. J., E-mail: ajf@eng.cam.ac.uk [Electrical Engineering Division, Cambridge University, J J Thomson Avenue, Cambridge CB3 0FA (United Kingdom); Barquinha, P. M. C.; Martins, R. F. P. [i3N/CENIMAT, Department of Materials Science, Faculty of Science and Technology, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Cobb, B. [Holst Centre/TNO, High Tech Campus 31, 5656AE Eindhoven (Netherlands); Powell, M. J. [252, Valley Drive, Kendal LA9 7SL (United Kingdom)
2016-02-29
Thin film transistors (TFTs) employing an amorphous indium gallium zinc oxide (a-IGZO) channel layer exhibit a positive shift in the threshold voltage under the application of positive gate bias stress (PBS). The time and temperature dependence of the threshold voltage shift was measured and analysed using the thermalization energy concept. The peak energy barrier to defect conversion is extracted to be 0.75 eV and the attempt-to-escape frequency is extracted to be 10{sup 7} s{sup −1}. These values are in remarkable agreement with measurements in a-IGZO TFTs under negative gate bias illumination stress (NBIS) reported recently (Flewitt and Powell, J. Appl. Phys. 115, 134501 (2014)). This suggests that the same physical process is responsible for both PBS and NBIS, and supports the oxygen vacancy defect migration model that the authors have previously proposed.
Gating-ML: XML-based gating descriptions in flow cytometry.
Spidlen, Josef; Leif, Robert C; Moore, Wayne; Roederer, Mario; Brinkman, Ryan R
2008-12-01
The lack of software interoperability with respect to gating due to lack of a standardized mechanism for data exchange has traditionally been a bottleneck, preventing reproducibility of flow cytometry (FCM) data analysis and the usage of multiple analytical tools. To facilitate interoperability among FCM data analysis tools, members of the International Society for the Advancement of Cytometry (ISAC) Data Standards Task Force (DSTF) have developed an XML-based mechanism to formally describe gates (Gating-ML). Gating-ML, an open specification for encoding gating, data transformations and compensation, has been adopted by the ISAC DSTF as a Candidate Recommendation. Gating-ML can facilitate exchange of gating descriptions the same way that FCS facilitated for exchange of raw FCM data. Its adoption will open new collaborative opportunities as well as possibilities for advanced analyses and methods development. The ISAC DSTF is satisfied that the standard addresses the requirements for a gating exchange standard.
Energy Technology Data Exchange (ETDEWEB)
Marini, J.Ch
2004-05-15
Subduction zones are the primary regions of mass exchanges between continental crust and mantle of Earth through sediment subduction toward the earth's mantle and by supply of mantellic magmas to volcanic arcs. We analyze these mass exchanges using Hafnium and Neodymium isotopes. At the Izu-Mariana subduction zone, subducting sediments have Hf and Nd isotopes equivalent to Pacific seawater. Altered oceanic crust has Hf and Nd isotopic compositions equivalent to the isotopic budget of unaltered Pacific oceanic crust. At Luzon and Java subduction zones, arc lavas present Hf isotopic ratios highly radiogenic in comparison to their Nd isotopic ratios. Such compositions of the Luzon and Java arc lavas are controlled by a contamination of their sources by the subducted oceanic sediments. (author)
Poorvasha, S.; Lakshmi, B.
2018-05-01
In this paper, RF performance analysis of InAs-based double gate (DG) tunnel field effect transistors (TFETs) is investigated in both qualitative and quantitative fashion. This investigation is carried out by varying the geometrical and doping parameters of TFETs to extract various RF parameters, unity gain cut-off frequency (f t), maximum oscillation frequency (f max), intrinsic gain and admittance (Y) parameters. An asymmetric gate oxide is introduced in the gate-drain overlap and compared with that of DG TFETs. Higher ON-current (I ON) of about 0.2 mA and less leakage current (I OFF) of 29 fA is achieved for DG TFET with gate-drain overlap. Due to increase in transconductance (g m), higher f t and intrinsic gain is attained for DG TFET with gate-drain overlap. Higher f max of 985 GHz is obtained for drain doping of 5 × 1017 cm‑3 because of the reduced gate-drain capacitance (C gd) with DG TFET with gate-drain overlap. In terms of Y-parameters, gate oxide thickness variation offers better performance due to the reduced values of C gd. A second order numerical polynomial model is generated for all the RF responses as a function of geometrical and doping parameters. The simulation results are compared with this numerical model where the predicted values match with the simulated values. Project supported by the Department of Science and Technology, Government of India under SERB Scheme (No. SERB/F/2660).
Direct protein detection with a nano-interdigitated array gate MOSFET.
Tang, Xiaohui; Jonas, Alain M; Nysten, Bernard; Demoustier-Champagne, Sophie; Blondeau, Franoise; Prévot, Pierre-Paul; Pampin, Rémi; Godfroid, Edmond; Iñiguez, Benjamin; Colinge, Jean-Pierre; Raskin, Jean-Pierre; Flandre, Denis; Bayot, Vincent
2009-08-15
A new protein sensor is demonstrated by replacing the gate of a metal oxide semiconductor field effect transistor (MOSFET) with a nano-interdigitated array (nIDA). The sensor is able to detect the binding reaction of a typical antibody Ixodes ricinus immunosuppressor (anti-Iris) protein at a concentration lower than 1 ng/ml. The sensor exhibits a high selectivity and reproducible specific detection. We provide a simple model that describes the behavior of the sensor and explains the origin of its high sensitivity. The simulated and experimental results indicate that the drain current of nIDA-gate MOSFET sensor is significantly increased with the successive binding of the thiol layer, Iris and anti-Iris protein layers. It is found that the sensor detection limit can be improved by well optimizing the geometrical parameters of nIDA-gate MOSFET. This nanobiosensor, with real-time and label-free capabilities, can easily be used for the detection of other proteins, DNA, virus and cancer markers. Moreover, an on-chip associated electronics nearby the sensor can be integrated since its fabrication is compatible with complementary metal oxide semiconductor (CMOS) technology.
Volumetric measurement of human red blood cells by MOSFET-based microfluidic gate.
Guo, Jinhong; Ai, Ye; Cheng, Yuanbing; Li, Chang Ming; Kang, Yuejun; Wang, Zhiming
2015-08-01
In this paper, we present a MOSFET-based (metal oxide semiconductor field-effect transistor) microfluidic gate to characterize the translocation of red blood cells (RBCs) through a gate. In the microfluidic system, the bias voltage modulated by the particles or biological cells is connected to the gate of MOSFET. The particles or cells can be detected by monitoring the MOSFET drain current instead of DC/AC-gating method across the electronic gate. Polystyrene particles with various standard sizes are utilized to calibrate the proposed device. Furthermore, RBCs from both adults and newborn blood sample are used to characterize the performance of the device in distinguishing the two types of RBCs. As compared to conventional DC/AC current modulation method, the proposed device demonstrates a higher sensitivity and is capable of being a promising platform for bioassay analysis. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali
2018-06-01
The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.
Energy Technology Data Exchange (ETDEWEB)
Nichau, Alexander
2013-07-15
The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO{sub 3} and HfO{sub 2} are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO{sub 3} and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO{sub 3} is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO{sub 3} on germanium, germanate formation is shown. LaLuO{sub 3} is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO{sub 3} in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO{sub 3} and HfO{sub 2}. Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO{sub 2} gate stacks is scalable below 1 nm by the use of thinned interfacial SiO{sub 2}. The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the
International Nuclear Information System (INIS)
Hirsch, H.H.
1980-01-01
In rotary targets for X-ray tubes warping is a problem which causes X-ray deficiency. A rotary target is described in which warping is reduced by using alloys of molybdenum with 0.05 to 10% iron, silicon, cobalt, tantalum, niobium, hafnium, stable metal oxide or mixture thereof. Suitable mixtures are 0.5 to 10% of tantalum, niobium or hafnium with from 0.5 to 5% yttrium oxide, or 0.05 to 0.3% of cobalt or silicon. Optionally 0.1 to 5% by weight of additional material may be alloyed with the molybdenum, such as tantalum or hafnium carbides. (author)
Hafnium at subduction zones: isotopic budget of input and output fluxes
International Nuclear Information System (INIS)
Marini, J.Ch.
2004-05-01
Subduction zones are the primary regions of mass exchanges between continental crust and mantle of Earth through sediment subduction toward the earth's mantle and by supply of mantellic magmas to volcanic arcs. We analyze these mass exchanges using Hafnium and Neodymium isotopes. At the Izu-Mariana subduction zone, subducting sediments have Hf and Nd isotopes equivalent to Pacific seawater. Altered oceanic crust has Hf and Nd isotopic compositions equivalent to the isotopic budget of unaltered Pacific oceanic crust. At Luzon and Java subduction zones, arc lavas present Hf isotopic ratios highly radiogenic in comparison to their Nd isotopic ratios. Such compositions of the Luzon and Java arc lavas are controlled by a contamination of their sources by the subducted oceanic sediments. (author)
Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.
2018-01-01
Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.
Uedono, A.; Inumiya, S.; Matsuki, T.; Aoyama, T.; Nara, Y.; Ishibashi, S.; Ohdaira, T.; Suzuki, R.; Miyazaki, S.; Yamada, K.
2007-09-01
Vacancy-fluorine complexes in metal-oxide semiconductors (MOS) with high-k gate dielectrics were studied using a positron annihilation technique. F+ ions were implanted into Si substrates before the deposition of gate dielectrics (HfSiON). The shift of threshold voltage (Vth) in MOS capacitors and an increase in Fermi level position below the HfSiON/Si interface were observed after F+ implantation. Doppler broadening spectra of the annihilation radiation and positron lifetimes were measured before and after HfSiON fabrication processes. From a comparison between Doppler broadening spectra and those obtained by first-principles calculation, the major defect species in Si substrates after annealing treatment (1050 °C, 5 s) was identified as vacancy-fluorine complexes (V3F2). The origin of the Vth shift in the MOS capacitors was attributed to V3F2 located in channel regions.
Ghoneim, Mohamed T.
2015-06-01
We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.
Thermal expansion studies on Hafnium titanate (HfTiO4)
International Nuclear Information System (INIS)
Panneerselvam, G.; Subramanian, G.G.S.; Antony, M.P.
2006-01-01
The lattice thermal expansion characteristics of hafnium titanate (HfTiO 4 ) have been studied by measuring the lattice parameter as a function of temperature by high temperature X-ray diffraction technique (HT-XRD) in the temperature range 298-1973K. Percentage linear thermal expansion and mean linear thermal expansion coefficients were computed from the lattice parameter data. The thermal expansion of HfTiO 4 is highly anisotropic. The expansivity along 'a' axis is large; as compared to the expansivity along 'b' axis which is negative below 1073 K. The percentage linear thermal expansion in the temperature range 298-1973 K along a, b and c axis are 2.74, 0.901 and 1.49 respectively. Thermal expansion values obtained in the present study are in reasonable agreement with the existing thermal expansion data. (author)
International Nuclear Information System (INIS)
Lee, Min Su; Lee, Hee Chul
2012-01-01
To overcome the total ionizing dose effect on an n-type metal-oxide-semiconductor field-effect transistor (n-MOSFET), we designed a radiation-hardened gate-around n-MOSFET structure and evaluated it through a radiation-exposure experiment. Each test device was fabricated in a commercial 0.35-micron complementary metal-oxide-semiconductor (CMOS) process. The fabricated devices were evaluated under a total dose of 1 Mrad (Si) at a dose rate of 250 krad/h to obtain very high reliability for space electronics. The experimental results showed that the gate-around n-MOSFET structure had very good performance against 1 Mrad (Si) of gamma radiation, while the conventional n-MOSFET experienced a considerable amount of radiation-induced leakage current. Furthermore, a source follower designed with the gate-around transistor worked properly at 1 Mrad (Si) of gamma radiation while a source follower designed with the conventional n-MOSFET lost its functionality.
Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond
Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok
2017-03-01
Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2
A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics
Wallace, Robert M.
2001-03-01
Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.
Area efficient digital logic NOT gate using single electron box (SEB
Directory of Open Access Journals (Sweden)
Bahrepour Davoud
2017-01-01
Full Text Available The continuing scaling down of complementary metal oxide semiconductor (CMOS has led researchers to build new devices with nano dimensions, whose behavior will be interpreted based on quantum mechanics. Single-electron devices (SEDs are promising candidates for future VLSI applications, due to their ultra small dimensions and lower power consumption. In most SED based digital logic designs, a single gate is introduced and its performance discussed. While in the SED based circuits the fan out of designed gate circuit should be considered and measured. In the other words, cascaded SED based designs must work properly so that the next stage(s should be driven by the previous stage. In this paper, previously NOT gate based on single electron box (SEB which is an important structure in SED technology, is reviewed in order to obtain correct operation in series connections. The correct operation of the NOT gate is investigated in a buffer circuit which uses two connected NOT gate in series. Then, for achieving better performance the designed buffer circuit is improved by the use of scaling process.
Energy Technology Data Exchange (ETDEWEB)
Xu, J.P. [Department of Electronic Science and Technology, Huazhong University of Science and Technology, Wuhan, 430074 (China); Zou, X. [School of Electromachine and Architecture Engineering, Jianghan University, Wuhan, 430056 (China); Lai, P.T. [Department of Electrical and Electronic Engineering, University of Hong Kong, Pokfulam Road (Hong Kong)], E-mail: laip@eee.hku.hk; Li, C.X.; Chan, C.L. [Department of Electrical and Electronic Engineering, University of Hong Kong, Pokfulam Road (Hong Kong)
2009-03-02
Annealing of high-permittivity HfTiO gate dielectric on Ge substrate in different gases (N{sub 2}, NH{sub 3}, NO and N{sub 2}O) with or without water vapor is investigated. Analysis by transmission electron microscopy indicates that the four wet anneals can greatly suppress the growth of a GeO{sub x} interlayer at the dielectric/Ge interface, and thus decrease interface states, oxide charges and gate leakage current. Moreover, compared with the wet N{sub 2} anneal, the wet NH{sub 3}, NO and N{sub 2}O anneals decrease the equivalent permittivity of the gate dielectric due to the growth of a GeO{sub x}N{sub y} interlayer. Among the eight anneals, the wet N{sub 2} anneal produces the best dielectric performance with an equivalent relative permittivity of 35, capacitance equivalent thickness of 0.81 nm, interface-state density of 6.4 x 10{sup 11} eV{sup -1} cm{sup -2} and gate leakage current of 2.7 x 10{sup -4} A/cm{sup 2} at V{sub g} = 1 V.
Solar cell array for driving MOS type FET gate. MOS gata EFT gate kudoyo taiyo denchi array
Energy Technology Data Exchange (ETDEWEB)
Murakami, S; Yoshida, K; Yoshiki, T; Yamaguchi, Y; Nakayama, T; Owada, Y
1990-03-12
There has been a semiconductor relay utilizing MOS type FET (field effect transistor). Concerning the solar cells used for a semiconductor relay, it is required to separate the cells by forming insulating oxide films first and to form semiconductor layers by using many mask patterns, since a crystal semiconductor is used. Thereby its manufacturing process becomes complicated and laminification as well as thin film formation are difficult, In view of the above, this invention proposes a solar cell array for driving a MOS type FET gate consisting of amorphous silicon semiconductor cells, which are used for a semiconductor relay with solar cells generating electromotive power by the light of a light emitting diode and a MOS type FET that the power output of the above solar cells is supplied to its gate, and which are connected in series with many steps. 9 figs.
International Nuclear Information System (INIS)
Pereira, L; Gaspar, D; Fortunato, E; Martins, R; Guerin, D; Delattre, A
2014-01-01
Paper electronics is a topic of great interest due the possibility of having low-cost, disposable and recyclable electronic devices. The final goal is to make paper itself an active part of such devices. In this work we present new approaches in the selection of tailored paper, aiming to use it simultaneously as substrate and dielectric in oxide based paper field effect transistors (FETs). From the work performed, it was observed that the gate leakage current in paper FETs can be reduced using a dense microfiber/nanofiber cellulose paper as the dielectric. Also, the stability of these devices against changes in relative humidity is improved. On other hand, if the pH of the microfiber/nanofiber cellulose pulp is modified by the addition of HCl, the saturation mobility of the devices increases up to 16 cm 2 V −1 s −1 , with an I ON /I OFF ratio close to 10 5 . (paper)
Sevilla, Galo T.
2016-02-29
Thinned silicon based complementary metal oxide semiconductor(CMOS)electronics can be physically flexible. To overcome challenges of limited thinning and damaging of devices originated from back grinding process, we show sequential reactive ion etching of silicon with the assistance from soft polymeric materials to efficiently achieve thinned (40 μm) and flexible (1.5 cm bending radius) silicon based functional CMOSinverters with high-κ/metal gate transistors. Notable advances through this study shows large area of silicon thinning with pre-fabricated high performance elements with ultra-large-scale-integration density (using 90 nm node technology) and then dicing of such large and thinned (seemingly fragile) pieces into smaller pieces using excimer laser. The impact of various mechanical bending and bending cycles show undeterred high performance of flexible siliconCMOSinverters. Future work will include transfer of diced silicon chips to destination site, interconnects, and packaging to obtain fully flexible electronic systems in CMOS compatible way.
Terauds, Kalvis
Demands for hypersonic aircraft are driving the development of ultra-high temperature structural materials. These aircraft, envisioned to sustain Mach 5+, are expected to experience continuous temperatures of 1200--1800°C on the aircraft surface and temperatures as high as 2800°C in combustion zones. Breakthroughs in the development of fiber based ceramic matrix composites (CMCs) are opening the door to a new class of high-tech UHT structures for aerospace applications. One limitation with current carbon fiber or silicon carbide fiber based CMC technology is the inherent problem of material oxidation, requiring new approaches for protective environmental barrier coatings (EBC) in extreme environments. This thesis focuses on the development and characterization of SiCN-HfO2 based ceramic composite EBC systems to be used as a protective layer for silicon carbide fiber based CMCs. The presented work covers three main architectures for protection (i) multilayer films, (ii) polymer-derived HfSiCNO, and (iii) composite SiCN-HfO 2 infiltration. The scope of this thesis covers processing development, material characterization, and high temperature oxidation behavior of these three SiCN-HfO2 based systems. This work shows that the SiCN-HfO 2 composite materials react upon oxidation to form HfSiO4, offering a stable EBC in streaming air and water vapor at 1600°C.
A novel optical gating method for laser gated imaging
Ginat, Ran; Schneider, Ron; Zohar, Eyal; Nesher, Ofer
2013-06-01
For the past 15 years, Elbit Systems is developing time-resolved active laser-gated imaging (LGI) systems for various applications. Traditional LGI systems are based on high sensitive gated sensors, synchronized to pulsed laser sources. Elbit propriety multi-pulse per frame method, which is being implemented in LGI systems, improves significantly the imaging quality. A significant characteristic of the LGI is its ability to penetrate a disturbing media, such as rain, haze and some fog types. Current LGI systems are based on image intensifier (II) sensors, limiting the system in spectral response, image quality, reliability and cost. A novel propriety optical gating module was developed in Elbit, untying the dependency of LGI system on II. The optical gating module is not bounded to the radiance wavelength and positioned between the system optics and the sensor. This optical gating method supports the use of conventional solid state sensors. By selecting the appropriate solid state sensor, the new LGI systems can operate at any desired wavelength. In this paper we present the new gating method characteristics, performance and its advantages over the II gating method. The use of the gated imaging systems is described in a variety of applications, including results from latest field experiments.
Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's
National Research Council Canada - National Science Library
Sturm, James
2001-01-01
.... The scaling of vertical p-channel MOSFET's with the source and drain doped with boron during low temperature epitaxy is limited by the diffusion of boron during subsequent side wall gate oxidation...
Influence of plastic deformation on nitriding of a molybdenum-hafnium alloy
International Nuclear Information System (INIS)
Lakhtin, Yu.M.; Kogan, Ya.D.; Shashkov, D.P.; Likhacheva, T.E.
1982-01-01
The influence of a preliminary plastic strain on the structure and properties of molybdenum alloy with 0.2 wt.% Hf upon nitriding in the ammonia medium at 900-1200 deg C during 1-6 h is investigated. The study of microhardness distribution across the nitrided layer thickness has shown that with increase of the degree of preliminary plastic strain up to 50 % the nitrided layer hardness decreases and with further reduction growth up to 90 % - increases. Nitriding sharply (hundred times) increases wear resistance of molybdenum alloy with hafnium addition. At the reduction degree 25 % the wear resistance is less than at other values of percentage reduction in area owing to the minimum thickness of the nitride zone. The alloy strained before nitriding by 25 % has shown the best results during heat resistance testing
Liquid–Solid Dual-Gate Organic Transistors with Tunable Threshold Voltage for Cell Sensing
Zhang, Yu
2017-10-17
Liquid electrolyte-gated organic field effect transistors and organic electrochemical transistors have recently emerged as powerful technology platforms for sensing and simulation of living cells and organisms. For such applications, the transistors are operated at a gate voltage around or below 0.3 V because prolonged application of a higher voltage bias can lead to membrane rupturing and cell death. This constraint often prevents the operation of the transistors at their maximum transconductance or most sensitive regime. Here, we exploit a solid–liquid dual-gate organic transistor structure, where the threshold voltage of the liquid-gated conduction channel is controlled by an additional gate that is separated from the channel by a metal-oxide gate dielectric. With this design, the threshold voltage of the “sensing channel” can be linearly tuned in a voltage window exceeding 0.4 V. We have demonstrated that the dual-gate structure enables a much better sensor response to the detachment of human mesenchymal stem cells. In general, the capability of tuning the optimal sensing bias will not only improve the device performance but also broaden the material selection for cell-based organic bioelectronics.
Liquid-Solid Dual-Gate Organic Transistors with Tunable Threshold Voltage for Cell Sensing.
Zhang, Yu; Li, Jun; Li, Rui; Sbircea, Dan-Tiberiu; Giovannitti, Alexander; Xu, Junling; Xu, Huihua; Zhou, Guodong; Bian, Liming; McCulloch, Iain; Zhao, Ni
2017-11-08
Liquid electrolyte-gated organic field effect transistors and organic electrochemical transistors have recently emerged as powerful technology platforms for sensing and simulation of living cells and organisms. For such applications, the transistors are operated at a gate voltage around or below 0.3 V because prolonged application of a higher voltage bias can lead to membrane rupturing and cell death. This constraint often prevents the operation of the transistors at their maximum transconductance or most sensitive regime. Here, we exploit a solid-liquid dual-gate organic transistor structure, where the threshold voltage of the liquid-gated conduction channel is controlled by an additional gate that is separated from the channel by a metal-oxide gate dielectric. With this design, the threshold voltage of the "sensing channel" can be linearly tuned in a voltage window exceeding 0.4 V. We have demonstrated that the dual-gate structure enables a much better sensor response to the detachment of human mesenchymal stem cells. In general, the capability of tuning the optimal sensing bias will not only improve the device performance but also broaden the material selection for cell-based organic bioelectronics.
Dynamic gating window for compensation of baseline shift in respiratory-gated radiation therapy
International Nuclear Information System (INIS)
Pepin, Eric W.; Wu Huanmei; Shirato, Hiroki
2011-01-01
Purpose: To analyze and evaluate the necessity and use of dynamic gating techniques for compensation of baseline shift during respiratory-gated radiation therapy of lung tumors. Methods: Motion tracking data from 30 lung tumors over 592 treatment fractions were analyzed for baseline shift. The finite state model (FSM) was used to identify the end-of-exhale (EOE) breathing phase throughout each treatment fraction. Using duty cycle as an evaluation metric, several methods of end-of-exhale dynamic gating were compared: An a posteriori ideal gating window, a predictive trend-line-based gating window, and a predictive weighted point-based gating window. These methods were evaluated for each of several gating window types: Superior/inferior (SI) gating, anterior/posterior beam, lateral beam, and 3D gating. Results: In the absence of dynamic gating techniques, SI gating gave a 39.6% duty cycle. The ideal SI gating window yielded a 41.5% duty cycle. The weight-based method of dynamic SI gating yielded a duty cycle of 36.2%. The trend-line-based method yielded a duty cycle of 34.0%. Conclusions: Dynamic gating was not broadly beneficial due to a breakdown of the FSM's ability to identify the EOE phase. When the EOE phase was well defined, dynamic gating showed an improvement over static-window gating.
Simulation of 50-nm Gate Graphene Nanoribbon Transistors
Directory of Open Access Journals (Sweden)
Cedric Nanmeni Bondja
2016-01-01
Full Text Available An approach to simulate the steady-state and small-signal behavior of GNR MOSFETs (graphene nanoribbon metal-semiconductor-oxide field-effect transistor is presented. GNR material parameters and a method to account for the density of states of one-dimensional systems like GNRs are implemented in a commercial device simulator. This modified tool is used to calculate the current-voltage characteristics as well the cutoff frequency fT and the maximum frequency of oscillation fmax of GNR MOSFETs. Exemplarily, we consider 50-nm gate GNR MOSFETs with N = 7 armchair GNR channels and examine two transistor configurations. The first configuration is a simplified MOSFET structure with a single GNR channel as usually studied by other groups. Furthermore, and for the first time in the literature, we study in detail a transistor structure with multiple parallel GNR channels and interribbon gates. It is shown that the calculated fT of GNR MOSFETs is significantly lower than that of GFETs (FET with gapless large-area graphene channel with comparable gate length due to the mobility degradation in GNRs. On the other hand, GNR MOSFETs show much higher fmax compared to experimental GFETs due the semiconducting nature of the GNR channels and the resulting better saturation of the drain current. Finally, it is shown that the gate control in FETs with multiple parallel GNR channels is improved while the cutoff frequency is degraded compared to single-channel GNR MOSFETs due to parasitic capacitances of the interribbon gates.
Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs
International Nuclear Information System (INIS)
Mathew, Shajan; Bera, L.K.; Balasubramanian, N.; Joo, M.S.; Cho, B.J.
2004-01-01
NMOSFETs with Metalo-Organic Chemical Vapor Deposited (MOCVD) HfAlO gate dielectric and TiN metal gate have been fabricated. Channel electron mobility was measured using the split-CV method and compared with SiO 2 devices. All high-k devices showed lower mobility compared with SiO 2 reference devices. High-k MOSFETs exhibited significant charge trapping and threshold instability. Threshold voltage recovery with time was studied on devices with oxide/nitride interfacial layer between high-k film and silicon substrate
Energy Technology Data Exchange (ETDEWEB)
Ruiz Sanchez, F; Cruz Castillo, F. de la; Fernandez Cellini, R
1962-07-01
The zirconium and Hafnium oxides are obtained from a Spanish mineral of zircon with an average contest of 55% in ZrO{sub 2}-HfO{sub 2}. An alkaline fusion to open the mineral, followed by a purification by crystallization as (Zr O-Hf O)Cl{sub 2} H{sub 2}O or as (Zr-Hf) (SO{sub 4}){sub 2}. 4H{sub 2}O, is used. A discussion of the best experimental conditions for opening the mineral and of the purification method is made. (Author) 45 refs.
Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors
International Nuclear Information System (INIS)
Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang
2013-01-01
Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.
Energy Technology Data Exchange (ETDEWEB)
Orthmann, Steven
2017-11-02
By different synthesis routes the first oxide nitrides in the series scandium-niobium-oxygen-nitrogen could be synthesized and characterized. ScNb{sub 4}O{sub 7}N{sub 3}, which crystallizes in the rutile-type structure, exhibit a band gap of 2.62 eV after a short oxidation. Furthermore anion-deficit NbSc{sub 2}(O,N,□){sub 6} with fluorite-type structure could be synthesized. UV-Vis measurements point to a band gap of 3.36 eV after a short oxidation of the product. In the series zirconium-niobium-oxygen-nitrogen new oxides and oxide nitrides could be synthesized. By replacing zirconium with hafnium isotopical compounds with comparable composition could be obtained. The crystal structure of these new compounds is discussed respecting magnetism and the results of electron microscopy and neutron diffraction. By partially substitution of niobium with magnesium or zinc three additional oxide nitrides with the compositions Mg{sub 2}Nb{sub 4}O{sub 2}N{sub 5}, Zn{sub 2}NbO{sub 0,3}N{sub 2,8}, and ZnNb{sub 3}O{sub 0,1}N{sub 4,5}, showing a tetragonal Nb{sub 4}N{sub 5}-type structure, could be synthesized.
High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.
Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun
2012-08-01
A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.
Using a Floating-Gate MOS Transistor as a Transducer in a MEMS Gas Sensing System
Directory of Open Access Journals (Sweden)
Gaspar Casados-Cruz
2010-11-01
Full Text Available Floating-gate MOS transistors have been widely used in diverse analog and digital applications. One of these is as a charge sensitive device in sensors for pH measurement in solutions or using gates with metals like Pd or Pt for hydrogen sensing. Efforts are being made to monolithically integrate sensors together with controlling and signal processing electronics using standard technologies. This can be achieved with the demonstrated compatibility between available CMOS technology and MEMS technology. In this paper an in-depth analysis is done regarding the reliability of floating-gate MOS transistors when charge produced by a chemical reaction between metallic oxide thin films with either reducing or oxidizing gases is present. These chemical reactions need temperatures around 200 °C or higher to take place, so thermal insulation of the sensing area must be assured for appropriate operation of the electronics at room temperature. The operation principle of the proposal here presented is confirmed by connecting the gate of a conventional MOS transistor in series with a Fe2O3 layer. It is shown that an electrochemical potential is present on the ferrite layer when reacting with propane.
Visible-light-induced instability in amorphous metal-oxide based TFTs for transparent electronics
Directory of Open Access Journals (Sweden)
Tae-Jun Ha
2014-10-01
Full Text Available We investigate the origin of visible-light-induced instability in amorphous metal-oxide based thin film transistors (oxide-TFTs for transparent electronics by exploring the shift in threshold voltage (Vth. A large hysteresis window in amorphous indium-gallium-zinc-oxide (a-IGZO TFTs possessing large optical band-gap (≈3 eV was observed in a visible-light illuminated condition whereas no hysteresis window was shown in a dark measuring condition. We also report the instability caused by photo irradiation and prolonged gate bias stress in oxide-TFTs. Larger Vth shift was observed after photo-induced stress combined with a negative gate bias than the sum of that after only illumination stress and only negative gate bias stress. Such results can be explained by trapped charges at the interface of semiconductor/dielectric and/or in the gate dielectric which play a role in a screen effect on the electric field applied by gate voltage, for which we propose that the localized-states-assisted transitions by visible-light absorption can be responsible.
Visible-light-induced instability in amorphous metal-oxide based TFTs for transparent electronics
Energy Technology Data Exchange (ETDEWEB)
Ha, Tae-Jun [Department of Electronic Materials Engineering, Kwangwoon University, Seoul 139-701 (Korea, Republic of)
2014-10-15
We investigate the origin of visible-light-induced instability in amorphous metal-oxide based thin film transistors (oxide-TFTs) for transparent electronics by exploring the shift in threshold voltage (V{sub th}). A large hysteresis window in amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs possessing large optical band-gap (≈3 eV) was observed in a visible-light illuminated condition whereas no hysteresis window was shown in a dark measuring condition. We also report the instability caused by photo irradiation and prolonged gate bias stress in oxide-TFTs. Larger V{sub th} shift was observed after photo-induced stress combined with a negative gate bias than the sum of that after only illumination stress and only negative gate bias stress. Such results can be explained by trapped charges at the interface of semiconductor/dielectric and/or in the gate dielectric which play a role in a screen effect on the electric field applied by gate voltage, for which we propose that the localized-states-assisted transitions by visible-light absorption can be responsible.
Serializing off-the-shelf MOSFETs by Magnetically Coupling Their Gate Electrodes
DEFF Research Database (Denmark)
Dimopoulos, Emmanouil; Munk-Nielsen, Stig
2013-01-01
While the semiconductor industry struggles with the inherent trade-offs of solid-state devices, serialization of power switches, like the Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) or the Insulated Gate Bipolar Transistor (IGBT), has been proven to be an advantageous alternative...... to acquire a high-efficient, high-voltage, fast-switching device. More than twenty years of research, on the serialization of solid-state devices, have resulted into several different stacking concepts. Among the prevailing ones, the gate balancing core technique, which has demonstrated very good performance...... in strings of high-power IGBT modules. In this paper, the limitations of the gate balancing core technique, when employed to serialize low or medium power off-the-shelf switches, are identified via experimental results. A new design specification for the interwinding capacitance of the employed transformer...
International Nuclear Information System (INIS)
Sasaki, Jun; Hayashi, Kazunori; Sugiyama, Kenji; Ichiko, Osami; Hashiguchi, Yoshihiro
1992-01-01
Titanium, yttrium, molybdenum, silver, chromium, hafnium, tantalum, tungsten and platinum ions generated by a metal vapor vacuum arc (MEVVA) ion source were implanted into 440C stainless steel in the dose region 10 17 ions cm -2 with extraction voltages of up to 70 kV. Glow discharge spectroscopy (GDS), friction coefficient, and Vickers microhardness of the specimens were studied. Grooves made by friction tests were investigated by electron probe microanalysis (EPMA). GDS showed incorporation of carbon in the yttrium, hafnium, tantalum, tungsten and platinum implanted specimens, as well as titanium implanted samples. A large amount of oxygen was observed in the yttrium implanted specimen. The friction coefficient was measured by reciprocating sliding of an unimplanted 440C ball without lubricant at a load of 0.245 N. The friction decreased and achieved a stable state after implantation of titanium, hafnium and tantalum. The friction coefficient of the platinum implanted specimen showed a gradual decrease after several cycles of sliding at high friction coefficient. The yttrium implanted sample exhibited a decreased but slightly unstable friction coefficient. Results from EPMA showed that the implanted elements, which gave decreased friction, remained even after sliding of 200 cycles. Implantation of chromium, molybdenum, silver and tungsten did not provide a decrease in friction and the implants were gone from the wear grooves after the sliding tests. (orig.)
International Nuclear Information System (INIS)
Burger, Mario; Kraehenbuehl, Urs
1991-01-01
Neutron activation analysis (instrument or radiochemical) is suitable for the determination of zirconium and hafnium in samples of geochemical origin only when sufficient attention is paid to inter-fering nuclides. The size of the necessary correction for INAA depends on the composition of the sample; this problem is discussed. The radio-chemical technique which is recommended involves separation of the samples, precipitations and anion-exchange separation. Results are given for various standard reference materials and for meteorites. (author). 12 refs.; 1 fig.; 9 tabs
SiC Power MOSFET with Improved Gate Dielectric
Energy Technology Data Exchange (ETDEWEB)
Sbrockey, Nick M. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Tompa, Gary S. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Spencer, Michael G. [Structured Materials Industries, Inc., Piscataway, NJ (United States); Chandrashekhar, Chandra M.V. S. [Structured Materials Industries, Inc., Piscataway, NJ (United States)
2010-08-23
In this STTR program, Structured Materials Industries (SMI), and Cornell University are developing novel gate oxide technology, as a critical enabler for silicon carbide (SiC) devices. SiC is a wide bandgap semiconductor material, with many unique properties. SiC devices are ideally suited for high-power, highvoltage, high-frequency, high-temperature and radiation resistant applications. The DOE has expressed interest in developing SiC devices for use in extreme environments, in high energy physics applications and in power generation. The development of transistors based on the Metal Oxide Semiconductor Field Effect Transistor (MOSFET) structure will be critical to these applications.
Influence of the oxygen/argon ratio on the properties of sputtered hafnium oxide
International Nuclear Information System (INIS)
Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.
2005-01-01
In this work we have focused our attention on the role of the gas mixture (O 2 /Ar) used during HfO 2 thin film processing by r.f. magnetron sputtering, to produce dielectrics with suitable characteristics to be used as gate dielectric. Increasing the O 2 /Ar ratio from 0 to 0.2, the films properties (optical gap, permittivity, resistivity and compactness) are improved. At these conditions, films with a band gap around 5 eV were produced, indicating a good stoichiometry. Also the flat band voltage has a reduction of almost three times indicating also a reduction of the same order on the fixed charge density at the semiconductor-insulator interface. The dielectric constant is around 16 which is very good, since the surface of the silicon where the HfO 2 films were deposited contains a SiO 2 layer of about 3 nm that gives an effective dielectric constant above 20, close to the HfO 2 stoichiometric value (∼25). Further increase on the O 2 /Ar ratio does not produce significant improvements
Polymer-electrolyte-gated nanowire synaptic transistors for neuromorphic applications
Zou, Can; Sun, Jia; Gou, Guangyang; Kong, Ling-An; Qian, Chuan; Dai, Guozhang; Yang, Junliang; Guo, Guang-hua
2017-09-01
Polymer-electrolytes are formed by dissolving a salt in polymer instead of water, the conducting mechanism involves the segmental motion-assisted diffusion of ion in the polymer matrix. Here, we report on the fabrication of tin oxide (SnO2) nanowire synaptic transistors using polymer-electrolyte gating. A thin layer of poly(ethylene oxide) and lithium perchlorate (PEO/LiClO4) was deposited on top of the devices, which was used to boost device performances. A voltage spike applied on the in-plane gate attracts ions toward the polymer-electrolyte/SnO2 nanowire interface and the ions are gradually returned after the pulse is removed, which can induce a dynamic excitatory postsynaptic current in the nanowire channel. The SnO2 synaptic transistors exhibit the behavior of short-term plasticity like the paired-pulse facilitation and self-adaptation, which is related to the electric double-effect regulation. In addition, the synaptic logic functions and the logical function transformation are also discussed. Such single SnO2 nanowire-based synaptic transistors are of great importance for future neuromorphic devices.
International Nuclear Information System (INIS)
Rezaei, B.; Meghdadi, S.; Zarandi, R. Fazel
2008-01-01
In this study a new hafnium selective sensor was fabricated from polyvinylchloride (PVC) matrix membrane containing neutral carrier N,N'-bis(α-methyl-salicylidene)-dipropylenetriamine (Mesaldpt) as a new ionophore, sodium tetraphenyl borate (NaTPB) as anionic discriminator and dioctyl phthalate (DOP) as plasticizing solvent mediator in tetrahydrofuran solvent. The electrode exhibits Nernstian response for Hf 4+ (Hafnium(IV)) over a wide concentration range (2.0 x 10 -7 to 1.0 x 10 -1 M) with the determination coefficient of 0.9966 and slope of 15.1 ± 0.1 mV decades -1 . The limit of detection is 1.9 x 10 -7 M. The electrode has a fast response time of 18 s and a working pH range of 4-8. The proposed membrane shows excellent discriminating ability towards Hf 4+ ion with regard to several alkali, alkaline earth transition and heavy metal ions. It can be used over a period of 1.5 months with good reproducibility. It is successfully applied for direct determination of Hf 4+ in solutions by standard addition method for real sample analysis
Energy Technology Data Exchange (ETDEWEB)
Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)
2014-10-31
In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.
Cadilha Marques, Gabriel; Garlapati, Suresh Kumar; Dehm, Simone; Dasgupta, Subho; Hahn, Horst; Tahoori, Mehdi; Aghassi-Hagmann, Jasmin
2017-09-01
Printed electronic components offer certain technological advantages over their silicon based counterparts, like mechanical flexibility, low process temperatures, maskless and additive manufacturing possibilities. However, to be compatible to the fields of smart sensors, Internet of Things, and wearables, it is essential that devices operate at small supply voltages. In printed electronics, mostly silicon dioxide or organic dielectrics with low dielectric constants have been used as gate isolators, which in turn have resulted in high power transistors operable only at tens of volts. Here, we present inkjet printed circuits which are able to operate at supply voltages as low as ≤2 V. Our transistor technology is based on lithographically patterned drive electrodes, the dimensions of which are carefully kept well within the printing resolutions; the oxide semiconductor, the electrolytic insulator and the top-gate electrodes have been inkjet printed. Our inverters show a gain of ˜4 and 2.3 ms propagation delay time at 1 V supply voltage. Subsequently built 3-stage ring oscillators start to oscillate at a supply voltage of only 0.6 V with a frequency of ˜255 Hz and can reach frequencies up to ˜350 Hz at 2 V supply voltage. Furthermore, we have introduced a systematic methodology for characterizing ring oscillators in the printed electronics domain, which has been largely missing. Benefiting from this procedure, we are now able to predict the switching capacitance and driver capability at each stage, as well as the power consumption of our inkjet printed ring oscillators. These achievements will be essential for analyzing the performance and power characteristics of future inkjet printed digital circuits.
Proton and O sup(2-) ion diffusion studied by γ-γ angular correlation and by impedance spectroscopy
International Nuclear Information System (INIS)
Oliveira Damasceno, O. de.
1988-01-01
The interaction of sup(181) Ta quadrupole momentum with electric field gradient was measured by perturbed angular correlation spectroscopy in polycrystalline samples of hafnium hydride and hafnium oxide. The measurements were done as function of the temperature, in cubic and tetragonal phases of hafnium hydride. In the cubic phase, spin relaxation effects related to proton diffusion with activation energy of 0.43 ± 0.05eV were observed, and in the tetragonal phase, the interaction was purely static. The hafnium oxide was studied in cubic phase stabilized by addition of calcium oxide or magnesium oxide. Relaxation effects probably due to O sup(2-) ion diffusion were verified. The protonic conduction in K H sub(2) PO sub(4) was studied by impedance spectroscopy, in pellets prepared by melting or powder compression using silver and platinum electrodes. In the case of silver electrodes, in the low frequency region, the impedance spectrum tends to a 45 sup(0) typical line of the diffusion process. It was attributed to hydrogen injection in the electrode. Heating k H sub(2) PO sub(4) at about 230 sup(0)C modifies significantly the electric properties. Two relaxation annealing reduced conductivities to constant values independently of preparation method. (author)
International Nuclear Information System (INIS)
Meskin, Pavel E.; Sharikov, Felix Yu.; Ivanov, Vladimir K.; Churagulov, Bulat R.; Tretyakov, Yury D.
2007-01-01
Peculiarities of hafnium hydroxide hydrothermal decomposition were studied by in situ heat flux calorimetry for the first time. It was shown that this process occurs in one exothermal stage (ΔH = -17.95 kJ mol -1 ) at 180-250 deg. C resulting in complete crystallization of amorphous phase with formation of pure monoclinic HfO 2 . It was found that the rate of m-HfO 2 formation can be significantly increased by combining hydrothermal treatment with simultaneous ultrasonic activation
Organic/inorganic hybrid synaptic transistors gated by proton conducting methylcellulose films
International Nuclear Information System (INIS)
Wan, Chang Jin; Wan, Qing; Zhu, Li Qiang; Wan, Xiang; Shi, Yi
2016-01-01
The idea of building a brain-inspired cognitive system has been around for several decades. Recently, electric-double-layer transistors gated by ion conducting electrolytes were reported as the promising candidates for synaptic electronics and neuromorphic system. In this letter, indium-zinc-oxide transistors gated by proton conducting methylcellulose electrolyte films were experimentally demonstrated with synaptic plasticity including paired-pulse facilitation and spatiotemporal-correlated dynamic logic. More importantly, a model based on proton-related electric-double-layer modulation and stretched-exponential decay function was proposed, and the theoretical results are in good agreement with the experimentally measured synaptic behaviors
Organic/inorganic hybrid synaptic transistors gated by proton conducting methylcellulose films
Energy Technology Data Exchange (ETDEWEB)
Wan, Chang Jin; Wan, Qing, E-mail: wanqing@nju.edu.cn, E-mail: yshi@nju.edu.cn [School of Electronic Science & Engineering, and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhu, Li Qiang [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wan, Xiang; Shi, Yi, E-mail: wanqing@nju.edu.cn, E-mail: yshi@nju.edu.cn [School of Electronic Science & Engineering, and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China)
2016-01-25
The idea of building a brain-inspired cognitive system has been around for several decades. Recently, electric-double-layer transistors gated by ion conducting electrolytes were reported as the promising candidates for synaptic electronics and neuromorphic system. In this letter, indium-zinc-oxide transistors gated by proton conducting methylcellulose electrolyte films were experimentally demonstrated with synaptic plasticity including paired-pulse facilitation and spatiotemporal-correlated dynamic logic. More importantly, a model based on proton-related electric-double-layer modulation and stretched-exponential decay function was proposed, and the theoretical results are in good agreement with the experimentally measured synaptic behaviors.
Improvement of aging kinetics and precipitate size refinement in Mg–Sn alloys by hafnium additions
Energy Technology Data Exchange (ETDEWEB)
Behdad, S. [Department of Mechanical and Materials Engineering, Florida International University, Miami, FL 33174 (United States); Zhou, L. [Department of Materials Science and Engineering and Advanced Materials Processing and Analysis Center, University of Central Florida, Orlando, FL 32816 (United States); Henderson, H.B.; Manuel, M.V. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Sohn, Y. [Department of Materials Science and Engineering and Advanced Materials Processing and Analysis Center, University of Central Florida, Orlando, FL 32816 (United States); Agarwal, A. [Department of Mechanical and Materials Engineering, Florida International University, Miami, FL 33174 (United States); Boesl, B., E-mail: bboesl@fiu.edu [Department of Mechanical and Materials Engineering, Florida International University, Miami, FL 33174 (United States)
2016-01-10
Two Mg–Sn alloys were microalloyed by addition of Hafnium and their age-hardening response was studied at 200 °C. Time to reach peak hardness was significantly reduced and improved by Hf addition. TEM study showed Hf clusters in the close vicinity and at the surface of Mg{sub 2}Sn precipitates, which confirms that Hf clusters act as additional nucleation centers for Mg{sub 2}Sn precipitate formation. Our results support the validity of thermokinetic criterion proposed by Mendis for selection of microalloying elements in order to refine precipitate size, accelerate aging kinetics and enhance peak hardness.
International Nuclear Information System (INIS)
Suwono.
1978-01-01
A linear gate providing a variable gate duration from 0,40μsec to 4μsec was developed. The electronic circuity consists of a linear circuit and an enable circuit. The input signal can be either unipolar or bipolar. If the input signal is bipolar, the negative portion will be filtered. The operation of the linear gate is controlled by the application of a positive enable pulse. (author)
International Nuclear Information System (INIS)
Haire, R.G.; Raison, P.E.
2000-01-01
Zirconium- and hafnium-based oxide materials have gained attraction for various nuclear applications. These materials have features in common with one of the early, well-publicized inorganic ceramics for immobilizing nuclear waste. Our interests have addressed the fundamental structural and chemical properties of these oxide systems. We pursued both the crystal chemical constraints of the oxide matrices, as well as the importance of the chemistry of the f-elements. By incorporating five actinide elements in our studies, we were able to compare systematically the materials science of these materials with the fundamental chemistry and electronic configurations of these actinides employed. It is expected that this basic information will be useful technologically in the realm of tailor-made materials for different applications
Ichimura, Takashi; Fujiwara, Kohei; Tanaka, Hidekazu
2014-07-24
Controlling the electronic properties of functional oxide materials via external electric fields has attracted increasing attention as a key technology for next-generation electronics. For transition-metal oxides with metallic carrier densities, the electric-field effect with ionic liquid electrolytes has been widely used because of the enormous carrier doping capabilities. The gate-induced redox reactions revealed by recent investigations have, however, highlighted the complex nature of the electric-field effect. Here, we use the gate-induced conductance modulation of spinel ZnxFe₃₋xO₄ to demonstrate the dual contributions of volatile and non-volatile field effects arising from electronic carrier doping and redox reactions. These two contributions are found to change in opposite senses depending on the Zn content x; virtual electronic and chemical field effects are observed at appropriate Zn compositions. The tuning of field-effect characteristics via composition engineering should be extremely useful for fabricating high-performance oxide field-effect devices.
GS Department
2009-01-01
Please note the new opening hours of the gates as well as the intersites tunnel from the 19 May 2009: GATE A 7h - 19h GATE B 24h/24 GATE C 7h - 9h\t17h - 19h GATE D 8h - 12h\t13h - 16h GATE E 7h - 9h\t17h - 19h Prévessin 24h/24 The intersites tunnel will be opened from 7h30 to 18h non stop. GS-SEM Group Infrastructure and General Services Department
ISAC's Gating-ML 2.0 data exchange standard for gating description.
Spidlen, Josef; Moore, Wayne; Brinkman, Ryan R
2015-07-01
The lack of software interoperability with respect to gating has traditionally been a bottleneck preventing the use of multiple analytical tools and reproducibility of flow cytometry data analysis by independent parties. To address this issue, ISAC developed Gating-ML, a computer file format to encode and interchange gates. Gating-ML 1.5 was adopted and published as an ISAC Candidate Recommendation in 2008. Feedback during the probationary period from implementors, including major commercial software companies, instrument vendors, and the wider community, has led to a streamlined Gating-ML 2.0. Gating-ML has been significantly simplified and therefore easier to support by software tools. To aid developers, free, open source reference implementations, compliance tests, and detailed examples are provided to stimulate further commercial adoption. ISAC has approved Gating-ML as a standard ready for deployment in the public domain and encourages its support within the community as it is at a mature stage of development having undergone extensive review and testing, under both theoretical and practical conditions. © 2015 International Society for Advancement of Cytometry.
Gate dielectric strength dependent performance of CNT MOSFET and CNT TFET: A tight binding study
Directory of Open Access Journals (Sweden)
Md. Shamim Sarker
Full Text Available This paper presents a comparative study between CNT MOSFET and CNT TFET taking into account of different dielectric strength of gate oxide materials. Here we have studied the transfer characteristics, on/off current (ION/IOFF ratio and subthreshold slope of the device using Non Equilibrium Greens Function (NEGF formalism in tight binding frameworks. The results are obtained by solving the NEGF and Poisson’s equation self-consistently in NanoTCADViDES environment and found that the ON state performance of CNT MOSFET and CNT TFET have significant dependency on the dielectric strength of the gate oxide materials. The figure of merits of the devices also demonstrates that the CNT TFET is promising for high-speed and low-power logic applications. Keywords: CNT TFET, Subthreshold slop, Barrier width, Conduction band (C.B and Valance band (V.B, Oxide dielectric strength, Tight binding approach
International Nuclear Information System (INIS)
Vedam, S.; Archambault, L.; Starkschall, G.; Mohan, R.; Beddar, S.
2007-01-01
Four-dimensional (4D) computed tomography (CT) imaging has found increasing importance in the localization of tumor and surrounding normal structures throughout the respiratory cycle. Based on such tumor motion information, it is possible to identify the appropriate phase interval for respiratory gated treatment planning and delivery. Such a gating phase interval is determined retrospectively based on tumor motion from internal tumor displacement. However, respiratory-gated treatment is delivered prospectively based on motion determined predominantly from an external monitor. Therefore, the simulation gate threshold determined from the retrospective phase interval selected for gating at 4D CT simulation may not correspond to the delivery gate threshold that is determined from the prospective external monitor displacement at treatment delivery. The purpose of the present work is to establish a relationship between the thresholds for respiratory gating determined at CT simulation and treatment delivery, respectively. One hundred fifty external respiratory motion traces, from 90 patients, with and without audio-visual biofeedback, are analyzed. Two respiratory phase intervals, 40%-60% and 30%-70%, are chosen for respiratory gating from the 4D CT-derived tumor motion trajectory. From residual tumor displacements within each such gating phase interval, a simulation gate threshold is defined based on (a) the average and (b) the maximum respiratory displacement within the phase interval. The duty cycle for prospective gated delivery is estimated from the proportion of external monitor displacement data points within both the selected phase interval and the simulation gate threshold. The delivery gate threshold is then determined iteratively to match the above determined duty cycle. The magnitude of the difference between such gate thresholds determined at simulation and treatment delivery is quantified in each case. Phantom motion tests yielded coincidence of simulation
Nano-CMOS gate dielectric engineering
Wong, Hei
2011-01-01
According to Moore's Law, not only does the number of transistors in an integrated circuit double every two years, but transistor size also decreases at a predictable rate. At the rate we are going, the downsizing of CMOS transistors will reach the deca-nanometer scale by 2020. Accordingly, the gate dielectric thickness will be shrunk to less than half-nanometer oxide equivalent thickness (EOT) to maintain proper operation of the transistors, leaving high-k materials as the only viable solution for such small-scale EOT. This comprehensive, up-to-date text covering the physics, materials, devic
Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics
Alshammari, Fwzah Hamud
2017-08-04
Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93% transparency in most of the visible range of the electromagnetic spectrum. Thin-film transistors fabricated with SnO2 gates show excellent transistor properties including saturation mobility of 15.3 cm2 V−1 s−1, a low subthreshold swing of ≈130 mV dec−1, a high on/off ratio of ≈109, and an excellent electrical stability under constant-voltage stressing conditions to the gate terminal. Moreover, the SnO2-gated thin-film transistors show excellent electrical characteristics when used in electronic circuits such as negative channel metal oxide semiconductor (NMOS) inverters and ring oscillators. The NMOS inverters exhibit a low propagation stage delay of ≈150 ns with high DC voltage gain of ≈382. A high oscillation frequency of ≈303 kHz is obtained from the output sinusoidal signal of the 11-stage NMOS inverter-based ring oscillators. These results show that SnO2 can effectively replace ITO in transparent electronics and sensor applications.
Materials Fundamentals of Gate Dielectrics
Demkov, Alexander A
2006-01-01
This book presents materials fundamentals of novel gate dielectrics that are being introduced into semiconductor manufacturing to ensure the continuous scalling of the CMOS devices. This is a very fast evolving field of research so we choose to focus on the basic understanding of the structure, thermodunamics, and electronic properties of these materials that determine their performance in device applications. Most of these materials are transition metal oxides. Ironically, the d-orbitals responsible for the high dielectric constant cause sever integration difficulties thus intrinsically limiting high-k dielectrics. Though new in the electronics industry many of these materials are wel known in the field of ceramics, and we describe this unique connection. The complexity of the structure-property relations in TM oxides makes the use of the state of the art first-principles calculations necessary. Several chapters give a detailed description of the modern theory of polarization, and heterojunction band discont...
International Nuclear Information System (INIS)
Hala, J.; Piperkovova, H.
1979-01-01
The extraction of hafnium(IV) by heptane and toluene solutions of dinonylnaphthalene sulfonic acid (HD) from mixed aqueous-organic solutions has been studied. Alcohols, ketones, carboxylic acids, cyclic ethers, dimethylsulfoxide and dimethylformamide were used as the organic component of the mixed phase. Methanol, ethanol, formic acid and dioxane increased the extractability of Hf(IV) whereas other solvents showed only an antagonistic effect. The results were discussed from the point of view of the changes in micellar structure of HD, and compared with the uptake of Hf(IV) by resinous cation exchangers. The solubilization by HD of alcohols, carboxylic acids and dimethylsulfoxide was demonstrated by using the corresponding 14 C and 35 S labelled compounds. (author)
Analyzing the effect of gate dielectric on the leakage currents
Directory of Open Access Journals (Sweden)
Sakshi
2016-01-01
Full Text Available An analytical threshold voltage model for MOSFETs has been developed using different gate dielectric oxides by using MATLAB software. This paper explains the dependency of threshold voltage on the dielectric material. The variation in the subthreshold currents with the change in the threshold voltage sue to the change of dielectric material has also been studied.
A low on-resistance SOI LDMOS using a trench gate and a recessed drain
International Nuclear Information System (INIS)
Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji
2012-01-01
An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)
Directory of Open Access Journals (Sweden)
Md. Kamrul Hassan
2017-08-01
Full Text Available Quantum-dot cellular automata (QCA is a developing nanotechnology, which seems to be a good candidate to replace the conventional complementary metal-oxide-semiconductor (CMOS technology. In this article, we present the dataset of average output polarization (AOP for basic reversible logic gates presented in Ali Newaz et al. (2016 [1]. QCADesigner 2.0.3 has been employed to analysis the AOP of reversible gates at different temperature levels in Kelvin (K unit.
Energy Technology Data Exchange (ETDEWEB)
Mani-Gonzalez, Pierre Giovanni [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Física y Matemáticas, Instituto de Ingeniería y Tecnología, Universidad Autónoma de Ciudad Juárez, Ave. Del Charro 450, Cd. Juárez C.P. 32310, Chihuahua (Mexico); Vazquez-Lepe, Milton Oswaldo [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro, Mexico and Departamento de Ingeniería de Proyectos, Universidad de Guadalajara, Guadalajara 45100, Jalisco (Mexico); Herrera-Gomez, Alberto, E-mail: aherrera@qro.cinvestav.mx [CINVESTAV-Unidad Querétaro, Querétaro 76230, Querétaro (Mexico)
2015-01-15
Hafnium oxide nanofilms were grown with atomic layer deposition on H-terminated Si (001) wafers employing tetrakis dimethyl amino hafnium (TDMA-Hf) and water as precursors. While the number of cycles (30) and the aperture-time for TDMA-Hf (0.08 s) were kept constant, the aperture-time (τ{sub H{sub 2O}}) for the oxidant-agent (H{sub 2}O) was varied from 0 to 0.10 s. The structure of the films was characterized with robust analysis employing angle-resolved x-ray photoelectron spectroscopy. In addition to a ∼1 nm hafnium oxide layer, a hafnium silicate interface layer, also ∼1 nm thick, is formed for τ{sub H{sub 2O}} > 0. The incorporation degree of silicon into the interface layer (i.e., the value of 1 − x in Hf{sub x}Si{sub 1−x}O{sub y}) shows a minimum of 0.32 for τ{sub H{sub 2O}} = 0.04 s. By employing the simultaneous method during peak-fitting analysis, it was possible to clearly resolve the contribution from the silicate and from oxide to the O 1s spectra, allowing for the assessment of the oxygen composition of each layer as a function of oxidant aperture time. The uncertainties of the peak areas and on the thickness and composition of the layers were calculated employing a rigorous approach.
International Nuclear Information System (INIS)
Kong Xin; Wei Ke; Liu Guo-Guo; Liu Xin-Yu
2012-01-01
Gate-recessed AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) on sapphire substrates are fabricated. The devices with a gate length of 160 nm and a gate periphery of 2 × 75 μm exhibit two orders of magnitude reduction in gate leakage current and enhanced off-state breakdown characteristics, compared with conventional HEMTs. Furthermore, the extrinsic transconductance of an MOSHEMT is 237.2 mS/mm, only 7% lower than that of Schottky-gate HEMT. An extrinsic current gain cutoff frequency f T of 65 GHz and a maximum oscillation frequency f max of 123 GHz are deduced from rf small signal measurements. The high f max demonstrates that gate-recessed MOSHEMTs are of great potential in millimeter wave frequencies. (cross-disciplinary physics and related areas of science and technology)
Gate current for p+-poly PMOS devices under gate injection conditions
Hof, A.J.; Holleman, J.; Woerlee, P.H.
2001-01-01
In current CMOS processing both n+-poly and p+-poly gates are used. The I-V –relationship and reliability of n+-poly devices are widely studied and well understood. Gate currents and reliability for p+-poly PMOS devices under gate injection conditions are not well understood. In this paper, the
Energy Technology Data Exchange (ETDEWEB)
Ceschia, M.; Paccagnella, A.; Sandrin, S. [Universita di Padova, Dipt. di Elettronica e Informatica, Padova (Italy); Paccagnella, A. [Istituto Nazionale per la Fisica della Materia, INFM, Unita di Padova (Italy); Ghidini, G. [ST-Microelectronics, Agrate Brianza (Italy); Wyss, J. [Universita di Padova, Dipt. di Fisica, Padova (Italy)
1999-07-01
In contemporary CMOS 0.25-{mu}m technologies, the MOS gate oxide (thickness {approx_equal} 5 nm) shows a low-field leakage current after radiation stresses, i.e. the radiation induced leakage current (RILC). RILC is generally attributed to a trap assisted tunneling (TAT) of electrons through neutral oxide traps generated by radiation stress. RILC has been investigated on ultra-thin oxides irradiated with 158 MeV {sup 28}Si ions or 8 MeV electrons. 3 main results are worth being quoted: 1) ion or electron beam irradiation can produce RILC with similar characteristics. Even the dose dependence of RILC is similar in the 2 cases, despite the large LET difference (about a factor of 10{sup +4}), 2) RILC is not a constant as a function of time, it tends to decrease when an oxide field (few MV/cm) is applied for (tens of) thousands seconds. On the other hand, RILC stays constant in devices kept at low bias, and 3) if a pulsed gate voltage is applied during irradiation, RILC is reduced with respect to the zero-field case. (A.C.)
Multiple Independent Gate FETs: How Many Gates Do We Need?
Amarù, Luca; Hills, Gage; Gaillardon, Pierre-Emmanuel; Mitra, Subhasish; De Micheli, Giovanni
2015-01-01
Multiple Independent Gate Field Effect Transistors (MIGFETs) are expected to push FET technology further into the semiconductor roadmap. In a MIGFET, supplementary gates either provide (i) enhanced conduction properties or (ii) more intelligent switching functions. In general, each additional gate also introduces a side implementation cost. To enable more efficient digital systems, MIGFETs must leverage their expressive power to realize complex logic circuits with few physical resources. Rese...
A 2D analytical cylindrical gate tunnel FET (CG-TFET) model: impact of shortest tunneling distance
Dash, S.; Mishra, G. P.
2015-09-01
A 2D analytical tunnel field-effect transistor (FET) potential model with cylindrical gate (CG-TFET) based on the solution of Laplace’s equation is proposed. The band-to-band tunneling (BTBT) current is derived by the help of lateral electric field and the shortest tunneling distance. However, the analysis is extended to obtain the subthreshold swing (SS) and transfer characteristics of the device. The dependency of drain current, SS and transconductance on gate voltage and shortest tunneling distance is discussed. Also, the effect of scaling the gate oxide thickness and the cylindrical body diameter on the electrical parameters of the device is analyzed.
A 2D analytical cylindrical gate tunnel FET (CG-TFET) model: impact of shortest tunneling distance
International Nuclear Information System (INIS)
Dash, S; Mishra, G P
2015-01-01
A 2D analytical tunnel field-effect transistor (FET) potential model with cylindrical gate (CG-TFET) based on the solution of Laplace’s equation is proposed. The band-to-band tunneling (BTBT) current is derived by the help of lateral electric field and the shortest tunneling distance. However, the analysis is extended to obtain the subthreshold swing (SS) and transfer characteristics of the device. The dependency of drain current, SS and transconductance on gate voltage and shortest tunneling distance is discussed. Also, the effect of scaling the gate oxide thickness and the cylindrical body diameter on the electrical parameters of the device is analyzed. (paper)
The optimal design of 15 nm gate-length junctionless SOI FinFETs for reducing leakage current
International Nuclear Information System (INIS)
Liu, Xi; Wu, Meile; Jin, Xiaoshi; Chuai, Rongyan; Lee, Jung-Hee; Lee, Jong-Ho
2013-01-01
Junctionless (JL) transistors need to be heavily doped to have large drain current in the ON-state, which engenders the effect of band-to-band tunneling (BTBT) in the OFF-state simultaneously. It causes an obvious increase of the leakage current in the OFF-state. This paper presents an effective method of reducing the leakage current by changing the geometrical shape and dimension of the oxide layer under the edge of the gate. The optimal design of 15 nm gate-length JL silicon-on-insulator FinFETs with the triple-gate structure is performed for reducing the effect of BTBT through simulation and analysis by this means. (paper)
Tian, Lu; Dai, Zhichao; Liu, Xiangli; Song, Bo; Ye, Zhiqiang; Yuan, Jingli
2015-11-03
Using apoferritin (AFt) as a carrier, a novel ratiometric luminescence probe based on luminescence resonance energy transfer (LRET) between a Tb(3+) complex (PTTA-Tb(3+)) and a rhodamine derivative (Rh-NO), PTTA-Tb(3+)@AFt-Rh-NO, has been designed and prepared for the specific recognition and time-gated luminescence detection of nitric oxide (NO) in living samples. In this LRET probe, PTTA-Tb(3+) encapsulated in the core of AFt is the energy donor, and Rh-NO, a NO-responsive rhodamine derivative, bound on the surface of AFt is the energy acceptor. The probe only emits strong Tb(3+) luminescence because the emission of rhodamine is switched off in the absence of NO. Upon reaction with NO, accompanied by the turn-on of rhodamine emission, the LRET from Tb(3+) complex to rhodamine occurs, which results in the remarkable increase and decrease of the long-lived emissions of rhodamine and PTTA-Tb(3+), respectively. After the reaction, the intensity ratio of rhodamine emission to Tb(3+) emission, I565/I539, is ∼24.5-fold increased, and the dose-dependent enhancement of I565/I539 shows a good linearity in a wide concentration range of NO. This unique luminescence response allowed PTTA-Tb(3+)@AFt-Rh-NO to be conveniently used as a ratiometric probe for the time-gated luminescence detection of NO with I565/I539 as a signal. Taking advantages of high specificity and sensitivity of the probe as well as its good water-solubility, biocompatibility, and cell membrane permeability, PTTA-Tb(3+)@AFt-Rh-NO was successfully used for the luminescent imaging of NO in living cells and Daphnia magna. The results demonstrated the efficacy of the probe and highlighted it's advantages for the ratiometric time-gated luminescence bioimaging application.
Shahid, Muhammad
2013-07-14
Copper vanadate (CVO) nanowires were grown on Si/SiO2 substrates by thermal annealing technique. A thin film of a CVO precursor at 550 C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO2/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices. © 2013 Springer Science+Business Media Dordrecht.
Energy Technology Data Exchange (ETDEWEB)
Shahid, Muhammad, E-mail: shahid@skku.edu [King Abdullah University of Science and Technology, Material Science and Engineering (Saudi Arabia); Nafady, Ayman [King Saud University, Department of Chemistry, College of Science (Saudi Arabia); Shakir, Imran; Rana, Usman Ali; Sarfraz, Mansoor [King Saud University, Sustainable Energy Technologies (SET) Center, College of Engineering (Saudi Arabia); Warsi, Muhammad Farooq [The Islamia University of Bahawalpur, Department of Chemistry (Pakistan); Hussain, Rafaqat [Universiti Teknologi Malaysia, Ibnu Sina Institute for Fundamental Science Studies (Malaysia); Ashiq, Muhammad Naeem [Bahauddin Zakaryia University, Institute of Chemical Sciences (Pakistan)
2013-08-15
Copper vanadate (CVO) nanowires were grown on Si/SiO{sub 2} substrates by thermal annealing technique. A thin film of a CVO precursor at 550 Degree-Sign C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO{sub 2}/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices.
Shahid, Muhammad; Nafady, Ayman; Shakir, Imran; Rana, Usman Ali; Sarfraz, Mansoor M.; Warsi, Muhammad Farooq; Hussain, Rafaqat; Ashiq, Muhammad Naeem
2013-01-01
Copper vanadate (CVO) nanowires were grown on Si/SiO2 substrates by thermal annealing technique. A thin film of a CVO precursor at 550 C under an ambient atmosphere could also be prepared. The electrical properties of the nanowires embedded in the dielectrical layer were examined by capacitance-voltage (C-V) measurements. The C-V curves for Au/CVO nanowires embedded in an hafnium oxide layer/SiO2/p-Si capacitor at 298 K showed a clockwise hysteresis loop when the gate bias was swept cyclically. The hysteresis characteristics were studied further at different frequencies, which clearly indicated that the traps in the nanowires have a large charging-discharging time and thus the as-synthesized nanowires can be utilized for electrical charge storage devices. © 2013 Springer Science+Business Media Dordrecht.
Dual-Gate p-GaN Gate High Electron Mobility Transistors for Steep Subthreshold Slope.
Bae, Jong-Ho; Lee, Jong-Ho
2016-05-01
A steep subthreshold slope characteristic is achieved through p-GaN gate HEMT with dual-gate structure. Obtained subthreshold slope is less than 120 μV/dec. Based on the measured and simulated data obtained from single-gate device, breakdown of parasitic floating-base bipolar transistor and floating gate charged with holes are responsible to increase abruptly in drain current. In the dual-gate device, on-current degrades with high temperature but subthreshold slope is not changed. To observe the switching speed of dual-gate device and transient response of drain current are measured. According to the transient responses of drain current, switching speed of the dual-gate device is about 10(-5) sec.
Effect of gate dielectrics on the performance of p-type Cu2O TFTs processed at room temperature
Al-Jawhari, Hala A.
2013-12-01
Single-phase Cu2O films with p-type semiconducting properties were successfully deposited by reactive DC magnetron sputtering at room temperature followed by post annealing process at 200°C. Subsequently, such films were used to fabricate bottom gate p-channel Cu2O thin film transistors (TFTs). The effect of using high-κ SrTiO3 (STO) as a gate dielectric on the Cu2O TFT performance was investigated. The results were then compared to our baseline process which uses a 220 nm aluminum titanium oxide (ATO) dielectric deposited on a glass substrate coated with a 200 nm indium tin oxide (ITO) gate electrode. We found that with a 150 nm thick STO, the Cu2O TFTs exhibited a p-type behavior with a field-effect mobility of 0.54 cm2.V-1.s-1, an on/off ratio of around 44, threshold voltage equaling -0.62 V and a sub threshold swing of 1.64 V/dec. These values were obtained at a low operating voltage of -2V. The advantages of using STO as a gate dielectric relative to ATO are discussed. © (2014) Trans Tech Publications, Switzerland.
International Nuclear Information System (INIS)
Briere, M.A.
1993-07-01
Resonant nuclear reaction analysis, using the 1 H( 15 N, αγ) 12 C reaction at 6.4 MeV, has been successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal-oxide-silicon structures. A preliminary study of the influence of processing parameters on the H content of thermal oxides, with and without gate material present, has been performed. It is found that the dominant source of hydrogen in Al gate devices and dry oxides is often contamination, likely in the form of adsorbed water vapor, formed upon exposure to room air after removal from the oxidation furnace. Concentrations of hydrogen in the bulk oxide as high as 3 10 20 cm -3 (Al gate), and as low as 1 10 18 cm -3 (poly Si-gate) have been observed. Hydrogen accumulation at the Si-SiO 2 interface has been reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H 2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon-oxide interface during NRA, has been observed and intensively investigated. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong support for the important role of hydrogen in determining the radiation sensitivity of electronic devices. (orig.)
Top-gate pentacene-based organic field-effect transistor with amorphous rubrene gate insulator
Hiroki, Mizuha; Maeda, Yasutaka; Ohmi, Shun-ichiro
2018-02-01
The scaling of organic field-effect transistors (OFETs) is necessary for high-density integration and for this, OFETs with a top-gate configuration are required. There have been several reports of damageless lithography processes for organic semiconductor or insulator layers. However, it is still difficult to fabricate scaled OFETs with a top-gate configuration. In this study, the lift-off process and the device characteristics of the OFETs with a top-gate configuration utilizing an amorphous (α) rubrene gate insulator were investigated. We have confirmed that α-rubrene shows an insulating property, and its extracted linear mobility was 2.5 × 10-2 cm2/(V·s). The gate length and width were 10 and 60 µm, respectively. From these results, the OFET with a top-gate configuration utilizing an α-rubrene gate insulator is promising for the high-density integration of scaled OFETs.
Junctionless Thin-Film Transistors Gated by an H₃PO₄-Incorporated Chitosan Proton Conductor.
Liu, Huixuan; Xun, Damao
2018-04-01
We fabricated an H3PO4-incorporated chitosan proton conductor film that exhibited the electric double layer effect and showed a high specific capacitance of 4.42 μF/cm2. Transparent indium tin oxide thin-film transistors gated by H3PO4-incorporated chitosan films were fabricated by sputtering through a shadow mask. The operating voltage was as low as 1.2 V because of the high specific capacitance of the H3PO4-incorporated chitosan dielectrics. The junctionless transparent indium tin oxide thin film transistors exhibited good performance, including an estimated current on/off ratio and field-effect mobility of 1.2 × 106 and 6.63 cm2V-1s-1, respectively. These low-voltage thin-film electric-double-layer transistors gated by H3PO4-incorporated chitosan are promising for next generation battery-powered "see-through" portable sensors.
pH sensor using AlGaN/GaN high electron mobility transistors with Sc2O3 in the gate region
International Nuclear Information System (INIS)
Kang, B. S.; Wang, H. T.; Ren, F.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.; Johnson, J. W.; Rajagopal, P.; Roberts, J. C.; Piner, E. L.; Linthicum, K. J.
2007-01-01
Ungated AlGaN/GaN high electron mobility transistors (HEMTs) exhibit large changes in current upon exposing the gate region to polar liquids. The polar nature of the electrolyte introduced leds to a change of surface charges, producing a change in surface potential at the semiconductor/liquid interface. The use of Sc 2 O 3 gate dielectric produced superior results to either a native oxide or UV ozone-induced oxide in the gate region. The ungated HEMTs with Sc 2 O 3 in the gate region exhibited a linear change in current between pH 3 and 10 of 37 μA/pH. The HEMT pH sensors show stable operation with a resolution of <0.1 pH over the entire pH range. The results indicate that the HEMTs may have application in monitoring pH solution changes between 7 and 8, the range of interest for testing human blood
Energy Technology Data Exchange (ETDEWEB)
Zou Xiao [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Department of Electromachine Engineering, Jianghan University, Wuhan, 430056 (China); Fang Guojia, E-mail: gjfang@whu.edu.c [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Yuan Longyan; Liu Nishuang; Long Hao; Zhao Xingzhong [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China)
2010-05-31
Polycrystalline p-type Cu{sub x}O films were deposited after the growth of HfO{sub 2} dielectric on Si substrate by pulsed laser deposition, and Cu{sub x}O metal-oxide-semiconductor (MOS) capacitors with HfO{sub 2}/SiO{sub 2} stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu{sup +}/Cu{sup 2+} ratios of Cu{sub x}O films respectively. SiO{sub 2} interlayer formed between the high-{kappa} dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO{sub 2} is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO{sub 2}/SiO{sub 2} stack dielectrics is {approx} 10{sup -4} A/cm{sup 2}. Results also show that the annealing in N{sub 2} can improve the quality of Cu{sub x}O/HfO{sub 2} interface and thus reduce the gate leakage density.
Energy Technology Data Exchange (ETDEWEB)
Rezaei, B. [Department of Chemistry, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of)], E-mail: rezaei@cc.iut.ac.ir; Meghdadi, S.; Zarandi, R. Fazel [Department of Chemistry, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of)
2008-08-30
In this study a new hafnium selective sensor was fabricated from polyvinylchloride (PVC) matrix membrane containing neutral carrier N,N'-bis({alpha}-methyl-salicylidene)-dipropylenetriamine (Mesaldpt) as a new ionophore, sodium tetraphenyl borate (NaTPB) as anionic discriminator and dioctyl phthalate (DOP) as plasticizing solvent mediator in tetrahydrofuran solvent. The electrode exhibits Nernstian response for Hf{sup 4+} (Hafnium(IV)) over a wide concentration range (2.0 x 10{sup -7} to 1.0 x 10{sup -1} M) with the determination coefficient of 0.9966 and slope of 15.1 {+-} 0.1 mV decades{sup -1}. The limit of detection is 1.9 x 10{sup -7} M. The electrode has a fast response time of 18 s and a working pH range of 4-8. The proposed membrane shows excellent discriminating ability towards Hf{sup 4+} ion with regard to several alkali, alkaline earth transition and heavy metal ions. It can be used over a period of 1.5 months with good reproducibility. It is successfully applied for direct determination of Hf{sup 4+} in solutions by standard addition method for real sample analysis.
MemFlash device: floating gate transistors as memristive devices for neuromorphic computing
Riggert, C.; Ziegler, M.; Schroeder, D.; Krautschneider, W. H.; Kohlstedt, H.
2014-10-01
Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit.
MemFlash device: floating gate transistors as memristive devices for neuromorphic computing
International Nuclear Information System (INIS)
Riggert, C; Ziegler, M; Kohlstedt, H; Schroeder, D; Krautschneider, W H
2014-01-01
Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit. (paper)
Wan, Chang Jin; Wang, Wei; Zhu, Li Qiang; Liu, Yang Hui; Feng, Ping; Liu, Zhao Ping; Shi, Yi; Wan, Qing
2016-01-01
Flexible metal oxide/graphene oxide hybrid multi-gate neuron transistors were fabricated on flexible graphene substrates. Dendritic integrations in both spatial and temporal modes were successfully emulated, and spatiotemporal correlated logics were obtained. A proof-of-principle visual system model for emulating lobula giant motion detector neuron was investigated. Our results are of great interest for flexible neuromorphic cognitive systems.
Fahad, Hossain M.; Smith, Casey; Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa
2011-01-01
We introduce the concept of a silicon nanotube field effect transistor whose unique core-shell gate stacks help achieve full volume inversion by giving a surge in minority carrier concentration in the near vicinity of the ultrathin channel and at the same time rapid roll-off at the source and drain junctions constituting velocity saturation-induced higher drive current-enhanced high performance per device with efficient real estate consumption. The core-shell gate stacks also provide superior short channel effects control than classical planar metal oxide semiconductor field effect transistor (MOSFET) and gate-all-around nanowire FET. The proposed device offers the true potential to be an ideal blend for quantum ballistic transport study of device property control by bottom-up approach and high-density integration compatibility using top-down state-of-the-art complementary metal oxide semiconductor flow. © 2011 American Chemical Society.
Fahad, Hossain M.
2011-10-12
We introduce the concept of a silicon nanotube field effect transistor whose unique core-shell gate stacks help achieve full volume inversion by giving a surge in minority carrier concentration in the near vicinity of the ultrathin channel and at the same time rapid roll-off at the source and drain junctions constituting velocity saturation-induced higher drive current-enhanced high performance per device with efficient real estate consumption. The core-shell gate stacks also provide superior short channel effects control than classical planar metal oxide semiconductor field effect transistor (MOSFET) and gate-all-around nanowire FET. The proposed device offers the true potential to be an ideal blend for quantum ballistic transport study of device property control by bottom-up approach and high-density integration compatibility using top-down state-of-the-art complementary metal oxide semiconductor flow. © 2011 American Chemical Society.
Hafnium-doped hydroxyapatite nanoparticles with ionizing radiation for lung cancer treatment.
Chen, Min-Hua; Hanagata, Nobutaka; Ikoma, Toshiyuki; Huang, Jian-Yuan; Li, Keng-Yuan; Lin, Chun-Pin; Lin, Feng-Huei
2016-06-01
Recently, photodynamic therapy (PDT) is one of the new clinical options by generating cytotoxic reactive oxygen species (ROS) to kill cancer cells. However, the optical approach of PDT is limited by tissue penetration depth of visible light. In this study, we propose that a ROS-enhanced nanoparticle, hafnium-doped hydroxyapatite (Hf:HAp), which is a material to yield large quantities of ROS inside the cells when the nanoparticles are bombarded with high penetrating power of ionizing radiation. Hf:HAp nanoparticles are generated by wet chemical precipitation with total doping concentration of 15mol% Hf(4+) relative to Ca(2+) in HAp host material. The results show that the HAp particles could be successfully doped with Hf ions, resulted in the formation of nano-sized rod-like shape and with pH-dependent solubility. The impact of ionizing radiation on Hf:HAp nanoparticles is assessed by using in-vitro and in-vivo model using A549 cell line. The 2',7'-dichlorofluorescein diacetate (DCFH-DA) results reveal that after being exposed to gamma rays, Hf:HAp could significantly lead to the formation of ROS in cells. Both cell viability (WST-1) and cytotoxicity (LDH) assay show the consistent results that A549 lung cancer cell lines are damaged with changes in the cells' ROS level. The in-vivo studies further demonstrate that the tumor growth is inhibited owing to the cells apoptosis when Hf:HAp nanoparticles are bombarded with ionizing radiation. This finding offer a new therapeutic method of interacting with ionizing radiation and demonstrate the potential of Hf:HAp nanoparticles in tumor treatment, such as being used in a palliative treatment after lung surgical procedure. Photodynamic therapy (PDT) is one of the new clinical options by generating cytotoxic reactive oxygen species (ROS) to kill cancer cells. Unfortunately, the approach of PDT is usually limited to the treatment of systemic disease and deeper tumor, due to the limited tissue penetration depth of visible
International Nuclear Information System (INIS)
Chen, T.C.; Chang, T.C.; Hsieh, T.Y.; Tsai, C.T.; Chen, S.C.; Lin, C.S.; Jian, F.Y.; Tsai, M.Y.
2011-01-01
Mechanism of the instability for indium–gallium–zinc oxide thin film transistors caused by gate-bias stress performed in the dark and light illumination was investigated in this paper. The parallel V t shift with no degradation of subthreshold swing (S.S) and the fine fitting to the stretched-exponential equation indicate that charge trapping model dominates the degradation behavior under positive gate-bias stress. In addition, the significant gate-bias dependence of V t shift demonstrates that electron trapping effect easily occurs under large gate-bias since the average effective energy barrier of electron injection decreases with increasing gate bias. Moreover, the noticeable decrease of threshold voltage (V t ) shift under illuminated positive gate-bias stress and the accelerated recovery rate in the light indicate that the charge detrapping mechanism occurs under light illumination. Finally, the apparent negative V t shift under illuminated negative gate-bias stress was investigated in this paper. The average effectively energy barrier of electron and hole injection were extracted to clarify that the serious V t degradation behavior comparing with positive gate-bias stress was attributed to the lower energy barrier for hole injection.
International Nuclear Information System (INIS)
Dawood, Mohammad; Buether, Florian; Lang, Norbert; Schober, Otmar; Schaefers, Klaus P
2007-01-01
Respiratory gating is used for reducing the effects of breathing motion in a wide range of applications from radiotherapy treatment to diagnostical imaging. Different methods are feasible for respiratory gating. In this study seven gating methods were developed and tested on positron emission tomography (PET) listmode data. The results of seven patient studies were compared quantitatively with respect to motion and noise. (1) Equal and (2) variable time-based gating methods use only the time information of the breathing cycle to define respiratory gates. (3) Equal and (4) variable amplitude-based gating approaches utilize the amplitude of the respiratory signal. (5) Cycle-based amplitude gating is a combination of time and amplitude-based techniques. A baseline correction was applied to methods (3) and (4) resulting in two new approaches: Baseline corrected (6) equal and (7) variable amplitude-based gating. Listmode PET data from seven patients were acquired together with a respiratory signal. Images were reconstructed applying the seven gating methods. Two parameters were used to quantify the results: Motion was measured as the displacement of the heart due to respiration and noise was defined as the standard deviation of pixel intensities in a background region. The amplitude-based approaches (3) and (4) were superior to the time-based methods (1) and (2). The improvement in capturing the motion was more than 30% (up to 130%) in all subjects. The variable time (2) and amplitude (4) methods had a more uniform noise distribution among all respiratory gates compared to equal time (1) and amplitude (3) methods. Baseline correction did not improve the results. Out of seven different respiratory gating approaches, the variable amplitude method (4) captures the respiratory motion best while keeping a constant noise level among all respiratory phases
Saramekala, Gopi Krishna; Tiwari, Pramod Kumar
2017-08-01
Two-dimensional (2D) analytical models for the subthreshold current and subthreshold swing of the back-gated fully depleted recessed-source/drain (Re-S/D) silicon-on-insulator (SOI) metal-oxide-semiconductor field-effect transistor (MOSFET) are presented. The surface potential is determined by solving the 2D Poisson equation in both channel and buried-oxide (BOX) regions, considering suitable boundary conditions. To derive closed-form expressions for the subthreshold characteristics, the virtual cathode potential expression has been derived in terms of the minimum of the front and back surface potentials. The effect of various device parameters such as gate oxide and Si film thicknesses, thickness of source/drain penetration into BOX, applied back-gate bias voltage, etc. on the subthreshold current and subthreshold swing has been analyzed. The validity of the proposed models is established using the Silvaco ATLAS™ 2D device simulator.
Takashi Ichimura; Kohei Fujiwara; Hidekazu Tanaka
2014-01-01
Controlling the electronic properties of functional oxide materials via external electric fields has attracted increasing attention as a key technology for next-generation electronics. For transition-metal oxides with metallic carrier densities, the electric-field effect with ionic liquid electrolytes has been widely used because of the enormous carrier doping capabilities. The gate-induced redox reactions revealed by recent investigations have, however, highlighted the complex nature of the ...
A gate drive circuit for gate-turn-off (GTO) devices in series stack
International Nuclear Information System (INIS)
Despe, O.
1999-01-01
A gate-turn-off (GTO) switch is under development at the Advanced Photon Source as a replacement for a thyratron switch in high power pulsed application. The high voltage in the application requires multiple GTOs connected in series. One component that is critical to the success of GTO operation is the gate drive circuit. The gate drive circuit has to provide fast high-current pulses to the GTO gate for fast turn-on and turn-off. It also has to be able to operate while floating at high voltage. This paper describes a gate drive circuit that meets these requirements
Radiation effects in a CMOS/SOS/Al-Gate D/A converter and on-chip diagnostic transistors
International Nuclear Information System (INIS)
Brucker, G.J.; Heagerty, W.
1976-01-01
This paper presents the results obtained from total dose and transient radiation tests on a CMOS/SOS/Al-Gate D/A converter and on-chip diagnostic transistors. Samples were irradiated by cobalt-60 gamma rays under worst-case conditions, and by 10-MeV electron pulses of 50-ns and 4.4-μs duration. Devices were fabricated with three different insulators; the two discussed here are standard wet oxide and a pyrogenic oxide. Test transistors on the D/A chips made it possible to diagnose the failure modes of the converter and to evaluate some special designs. These consisted of standard edge p- and n-channel transistors, edgeless units, edgeless tetrode transistors, and an edgeless type transmission gate with a diode clamp from substrate to gate. The total dose results indicate that the pyrogenic oxide increased the failure dose of the operational amplifier portion of the converter from 10 3 rads (Si) to 2 x 10 6 rads (Si); however, the sample and hold failed after exposure to a low level of 10 3 rads (Si). Test devices indicated this to be due to the radiation-induced leakage current of the transmission gate which discharges the sample and hold capacitor. The diode clamp decreased the threshold voltage shifts and the leakage currents. The edgeless devices improved the device performance because of a more abrupt turn-on. Narrow-pulse test data indicated that the edgeless units produced less photocurrent than the edge units by about a factor of three to four. Converter upset levels are less than or equal to 10 9 rads/s due to precision requirements which make a few millivolt transients untenable
Directory of Open Access Journals (Sweden)
Chao-Wei Lin
2012-01-01
Full Text Available This study examines the praseodymium-oxide- (Pr2O3- passivated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs with high dielectric constant in which the AlGaN Schottky layers are treated with P2S5/(NH42SX + ultraviolet (UV illumination. An electron-beam evaporated Pr2O3 insulator is used instead of traditional plasma-assisted chemical vapor deposition (PECVD, in order to prevent plasma-induced damage to the AlGaN. In this work, the HEMTs are pretreated with P2S5/(NH42SX solution and UV illumination before the gate insulator (Pr2O3 is deposited. Since stable sulfur that is bound to the Ga species can be obtained easily and surface oxygen atoms are reduced by the P2S5/(NH42SX pretreatment, the lowest leakage current is observed in MIS-HEMT. Additionally, a low flicker noise and a low surface roughness (0.38 nm are also obtained using this novel process, which demonstrates its ability to reduce the surface states. Low gate leakage current Pr2O3 and high-k AlGaN/GaN MIS-HEMTs, with P2S5/(NH42SX + UV illumination treatment, are suited to low-noise applications, because of the electron-beam-evaporated insulator and the new chemical pretreatment.
High-κ gate dielectrics: Current status and materials properties considerations
Wilk, G. D.; Wallace, R. M.; Anthony, J. M.
2001-05-01
Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.
International Nuclear Information System (INIS)
Cortez-Valadez, M.; Fierro, C.; Farias-Mancilla, J.R.; Vargas-Ortiz, A.; Flores-Acosta, M.; Ramírez-Bon, R.; Enriquez-Carrejo, J.L.
2016-01-01
Highlights: • Hafnium oxide growth on Si(100) by atomic layer deposition was simulated. • The interface structure was considered as silicate and silicide. • The interface was studied employing DFT. • TDMA-Hf precursor show better interface stability. - Abstract: The final structure of HfO 2 films grown by atomic layer deposition (ALD) after reaction with OH − ions has been analyzed by DFT (density functional theory). The interaction of the precursors: HfCl 4 (hafnium tetrachloride), HfI 4 (hafnium tetraiodide), TEMA-Hf (tetrakis-ethylmethylamino hafnium), and TDMA-Hf (tetrakis-dimethylamino hafnium) with HO–H was studied employing the B3LYP (Becke 3-parameter, Lee–Yang–Parr) hybrid functional and the PBE (Perdew–Burke–Ernzerhof) generalized gradient functional. The structural evolution at the Si(100) surface has been analyzed by LDA (local density approximation). The structural parameters: bond length and bond angle, and the vibrational parameters for the optimized structures are also reported. The presence of hafnium silicate at the interface was detected. The infrared spectra and structural parameters obtained in this work agree with previously reported experimental results.
Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro
2016-04-01
Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.
Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template
International Nuclear Information System (INIS)
Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo
2008-01-01
We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented
Demonstration of hetero-gate-dielectric tunneling field-effect transistors (HG TFETs).
Choi, Woo Young; Lee, Hyun Kook
2016-01-01
The steady scaling-down of semiconductor device for improving performance has been the most important issue among researchers. Recently, as low-power consumption becomes one of the most important requirements, there have been many researches about novel devices for low-power consumption. Though scaling supply voltage is the most effective way for low-power consumption, performance degradation is occurred for metal-oxide-semiconductor field-effect transistors (MOSFETs) when supply voltage is reduced because subthreshold swing (SS) of MOSFETs cannot be lower than 60 mV/dec. Thus, in this thesis, hetero-gate-dielectric tunneling field-effect transistors (HG TFETs) are investigated as one of the most promising alternatives to MOSFETs. By replacing source-side gate insulator with a high- k material, HG TFETs show higher on-current, suppressed ambipolar current and lower SS than conventional TFETs. Device design optimization through simulation was performed and fabrication based on simulation demonstrated that performance of HG TFETs were better than that of conventional TFETs. Especially, enlargement of gate insulator thickness while etching gate insulator at the source side was improved by introducing HF vapor etch process. In addition, the proposed HG TFETs showed higher performance than our previous results by changing structure of sidewall spacer by high- k etching process.
Dipole controlled metal gate with hybrid low resistivity cladding for gate-last CMOS with low Vt
Hinkle, Christopher L.; Galatage, Rohit V.; Chapman, Richard A.; Vogel, Eric M.; Alshareef, Husam N.; Freeman, Clive M.; Wimmer, Erich; Niimi, Hiroaki; Li-Fatou, Andrei V.; Shaw, Judy B.; Chambers, James J.
2010-01-01
In this contribution, NMOS and PMOS band edge effective work function (EWF) and correspondingly low Vt are demonstrated using standard fab materials and processes in a gate-last scheme. For NMOS, the use of an Al cladding layer results in Vt = 0.08 V consistent with NMOS EWF = 4.15 eV. Migration of the Al cladding into the TiN and a relatively low oxygen concentration near the TiN/HfO2 interface are responsible for the low EWF. For PMOS, employing a W cladding layer along with a post-TiN anneal in an oxidizing ambient results in elevated oxygen concentration near the TiN/HfO2 interface and Vt = -0.20 V consistent with a PMOS EWF = 5.05 eV. First-principles calculations indicate N atoms displaced from the TiN during the oxidizing anneal form dipoles at the TiN/HfO2 interface that play a critical role in determining the PMOS EWF. © 2010 IEEE.
Dipole controlled metal gate with hybrid low resistivity cladding for gate-last CMOS with low Vt
Hinkle, Christopher L.
2010-06-01
In this contribution, NMOS and PMOS band edge effective work function (EWF) and correspondingly low Vt are demonstrated using standard fab materials and processes in a gate-last scheme. For NMOS, the use of an Al cladding layer results in Vt = 0.08 V consistent with NMOS EWF = 4.15 eV. Migration of the Al cladding into the TiN and a relatively low oxygen concentration near the TiN/HfO2 interface are responsible for the low EWF. For PMOS, employing a W cladding layer along with a post-TiN anneal in an oxidizing ambient results in elevated oxygen concentration near the TiN/HfO2 interface and Vt = -0.20 V consistent with a PMOS EWF = 5.05 eV. First-principles calculations indicate N atoms displaced from the TiN during the oxidizing anneal form dipoles at the TiN/HfO2 interface that play a critical role in determining the PMOS EWF. © 2010 IEEE.
Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko
2001-04-01
Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.
International Nuclear Information System (INIS)
Li Cong; Zhuang Yi-Qi; Zhang Li; Jin Gang
2014-01-01
A two-dimensional analytical subthreshold behavior model for junctionless dual-material cylindrical surrounding-gate (JLDMCSG) metal-oxide-semiconductor field-effect transistors (MOSFETs) is proposed. It is derived by solving the two-dimensional Poisson's equation in two continuous cylindrical regions with any simplifying assumption. Using this analytical model, the subthreshold characteristics of JLDMCSG MOSFETs are investigated in terms of channel electrostatic potential, horizontal electric field, and subthreshold current. Compared to junctionless single-material cylindrical surrounding-gate MOSFETs, JLDMCSG MOSFETs can effectively suppress short-channel effects and simultaneously improve carrier transport efficiency. It is found that the subthreshold current of JLDMCSG MOSFETs can be significantly reduced by adopting both a thin oxide and thin silicon channel. The accuracy of the analytical model is verified by its good agreement with the three-dimensional numerical simulator ISE TCAD
International Nuclear Information System (INIS)
Stolichnov, I; Riester, S W E; Mikheev, E; Setter, N; Rushforth, A W; Edmonds, K W; Campion, R P; Foxon, C T; Gallagher, B L; Jungwirth, T; Trodahl, H J
2011-01-01
(Ga, Mn)As and other diluted magnetic semiconductors (DMS) attract a great deal of attention for potential spintronic applications because of the possibility of controlling the magnetic properties via electrical gating. Integration of a ferroelectric gate on the DMS channel adds to the system a non-volatile memory functionality and permits nanopatterning via the polarization domain engineering. This topical review is focused on the multiferroic system, where the ferromagnetism in the (Ga, Mn)As DMS channel is controlled by the non-volatile field effect of the spontaneous polarization. Use of ferroelectric polymer gates in such heterostructures offers a viable alternative to the traditional oxide ferroelectrics generally incompatible with DMS. Here we review the proof-of-concept experiments demonstrating the ferroelectric control of ferromagnetism, analyze the performance issues of the ferroelectric gates and discuss prospects for further development of the ferroelectric/DMS heterostructures toward the multiferroic field effect transistor. (topical review)
International Nuclear Information System (INIS)
Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.
1989-01-01
In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)
Energy Technology Data Exchange (ETDEWEB)
Sharath, S. U., E-mail: sharath@oxide.tu-darmstadt.de; Kurian, J.; Komissinskiy, P.; Hildebrandt, E.; Alff, L. [Institute of Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Bertaud, T.; Walczyk, C.; Calka, P. [IHP, Im Technologiepark 25, 15236 Frankfurt Oder (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt Oder (Germany); Brandenburgische Technische Universität, Konrad-Zuse-Strasse 1, 03046 Cottbus (Germany)
2014-08-18
The conducting filament forming voltage of stoichiometric hafnium oxide based resistive switching layers increases linearly with layer thickness. Using strongly reduced oxygen deficient hafnium oxide thin films grown on polycrystalline TiN/Si(001) substrates, the thickness dependence of the forming voltage is strongly suppressed. Instead, an almost constant forming voltage of about 3 V is observed up to 200 nm layer thickness. This effect suggests that filament formation and switching occurs for all samples in an oxidized HfO{sub 2} surface layer of a few nanometer thickness while the highly oxygen deficient thin film itself merely serves as a oxygen vacancy reservoir.
Energy Technology Data Exchange (ETDEWEB)
Salyulev, A B; Kudyakov, V Ya; Smirnov, M V; Moskalenko, N I [AN SSSR, Sverdlovsk. Inst. Ehlektrokhimii
1984-08-01
The coefficient of HfCl/sub 4/ and ZrCl/sub 4/ separation in the process of vapour sublimation from their solutions in molten NaCl, KCl, CsCl, NaCl-KCl and NaCl-CsCl equimolar mixtures is found to vary in the series from approximately 1.10 to approximately 1.22 and practically not to depend on the temperature (in the 600-910 deg) range and concentration (2-25 mol.% ZrCl/sub 4/+HfCl/sub 4/). HfCl/sub 4/ and ZrCl/sub 4/ are shown to form almost perfect solutions with each other, which in their turn form imperfect solutions with molten alkali metal chlorides, with the strength of hafnium complex chloride anions increasing higher than that of zirconium in the series from NaCl to CsCl.
International Nuclear Information System (INIS)
Salyulev, A.B.; Kudyakov, V.Ya.; Smirnov, M.V.; Moskalenko, N.I.
1984-01-01
The coefficient of HfCl 4 and ZrCl 4 separation in the process of vapour sublimation from their solutions in molten NaCl, KCl, CsCl, NaCl-KCl and NaCl-CsCl equimolar mixtures is found to vary in the series from approximately 1.10 to approximately 1.22 and practically not to depend on the temperature (in the 600-910 deg) range and concentration (2-25 mol.% ZrCl 4 +HfCl 4 ). HfCl 4 and ZrCl 4 are shown to form almost perfect solutions with each other, which in their turn form imperfect solutions with molten alkali metal chlorides, with the strength of hafnium complex chloride anions increasing higher than that of zirconium in the series from NaCl to CsCl
Energy Technology Data Exchange (ETDEWEB)
Chen, Hua-Mao [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Taiwan (China); Tai, Ya-Hsiang [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chen, Kuan-Fu [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Chiang, Hsiao-Cheng [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Liu, Kuan-Hsien [Department of Electrophysics, National Chiao Tung University, Hsinchu, Taiwan (China); Lee, Chao-Kuei [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Lin, Wei-Ting; Cheng, Chun-Cheng; Tu, Chun-Hao; Liu, Chu-Yu [Advanced Technology Research Center, AU Optronics Corp, Hsinchu, Taiwan (China)
2015-11-30
This study examined the impact of the low-temperature stacking gate insulator on the gate bias instability of a-InGaZnO thin film transistors in flexible electronics applications. Although the quality of SiN{sub x} at low process/deposition temperature is better than that of SiO{sub x} at similarly low process/deposition temperature, there is still a very large positive threshold voltage (V{sub th}) shift of 9.4 V for devices with a single low-temperature SiN{sub x} gate insulator under positive gate bias stress. However, a suitable oxide–nitride–oxide-stacked gate insulator exhibits a V{sub th} shift of only 0.23 V. This improvement results from the larger band offset and suitable gate insulator thickness that can effectively suppress carrier trapping behavior. - Highlights: • The cause of the bias instability for a low-temperature gate insulator is verified. • A triple-stacked gate insulator was fabricated. • A suitable triple stacked gate insulator shows only 0.23 V threshold voltage shift.
Prusinski, Ben; Chung, Richard
2011-01-01
Expert Oracle GoldenGate is a hands-on guide to creating and managing complex data replication environments using the latest in database replication technology from Oracle. GoldenGate is the future in replication technology from Oracle, and aims to be best-of-breed. GoldenGate supports homogeneous replication between Oracle databases. It supports heterogeneous replication involving other brands such as Microsoft SQL Server and IBM DB2 Universal Server. GoldenGate is high-speed, bidirectional, highly-parallelized, and makes only a light impact on the performance of databases involved in replica
Ji, Hyunjin; Joo, Min-Kyu; Yi, Hojoon; Choi, Homin; Gul, Hamza Zad; Ghimire, Mohan Kumar; Lim, Seong Chu
2017-08-30
There is a general consensus that the carrier mobility in a field-effect transistor (FET) made of semiconducting transition-metal dichalcogenides (s-TMDs) is severely degraded by the trapping/detrapping and Coulomb scattering of carriers by ionic charges in the gate oxides. Using a double-gated (DG) MoTe 2 FET, we modulated and enhanced the carrier mobility by adjusting the top- and bottom-gate biases. The relevant mechanism for mobility tuning in this device was explored using static DC and low-frequency (LF) noise characterizations. In the investigations, LF-noise analysis revealed that for a strong back-gate bias the Coulomb scattering of carriers by ionized traps in the gate dielectrics is strongly screened by accumulation charges. This significantly reduces the electrostatic scattering of channel carriers by the interface trap sites, resulting in increased mobility. The reduction of the number of effective trap sites also depends on the gate bias, implying that owing to the gate bias, the carriers are shifted inside the channel. Thus, the number of active trap sites decreases as the carriers are repelled from the interface by the gate bias. The gate-controlled Coulomb-scattering parameter and the trap-site density provide new handles for improving the carrier mobility in TMDs, in a fundamentally different way from dielectric screening observed in previous studies.
Lithium ion intercalation in thin crystals of hexagonal TaSe2 gated by a polymer electrolyte
Wu, Yueshen; Lian, Hailong; He, Jiaming; Liu, Jinyu; Wang, Shun; Xing, Hui; Mao, Zhiqiang; Liu, Ying
2018-01-01
Ionic liquid gating has been used to modify the properties of layered transition metal dichalcogenides (TMDCs), including two-dimensional (2D) crystals of TMDCs used extensively recently in the device work, which has led to observations of properties not seen in the bulk. The main effect comes from the electrostatic gating due to the strong electric field at the interface. In addition, ionic liquid gating also leads to ion intercalation when the ion size of the gate electrolyte is small compared to the interlayer spacing of TMDCs. However, the microscopic processes of ion intercalation have rarely been explored in layered TMDCs. Here, we employed a technique combining photolithography device fabrication and electrical transport measurements on the thin crystals of hexagonal TaSe2 using multiple channel devices gated by a polymer electrolyte LiClO4/Polyethylene oxide (PEO). The gate voltage and time dependent source-drain resistances of these thin crystals were used to obtain information on the intercalation process, the effect of ion intercalation, and the correlation between the ion occupation of allowed interstitial sites and the device characteristics. We found a gate voltage controlled modulation of the charge density waves and a scattering rate of charge carriers. Our work suggests that ion intercalation can be a useful tool for layered materials engineering and 2D crystal device design.
Stable tetragonal phase and magnetic properties of Fe-doped HfO2 nanoparticles
Sales, T. S. N.; Cavalcante, F. H. M.; Bosch-Santos, B.; Pereira, L. F. D.; Cabrera-Pasca, G. A.; Freitas, R. S.; Saxena, R. N.; Carbonari, A. W.
2017-05-01
In this paper, the effect in structural and magnetic properties of iron doping with concentration of 20% in hafnium dioxide (HfO2) nanoparticles is investigated. HfO2 is a wide band gap oxide with great potential to be used as high-permittivity gate dielectrics, which can be improved by doping. Nanoparticle samples were prepared by sol-gel chemical method and had their structure, morphology, and magnetic properties, respectively, investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM) and scanning electron microscopy (SEM) with electron back scattering diffraction (EBSD), and magnetization measurements. TEM and SEM results show size distribution of particles in the range from 30 nm to 40 nm with small dispersion. Magnetization measurements show the blocking temperature at around 90 K with a strong paramagnetic contribution. XRD results show a major tetragonal phase (94%).