WorldWideScience

Sample records for germanium 68

  1. Production of a 68Ge/68Ga generator

    International Nuclear Information System (INIS)

    Behrouz Shirazi; Behrouz Fateh; Mohammad Mirzaii; Gholamreza Aslani

    2004-01-01

    Background: Gallium-68 is a radioisotope with a half life of 68 min. As it has a specific decay mode, it is a positron emitter and hence, it is popularly used in nuclear medicine. The only way to obtain these nuclides is to produced the mother nuclease which is Germanium - 68. There are many nuclear reactions from which the Germanium - 68 is obtained, however, the best reaction is 69Ga (p,2n)68Ge. Materials and Methods: The cross section of this nuclear reaction was calculated with the ALICE-91 Code and the result was compared with the practical work done by other researchers. The comparing result was an acceptable one. Having the cross sections in mind, the best proton energy was calculated to be between 20-25 MeV Further research showed that Ga2O3 is the best type of target material. Therefore, it was necessary to design and make a suitable target holder for these k/nd of compositions, which for the very first time in Iran was done at Atomic Energy Organization of Iran (AEOI). The thickness of the target, bearing in mind the rate of energy loss in side the target material, was calculated with the SRIM Code and the Ga2O3 tablets were made with FT-IR instruments at Nuclear Research Center for Agriculture and Medicine (NRCAM). They were then bombarded with the 20, 21 and 22.5 MeV proton energy and the beam currents of 1.4, 7.5 and 13.3 μA.Two weeks after the bombardment the radio chemical separation of Germanium - 68 was done with concentrated acid HNO3 and applying heat. Then, the acid solution was evaporated till dried, after that, an EDTA solution (0.005 M, pH = 11) was added to recover the Germanium - 68. By passing the EDTA solution through the A12O3 column, Germanium - 68 radioisotope was adsorbed.Then another solution of EDTA (0.005 M, PH=11) was passed thorough the loaded column, almost all the natural Gallium impurities were removed.In this project the behavior of natural Gallium was studied via adding Gallium-67 as a tracer which it's half life is about

  2. Organ biodistribution of Germanium-68 in rat in the presence and absence of [68Ga]Ga-DOTA-TOC for the extrapolation to the human organ and whole-body radiation dosimetry

    Science.gov (United States)

    Velikyan, Irina; Antoni, Gunnar; Sörensen, Jens; Estrada, Sergio

    2013-01-01

    Positron Emission Tomography (PET) and in particular gallium-68 (68Ga) applications are growing exponentially worldwide contributing to the expansion of nuclear medicine and personalized management of patients. The significance of 68Ga utility is reflected in the implementation of European Pharmacopoeia monographs. However, there is one crucial point in the monographs that might limit the use of the generators and consequently expansion of 68Ga applications and that is the limit of 0.001% of Germanium-68 (68Ge(IV)) radioactivity content in a radiopharmaceutical. We have investigated the organ distribution of 68Ge(IV) in rat and estimated human dosimetry parameters in order to provide experimental evidence for the determination and justification of the 68Ge(IV) limit. Male and female rats were injected in the tail vein with formulated [68Ge]GeCl4 in the absence or presence of [68Ga]Ga-DOTA-TOC. The tissue radioactivity distribution data was extrapolated for the estimation of human organ equivalent doses and total effective dose using Organ Level Internal Dose Assessment Code software (OLINDA/EXM). 68Ge(IV) was evenly distributed among the rat organs and fast renal excretion prevailed. Human organ equivalent dose and total effective dose estimates indicated that the kidneys were the dose-limiting organs (185±54 μSv/MBq for female and 171±38 μSv/MBq for male) and the total effective dose was 15.5±0.1 and 10.7±1.2 μSv/MBq, respectively for female and male. The results of this dosimetry study conclude that the 68Ge(IV) limit currently recommended by monographs could be increased considerably (>100 times) without exposing the patient to harm given the small absorbed doses to normal organs and fast excretion. PMID:23526484

  3. In vitro binding of germanium to proteins of rice shoots

    International Nuclear Information System (INIS)

    Matsumoto, Hideaki; Takahashi, Eiichi

    1976-01-01

    The possibility of in vitro binding between proteins of rice shoots and germanium (Ge) was investigated. The proteins in mixtures of aqueous extracts of rice shoots and radioactive germanium ( 68 GeO 2 ) were fractionated. The binding of radioactivity to the proteins was observed even after 5 successive fractionation steps from the original mixtures. At the final fractionation step using polyacrylamide gel electrophoresis, a constant proportionality between protein concentration and associated radioactivity was found in most samples although not all. These results indicate that the binding of 68 Ge to proteins is not due to the simple adsorption by proteins. (auth.)

  4. Disposal of radioactive contaminated waste from Ga-68-PET. Calculation of a clearance level for Ge-68+; Entsorgung radioaktiv kontaminierter Reststoffe aus der Ga-68-PET. Berechnung eines Freigabewertes fuer Ge-68+

    Energy Technology Data Exchange (ETDEWEB)

    Solle, Alexander; Wanke, Carsten; Geworksi, Lilli [Medizinische Hochschule Hannover (Germany). Stabsstelle Strahlenschutz und Abt. Medizinische Physik

    2017-05-01

    Ga-68-labeled radiotracers, particularly used for the detection of neuroendocrine tumors by means of Ga-68-DOTA-TATE or -DOTA-TOC or for the diagnosis of prostate cancer by means of Ga-68-labeled antigens (Ga 68-PSMA), become increasingly important. In addition to the high sensitivity and specificity of these radiopharmaceuticals, the short-lived radionuclide Ga-68 offers almost ideal nuclear characteristics for use in PET. Ga-68 is obtained from a germanium-gallium-generator system, so that the availability of Ga-68-labeled radiotracers is independent of an on-site-cyclotron regardless of the short half-life of Ga-68 of about 68 minutes. Regarding the disposal of the radioactively contaminated waste from the preparation of the radiopharmaceutical, the eluted Ga-68 has to be considered to be additionally contaminated with its parent nuclide Ge-68. Due to this production-related impurity in combination with the short half-life of Ga-68, the radioactive waste has to be considered to be contaminated with Ge-68 and Ga-68 in radioactive equilibrium (hereafter referred to as Ge-68+). As there are no clearance levels for Ge-68+ given in the German Radiation Protection Ordinance, this work presents a method to calculate the missing value basing on a recommendation of the German Radiation Protection Commission in combination with simple geometric models of practical radiation protection. Regarding the relevant exposure scenarios, a limit value for the unrestricted clearance of Ge-68+ of 0.4 Bq/g was determined.

  5. Superconductivity of tribolayers formed on germanium by friction between germanium and lead

    Energy Technology Data Exchange (ETDEWEB)

    Dukhovskoi, A.; Karapetyan, S.S.; Morozov, Y.G.; Onishchenko, A.S.; Petinov, V.I.; Ponomarev, A.N.; Silin, A.A.; Stepanov, B.M.; Tal' roze, V.L.

    1978-04-05

    A superconducting state was observed for the first time in tribolayers of germanium produced by friction of germanium with lead at 42 K. The maximum value of T/sub c/ obtained in the experiment was 19 K, which is much higher than T/sub c/ of bulk lead itself or of lead films sputtered on germanium.

  6. Studies of the chemical behavior of carrier-free 68Ge. Pt. 1

    International Nuclear Information System (INIS)

    Mirzadeh, S.; Kahn, M.; Grant, P.M.; O'Brien, H.A. Jr.

    1981-01-01

    The diagnostic utilization of the 68 Ge- 68 Ga system in nuclear medicine stimulated the development of a rapid and efficient method for the purification of carrier-free 68 Ge. A standard procedure for the separation of macroscopic quantities for germanium from numerous other elements involves the distillation of Ge(IV) from HCl solution. The applicability of this method for the purification of carrier-free 68 Ge was studied, and it was found that 68 Ge quantitatively and conveniently distills from azetropic HCl. The distillation of 68 Ge from LiCl-HClO 4 , HCl-LiCl, and HCl-HClO 4 systems was also investigated. (orig.) [de

  7. Tunable conductivity in mesoporous germanium

    Science.gov (United States)

    Beattie, Meghan N.; Bioud, Youcef A.; Hobson, David G.; Boucherif, Abderraouf; Valdivia, Christopher E.; Drouin, Dominique; Arès, Richard; Hinzer, Karin

    2018-05-01

    Germanium-based nanostructures have attracted increasing attention due to favourable electrical and optical properties, which are tunable on the nanoscale. High densities of germanium nanocrystals are synthesized via electrochemical etching, making porous germanium an appealing nanostructured material for a variety of applications. In this work, we have demonstrated highly tunable electrical conductivity in mesoporous germanium layers by conducting a systematic study varying crystallite size using thermal annealing, with experimental conductivities ranging from 0.6 to 33 (×10‑3) Ω‑1 cm‑1. The conductivity of as-prepared mesoporous germanium with 70% porosity and crystallite size between 4 and 10 nm is shown to be ∼0.9 × 10‑3 Ω‑1 cm‑1, 5 orders of magnitude smaller than that of bulk p-type germanium. Thermal annealing for 10 min at 400 °C further reduced the conductivity; however, annealing at 450 °C caused a morphological transformation from columnar crystallites to interconnecting granular crystallites and an increase in conductivity by two orders of magnitude relative to as-prepared mesoporous germanium caused by reduced influence of surface states. We developed an electrostatic model relating the carrier concentration and mobility of p-type mesoporous germanium to the nanoscale morphology. Correlation within an order of magnitude was found between modelled and experimental conductivities, limited by variation in sample uniformity and uncertainty in void size and fraction after annealing. Furthermore, theoretical results suggest that mesoporous germanium conductivity could be tuned over four orders of magnitude, leading to optimized hybrid devices.

  8. [Disposal of radioactive contaminated waste from Ga-68-PET - calculation of a clearance level for Ge-68].

    Science.gov (United States)

    Solle, Alexander; Wanke, Carsten; Geworski, Lilli

    2017-03-01

    Ga-68-labeled radiotracers, particularly used for the detection of neuroendocrine tumors by means of Ga-68-DOTA-TATE or -DOTA-TOC or for the diagnosis of prostate cancer by means of Ga-68-labeled antigens (Ga 68-PSMA), become increasingly important. In addition to the high sensitivity and specificity of these radiopharmaceuticals, the short-lived radionuclide Ga-68 offers almost ideal nuclear characteristics for use in PET. Ga-68 is obtained from a germanium-gallium-generator system, so that the availability of Ga-68-labeled radiotracers is independent of an on-site-cyclotron regardless of the short half-life of Ga-68 of about 68minutes. Regarding the disposal of the radioactively contaminated waste from the preparation of the radiopharmaceutical, the eluted Ga-68 has to be considered to be additionally contaminated with its parent nuclide Ge-68. Due to this production-related impurity in combination with the short half-life of Ga-68, the radioactive waste has to be considered to be contaminated with Ge-68 and Ga-68 in radioactive equilibrium (hereafter referred to as Ge-68+). As there are no clearance levels for Ge-68+ given in the German Radiation Protection Ordinance, this work presents a method to calculate the missing value basing on a recommendation of the German Radiation Protection Commission in combination with simple geometric models of practical radiation protection. Regarding the relevant exposure scenarios, a limit value for the unrestricted clearance of Ge-68+ of 0.4 Bq/g was determined. Copyright © 2016. Published by Elsevier GmbH.

  9. Disposal of radioactive contaminated waste from Ga-68-PET. Calculation of a clearance level for Ge-68+

    International Nuclear Information System (INIS)

    Solle, Alexander; Wanke, Carsten; Geworksi, Lilli

    2017-01-01

    Ga-68-labeled radiotracers, particularly used for the detection of neuroendocrine tumors by means of Ga-68-DOTA-TATE or -DOTA-TOC or for the diagnosis of prostate cancer by means of Ga-68-labeled antigens (Ga 68-PSMA), become increasingly important. In addition to the high sensitivity and specificity of these radiopharmaceuticals, the short-lived radionuclide Ga-68 offers almost ideal nuclear characteristics for use in PET. Ga-68 is obtained from a germanium-gallium-generator system, so that the availability of Ga-68-labeled radiotracers is independent of an on-site-cyclotron regardless of the short half-life of Ga-68 of about 68 minutes. Regarding the disposal of the radioactively contaminated waste from the preparation of the radiopharmaceutical, the eluted Ga-68 has to be considered to be additionally contaminated with its parent nuclide Ge-68. Due to this production-related impurity in combination with the short half-life of Ga-68, the radioactive waste has to be considered to be contaminated with Ge-68 and Ga-68 in radioactive equilibrium (hereafter referred to as Ge-68+). As there are no clearance levels for Ge-68+ given in the German Radiation Protection Ordinance, this work presents a method to calculate the missing value basing on a recommendation of the German Radiation Protection Commission in combination with simple geometric models of practical radiation protection. Regarding the relevant exposure scenarios, a limit value for the unrestricted clearance of Ge-68+ of 0.4 Bq/g was determined.

  10. Germanium and indium

    Science.gov (United States)

    Shanks, W.C. Pat; Kimball, Bryn E.; Tolcin, Amy C.; Guberman, David E.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Germanium and indium are two important elements used in electronics devices, flat-panel display screens, light-emitting diodes, night vision devices, optical fiber, optical lens systems, and solar power arrays. Germanium and indium are treated together in this chapter because they have similar technological uses and because both are recovered as byproducts, mainly from copper and zinc sulfides.The world’s total production of germanium in 2011 was estimated to be 118 metric tons. This total comprised germanium recovered from zinc concentrates, from fly ash residues from coal burning, and from recycled material. Worldwide, primary germanium was recovered in Canada from zinc concentrates shipped from the United States; in China from zinc residues and coal from multiple sources in China and elsewhere; in Finland from zinc concentrates from the Democratic Republic of the Congo; and in Russia from coal.World production of indium metal was estimated to be about 723 metric tons in 2011; more than one-half of the total was produced in China. Other leading producers included Belgium, Canada, Japan, and the Republic of Korea. These five countries accounted for nearly 95 percent of primary indium production.Deposit types that contain significant amounts of germanium include volcanogenic massive sulfide (VMS) deposits, sedimentary exhalative (SEDEX) deposits, Mississippi Valley-type (MVT) lead-zinc deposits (including Irish-type zinc-lead deposits), Kipushi-type zinc-lead-copper replacement bodies in carbonate rocks, and coal deposits.More than one-half of the byproduct indium in the world is produced in southern China from VMS and SEDEX deposits, and much of the remainder is produced from zinc concentrates from MVT deposits. The Laochang deposit in Yunnan Province, China, and the VMS deposits of the Murchison greenstone belt in Limpopo Province, South Africa, provide excellent examples of indium-enriched deposits. The SEDEX deposits at Bainiuchang, China (located in

  11. Precipitation of lithium in germanium

    International Nuclear Information System (INIS)

    Masaik, M.; Furgolle, B.

    1969-01-01

    The precipitation of Lithium in Germanium was studied. Taking account of the interactions Ga LI, LiO, we calculated the oxygen content in germanium samples from the resistivity measurements. (authors)

  12. Development of a production scale purification of Ge-68 from irradiated gallium metal

    Energy Technology Data Exchange (ETDEWEB)

    Fitzsimmons, Jonathan M.; Mausner, Leonard [Brookhaven National Laboratory, Upton, NY (United States)

    2015-05-01

    Germanium-68 (Ge-68) is produced by proton irradiation of a gallium metal target and purified by organic extraction. The Ge-68 can be used in a medical isotope generator to produce Gallium-68 (Ga-68) which can be used to radiolabel PET imaging agents. The emerging use of Ge-68 in the Ga-68 medical isotope generator has caused us to develop a new purification method for Ge-68 that does not use toxic solvents. The purpose of this work was to develop a production scale separation of Ge-68 that utilizes a leaching step to remove a bulk of the gallium metal, followed by purification with Sephadex {sup copyright} G25. Production scale (300 mCi) purification was performed with the new method. The purified Ge-68 contained the highest radioactivity concentration of Ge-68 produced at BNL; the sample meet Department of Energy specifications and the method had an excellent recovery of Ge-68.

  13. New hydrogen donors in germanium

    International Nuclear Information System (INIS)

    Pokotilo, Yu.M.; Petukh, A.N.; Litvinov, V.V.

    2003-01-01

    The electrophysical properties of the n-type conductivity germanium, irradiated through protons, is studied by the volt-farad method. It is shown that the heat treatment of the implanted germanium at the temperature of 200-300 deg C leads to formation of the fast-diffusing second-rate donors. It is established that the diffusion coefficient of the identified donors coincides with the diffusion coefficient of the atomic hydrogen with an account of the capture on the traps. The conclusion is made, that the atomic hydrogen is the second-rate donor center in germanium [ru

  14. The germanium isotopes production rate in background process in SAGE experiment

    International Nuclear Information System (INIS)

    Gavrin, V.N.; Gorbachev, V.V.; Ibragimova, T.V.; Cleveland, B.T.

    2002-01-01

    The analysis of the direct determination of systematics connected with the germanium isotopes generation of in background processes in radiochemical SAGE experiments on measuring solar neutrinos is described. The found 68 Ge generation rate is 6.5 (1 ± 1.0) times higher than expected; the generation rate of 69 Ge does not exceed preliminary evaluations. The result on 68 Ge corresponds to the systematic of cosmic ray muons of 5.8% (4.5 SNU) for the measured capture rate of solar neutrino of 77.0 SNU. To check the cosmic-ray muon influence of the SAGE systematic one suggests the experiment in place of underground scintillation telescope of the Baksan neutrino observatory on the Institute for Nuclear Research of the RAS [ru

  15. Zone refining high-purity germanium

    International Nuclear Information System (INIS)

    Hubbard, G.S.; Haller, E.E.; Hansen, W.L.

    1977-10-01

    The effects of various parameters on germanium purification by zone refining have been examined. These parameters include the germanium container and container coatings, ambient gas and other operating conditions. Four methods of refining are presented which reproducibly yield 3.5 kg germanium ingots from which high purity (vertical barN/sub A/ - N/sub D/vertical bar less than or equal to2 x 10 10 cm -3 ) single crystals can be grown. A qualitative model involving binary and ternary complexes of Si, O, B, and Al is shown to account for the behavior of impurities at these low concentrations

  16. Production of prototype 68Ge/68Ga generator in Iran

    International Nuclear Information System (INIS)

    Shirazi, B.; Fateh, B.; Mirzaii, M.; Aslani, Gh. R.

    2007-01-01

    Ga-68 is a radioisotope material with a half life of 68 min. As it has a specific decay mode, it is a positron emitter and hence, is popularly used in nuclear medicine. The only way to obtain these nuclides is to produce the mother nuclease which is Germanium-68. There are many nuclear reactions from which the Ge-68 is obtained, however, the best reaction is 6 9 G a(p, 2n) 6 8 G e . The cross section of this nuclear reaction was calculated with the ALICE-91 Code and the result was compared with the practical work made by other researchers, and it was acceptable. Having the cross sections in mind, the best proton energy was calculated to be between 20-25 MeV. Further research showed that Ga 2 O 3 is the best type of target material. Therefore, it was necessary to design and make a suitable target holder for these kind of compositions, which for the first time in Iran was demonstrated in the Atomic Energy Organization of Iran. The thickness of the target, bearing in mind the rate of energy loss inside the target material, was calculated with the SRIM Code and the Ga 2 O 3 tablets were made with FT-IR facilities at the Nuclear Research Center for Agriculture and Medicine (NRCAM). They were, then bombarded with 22.5 MeV proton energy and the beam currents of 2 and 10 μA. Two weeks after the bombardment the radio chemical separation of Ge-68 was accompolished with concentrated acid HN0 3 and by applying heat. Then, the acid solution was evaporated till dried, after that, an EDTA solution (0.005 M, pH=11) was added to recover the Ge-68. By passing the EDTA solution with the rate of 0.5 ml/min through the AI 2 O 3 column, the Ge-68 radioisotope was observed. Then, about 50 ml of EDTA (0.005 M, pH=11) was passed through the loaded column, where almost all the natural Gallium impurities were removed. The prepared generators were milked many times with EDTA solution (0.005 M, pH=8) and the leakage of Ge-68 nuclease and natural Gallium were determined. The average of the

  17. Mesostructured metal germanium sulfides

    Energy Technology Data Exchange (ETDEWEB)

    MacLachlan, M.J.; Coombs, N.; Bedard, R.L.; White, S.; Thompson, L.K.; Ozin, G.A.

    1999-12-29

    A new class of mesostructured metal germanium sulfide materials has been prepared and characterized. The synthesis, via supramolecular assembly of well-defined germanium sulfide anionic cluster precursors and transition-metal cations in formamide, represents a new strategy for the formation of this class of solids. A variety of techniques were employed to examine the structure and composition of the materials. Structurally, the material is best described as a periodic mesostructured metal sulfide-based coordination framework akin to periodic hexagonal mesoporous silica, MCM-41. At the molecular scale, the materials strongly resemble microstructured metal germanium sulfides, in which the structure of the [Ge{sub 4}S{sub 10}]{sup 4{minus}} cluster building-blocks are intact and linked via {mu}-S-M-S bonds. Evidence for a metal-metal bond in mesostructured Cu/Ge{sub 4}S{sub 10} is also provided.

  18. Metal induced crystallization of silicon germanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gjukic, M.

    2007-05-15

    In the framework of this thesis the applicability of the aluminium-induced layer exchange on binary silicon germanium alloys was studied. It is here for the first time shown that polycrstalline silicon-germanium layers can be fabricated over the whole composition range by the aluminium-induced layer exchange. The experimental results prove thet the resulting material exhibits a polycrystalline character with typocal grain sizes of 10-100 {mu}m. Raman measurements confirm that the structural properties of the resulting layers are because of the large crystallites more comparable with monocrystalline than with nano- or microcrystalline silicon-germanium. The alloy ratio of the polycrystalline layer correspondes to the chemical composition of the amorphous starting layer. The polycrystalline silicon-germanium layers possess in the range of the interband transitions a reflection spectrum, as it is otherwise only known from monocrystalline reference layers. The improvement of the absorption in the photovoltaically relevant spectral range aimed by the application of silicon-germanium could be also proved by absorption measurments. Strongly correlated with the structural properties of the polycrystalline layers and the electronic band structure resulting from this are beside the optical properties also the electrical properties of the material, especially the charge-carrier mobility and the doping concentration. For binary silicon-germanium layers the hole concentration of about 2 x 10{sup 18} cm{sup -3} for pure silicon increrases to about 5 x 10{sup 20} cm{sub -3} for pure germanium. Temperature-resolved measurements were applied in order to detect doping levels respectively semiconductor-metal transitions. In the last part of the thesis the hydrogen passivation of polycrystalline thin silicon-germanium layers, which were fabricated by means of aluminium-induced layer exchange, is treated.

  19. Germanium content in Polish hard coals

    Directory of Open Access Journals (Sweden)

    Makowska Dorota

    2016-01-01

    Full Text Available Due to the policy of the European Union, it is necessary to search for new sources of scarce raw materials. One of these materials is germanium, listed as a critical element. This semi-metal is widely used in the electronics industry, for example in the production of semiconductors, fibre optics and solar cells. Coal and fly ash from its combustion and gasification for a long time have been considered as a potential source of many critical elements, particularly germanium. The paper presents the results of germanium content determination in the Polish hard coal. 23 coal samples of various coal ranks were analysed. The samples were collected from 15 mines of the Upper Silesian Coal Basin and from one mine of the Lublin Coal Basin. The determination of germanium content was performed with the use of Atomic Absorption Spectrometry with Electrothermal Atomization (GFAAS. The investigation showed that germanium content in the analysed samples was at least twice lower than the average content of this element in the hard coals analysed so far and was in the range of 0.08 ÷ 1.28 mg/kg. Moreover, the content of Ge in the ashes from the studied coals does not exceed 15 mg/kg, which is lower than the average value of Ge content in the coal ashes. The highest content of this element characterizes coals of the Lublin Coal Basin and young coals type 31 from the Vistula region. The results indicate a low utility of the analysed coal ashes as a source of the recovery of germanium. On the basis of the analyses, the lack of the relationship between the content of the element and the ash content in the tested coals was noted. For coals of the Upper Silesian Coal Basin, the relationship between the content of germanium in the ashes and the depth of the seam was observed.

  20. Status report on the International Germanium Experiment

    International Nuclear Information System (INIS)

    Brodzinski, R.L.; Avignone, F.T.; Collar, J.I.; Courant, H.; Garcia, E.; Guerard, C.K.; Hensley, W.K.; Kirpichnikov, I.V.; Miley, H.S.; Morales, A.; Morales, J.; Nunez-Lagos, R.; Osetrov, S.B.; Pogosov, V.S.; Pomansky, A.A.; Puimedon, J.; Reeves, J.H.; Ruddick, K.; Saenz, C.; Salinas, A.; Sarsa, M.L.; Smolnikov, A.A.; Starostin, A.S.; Tamanyan, A.G.; Vasiliev, S.I.; Villar, J.A.

    1993-01-01

    Phase II detector fabrication for the International Germanium Experiment is in progress. Sources of background observed during Phase I are discussed. Cosmogenic 7 Be is measured in germanium. Radium contamination, presumably in electroformed copper, is reported. (orig.)

  1. Status report on the International Germanium Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Brodzinski, R L; Avignone, F.T.; Collar, J I; Courant, H; Garcia, E; Guerard, C K; Hensley, W K; Kirpichnikov, I V; Miley, H S; Morales, A; Morales, J; Nunez-Lagos, R; Osetrov, S B; Pogosov, V S; Pomansky, A A; Puimedon, J; Reeves, J H; Ruddick, K; Saenz, C; Salinas, A; Sarsa, M L; Smolnikov, A A; Starostin, A S; Tamanyan, A G; Vasiliev, S I; Villar, J A [Pacific Northwest Lab., Richland, WA (United States) Univ. of South Carolina, Columbia, SC (United States) Univ. of Minnesota, Minneapolis, MN (United States) Univ. of Zaragoza (Spain) Inst. for Theoretical and Experimental Physics, Moscow (Russian Federation) Inst. for Nuclear Research, Baksan Neutrino Observatory (Russian Federation) Yerevan Physical Inst., Yerevan (Armenia)

    1993-04-01

    Phase II detector fabrication for the International Germanium Experiment is in progress. Sources of background observed during Phase I are discussed. Cosmogenic [sup 7]Be is measured in germanium. Radium contamination, presumably in electroformed copper, is reported. (orig.)

  2. Smooth germanium nanowires prepared by a hydrothermal deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Pei, L.Z., E-mail: lzpei1977@163.com [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhao, H.S. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Tan, W. [Henkel Huawei Electronics Co. Ltd., Lian' yungang, Jiangsu 222006 (China); Yu, H.Y. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Chen, Y.W. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Fan, C.G. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhang, Qian-Feng, E-mail: zhangqf@ahut.edu.cn [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China)

    2009-11-15

    Smooth germanium nanowires were prepared using Ge and GeO{sub 2} as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  3. Smooth germanium nanowires prepared by a hydrothermal deposition process

    International Nuclear Information System (INIS)

    Pei, L.Z.; Zhao, H.S.; Tan, W.; Yu, H.Y.; Chen, Y.W.; Fan, C.G.; Zhang, Qian-Feng

    2009-01-01

    Smooth germanium nanowires were prepared using Ge and GeO 2 as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  4. Synthesis and evaluation of germanium organometallic compounds as precursors for chemical vapor deposition (CVD) and for obtaining nanoparticles of elemental germanium

    International Nuclear Information System (INIS)

    Ballestero Martinez, Ernesto

    2014-01-01

    The interest in the development of materials having applications such as electronics areas or biomarkers has affected the synthesis of new compounds based on germanium. This element has had two common oxidation states, +4 and +2, of them, +2 oxidation state has been the least studied and more reactive. Additionally, compounds of germanium (II) have had similarities with carbenes regarding the chemical acid-base Lewis. The preparation of compounds of germanium (II) with ligands β-decimations has enabled stabilization of new chemical functionalities and, simultaneously, provided interesting thermal properties to develop new preparation methodologies of materials with novel properties. The preparation of amides germanium(II) L'Ge(NHPh) [1, L' = {HC (CMeN-2,4,6-Me 3 C 6 H 2 ) 2 }], L'Ge(4-NHPy) [2] L'Ge(2-NHPy) [3] and LGe(2-NHPy) [4, L = {HC(CMeN-2,6- i Pr 2 C 6 H 3 ) 2 }]; the structural chemical composition were determined using techniques such as nuclear magnetic resonance ( 1 H, 13 C), other techniques are treated: elemental analysis, melting point, infrared spectroscopy, X-ray diffraction of single crystal and thermal gravimetric analysis (TGA). The TGA has showed that 4-1 have experimented a thermal decomposition; therefore, these compounds could be considered as potential starting materials for obtaining germanium nitride (GeN x ). Certainly, the availability of nitrogen coordinating atoms in the chemical composition in 2-4 have been interesting because it could act as ligands in reactions with transition metal complexes. That way, information could be obtained at the molecular level for some reactions and interactions that in surface chemistry have used similar link sites, for example, chemical functionalization of silicon and germanium substrates. The synthesis and structural characterization of germanium chloride compound(II) L''GeCl [5, L'' = HC{(CMe) (N-2,6-Me 2 C 6 H 3 )} 2 ], which could be used later for the

  5. Neutron-transmutation-doped germanium bolometers

    International Nuclear Information System (INIS)

    Palaio, N.P.; Rodder, M.; Haller, E.E.; Kreysa, E.

    1983-02-01

    Six slices of ultra-pure germanium were irradiated with thermal neutron fluences between 7.5 x 10 16 and 1.88 x 10 18 cm - 2 . After thermal annealing the resistivity was measured down to low temperatures ( 0 exp(δ/T) in the hopping conduction regime. Also, several junction FETs were tested for noise performance at room temperature and in an insulating housing in a 4.2K cryostat. These FETs will be used as first stage amplifiers for neutron-transmutation-doped germanium bolometers

  6. Rate of germanium-isotope production by background processes in the SAGE experiment

    International Nuclear Information System (INIS)

    Gavrin, V.N.; Gorbachev, V.V.; Ibragimova, T.V.; Cleveland, B.T.

    2002-01-01

    Data on a direct determination of systematic uncertainties caused by the background production of germanium isotopes in the radiochemical SAGE experiment measuring the solar-neutrino flux are analyzed. The result obtained for the rate of 68 Ge production is 6.5(1±1.0) times greater than the expected one; the rate of 69 Ge production does not exceed preliminary estimates. The above result for 68 Ge corresponds to the systematic uncertainty that is caused by the interaction of cosmic-ray muons and which is equal to 5.8% (4.5 SNU) at a solar-neutrino-capture rate of 77.0 SNU. An experiment is proposed that would test the effect of cosmic-ray muon influence on the SAGE systematic uncertainty and which would be performed at the location of the underground scintillation telescope facilities of the Baksan Neutrino Observatory (Institute for Nuclear Research, Russian Academy of Sciences)

  7. Germanium soup

    Science.gov (United States)

    Palmer, Troy A.; Alexay, Christopher C.

    2006-05-01

    This paper addresses the variety and impact of dispersive model variations for infrared materials and, in particular, the level to which certain optical designs are affected by this potential variation in germanium. This work offers a method for anticipating and/or minimizing the pitfalls such potential model variations may have on a candidate optical design.

  8. Method of beryllium implantation in germanium substrate

    International Nuclear Information System (INIS)

    Kagawa, S.; Baba, Y.; Kaneda, T.; Shirai, T.

    1983-01-01

    A semiconductor device is disclosed, as well as a method for manufacturing it in which ions of beryllium are implanted into a germanium substrate to form a layer containing p-type impurity material. There after the substrate is heated at a temperature in the range of 400 0 C. to 700 0 C. to diffuse the beryllium ions into the substrate so that the concentration of beryllium at the surface of the impurity layer is in the order of 10 17 cm- 3 or more. In one embodiment, a p-type channel stopper is formed locally in a p-type germanium substrate and an n-type active layer is formed in a region surrounded by, and isolated from, the channel stopper region. In another embodiment, a relatively shallow p-type active layer is formed at one part of an n-type germanium substrate and p-type guard ring regions are formed surrounding, and partly overlapping said p-type active layer. In a further embodiment, a p-type island region is formed at one part of an n-type germanium substrate, and an n-type region is formed within said p-type region. In these embodiments, the p-type channel stopper region, p-type guard ring regions and the p-type island region are all formed by implanting ions of beryllium into the germanium substrate

  9. Germanium-overcoated niobium Dayem bridges

    International Nuclear Information System (INIS)

    Holdeman, L.B.; Peters, P.N.

    1976-01-01

    Overcoating constriction microbridges with semiconducting germanium provides additional thermal conductivity at liquid-helium temperatures to reduce the effects of self-heating in these Josephson junctions. Microwave-induced steps were observed in the I-V characteristics of an overcoated Dayem bridge fabricated in a 15-nm-thick niobium film; at 4.2 K (T/sub c/-T=2.6 K), at least 20 steps could be counted. No steps were observed in the I-V characteristics of the bridge prior to overcoating. In addition, the germanium overcoat can protect against electrical disturbances at room temperature

  10. Amorphous germanium as an electron or hole blocking contact on high-purity germanium detectors

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.

    1976-10-01

    Experiments were performed in an attempt to make thin n + contacts on high-purity germanium by the solid phase/sup 1)/ epitaxial regrowth of arsenic doped amorphous germanium. After cleaning the crystal surface with argon sputtering and trying many combinations of layers, it was not found possible to induce recrystallization below 400 0 C. However, it was found that simple thermally evaporated amorphous Ge made fairly good electron or hole blocking contacts. Excellent spectrometers have been made with amorphous Ge replacing the n + contact. As presently produced, the amorphous Ge contact diodes show a large variation in high-voltage leakage current

  11. The processing of enriched germanium for the MAJORANA DEMONSTRATOR and R&D for a next generation double-beta decay experiment

    Science.gov (United States)

    Abgrall, N.; Arnquist, I. J.; Avignone, F. T., III; Barabash, A. S.; Bertrand, F. E.; Bradley, A. W.; Brudanin, V.; Busch, M.; Buuck, M.; Caja, J.; Caja, M.; Caldwell, T. S.; Christofferson, C. D.; Chu, P.-H.; Cuesta, C.; Detwiler, J. A.; Dunagan, C.; Dunstan, D. T.; Efremenko, Yu.; Ejiri, H.; Elliott, S. R.; Gilliss, T.; Giovanetti, G. K.; Goett, J.; Green, M. P.; Gruszko, J.; Guinn, I. S.; Guiseppe, V. E.; Haufe, C. R. S.; Henning, R.; Hoppe, E. W.; Jasinski, B. R.; Kidd, M. F.; Konovalov, S. I.; Kouzes, R. T.; Lopez, A. M.; MacMullin, J.; Martin, R. D.; Massarczyk, R.; Meijer, S. J.; Mertens, S.; Meyer, J. H.; Myslik, J.; O'Shaughnessy, C.; Poon, A. W. P.; Radford, D. C.; Rager, J.; Reine, A. L.; Reising, J. A.; Rielage, K.; Robertson, R. G. H.; Shanks, B.; Shirchenko, M.; Suriano, A. M.; Tedeschi, D.; Toth, L. M.; Trimble, J. E.; Varner, R. L.; Vasilyev, S.; Vetter, K.; Vorren, K.; White, B. R.; Wilkerson, J. F.; Wiseman, C.; Xu, W.; Yakushev, E.; Yu, C.-H.; Yumatov, V.; Zhitnikov, I.; Zhu, B. X.

    2018-01-01

    The MAJORANA DEMONSTRATOR is an array of point-contact Ge detectors fabricated from Ge isotopically enriched to 88% in 76 Ge to search for neutrinoless double beta decay. The processing of Ge for germanium detectors is a well-known technology. However, because of the high cost of Ge enriched in 76 Ge special procedures were required to maximize the yield of detector mass and to minimize exposure to cosmic rays. These procedures include careful accounting for the material; shielding it to reduce cosmogenic generation of radioactive isotopes; and development of special reprocessing techniques for contaminated solid germanium, shavings, grindings, acid etchant and cutting fluids from detector fabrication. Processing procedures were developed that resulted in a total yield in detector mass of 70%. However, none of the acid-etch solution and only 50% of the cutting fluids from detector fabrication were reprocessed. Had they been processed, the projections for the recovery yield would be between 80% and 85%. Maximizing yield is critical to justify a possible future ton-scale experiment. A process for recovery of germanium from the acid-etch solution was developed with yield of about 90%. All material was shielded or stored underground whenever possible to minimize the formation of 68Ge by cosmic rays, which contributes background in the double-beta decay region of interest and cannot be removed by zone refinement and crystal growth. Formation of 68Ge was reduced by a significant factor over that in natural abundance detectors not protected from cosmic rays.

  12. Gallium‐68 DOTATATE Production with Automated PET Radiopharmaceutical Synthesis System: A Three Year Experience

    Directory of Open Access Journals (Sweden)

    Alireza Aslani

    2014-10-01

    Full Text Available Objective(s: Gallium‐68 (Ga‐68 is an ideal research and hospital‐based PET radioisotope. Currently, the main form of Ga‐68 radiopharmaceutical that is being synthesised in‐house is Ga‐68 conjugated with DOTA based derivatives. The development of automated synthesis systems has increased the reliability, reproducibility and safety of radiopharmaceutical productions. Here we report on our three year, 500 syntheses experience with an automated system for Ga‐68 DOTATATE. Methods: The automated synthesis system we use is divided into three parts of a servomotor modules, b single use sterile synthesis cassettes and, c a computerized system that runs the modules. An audit trail is produced by the system as a requirement for GMP production. The required reagents and chemicals are made in‐. The Germanium breakthrough is determined on a weekly basis. Production yields for each synthesis are calculated to monitor the performance and efficiency of the synthesis. The quality of the final product is assessed after each synthesis by ITLC‐SG and HPLC methods. Results: A total of 500 Ga‐68 DOTATATE syntheses (>800 patient doses were performed between March 2011 and February 2014. The average generator yield was 81.3±0.2% for 2011, 76.7±0.4% for 2012 and 75.0±0.3% for 2013. Ga‐68 DOTATATE yields for 2011, 2012, and 2013 were 81.8±0.4%, 82.2±0.4% and 87.9±0.4%, respectively. These exceed the manufacturer’s expected value of approximately 70%. Germanium breakthrough averaged 8.6×10‐6% of total activity which is well below the recommended level of 0.001%. The average ITLC‐measured radiochemical purity was above 98.5% and the average HPLC‐measured radiochemical purity was above 99.5%. Although there were some system failures during synthesis, there were only eight occasions where the patient scans needed to be rescheduled. Conclusion: In our experience the automated synthesis system performs reliably with a relatively low incident

  13. Manufacturing P-N junctions in germanium bodies

    International Nuclear Information System (INIS)

    Hall, R.N.

    1980-01-01

    A method of producing p-n junctions in Ge so as to facilitate their use as radiation detectors involves forming a body of high purity p-type germanium, diffusing lithium deep into the body, in the absence of electrolytic processes, to form a junction between n-type and p-type germanium greater than 1 mm depth. (UK)

  14. Preparation of gallium-68 radiopharmaceuticals for positron tomography. Progress report, November 1, 1978-October 31, 1979

    International Nuclear Information System (INIS)

    Welch, M.J.

    1978-06-01

    Although the germanium-gallium generator is probably the only source of positron-emitting radionuclides that would enable the wide application of positron tomography, the generator system in use suffers from several major disadvantages. The most important of these is that the generator is eluted with EDTA, and EDTA forms a very strong chelate with gallium. In order to produce radiopharmaceuticals other than gallium-68 EDTA it is necessary to break the stable EDTA complex and remove all the EDTA. A new generator system using a solvent extraction system which will produce gallium-68 8-hydroxyquinoline, a weak chelate has been developed. Using this agent, several gallium-68 radiopharmaceuticals have been synthesized and tested in vitro and in vivo. Attempts have been made using polarographic and chromatographic techniques to investigate the stability of gallium-68 complexes with a series of cryptates

  15. The germination of germanium

    Science.gov (United States)

    Burdette, Shawn C.; Thornton, Brett F.

    2018-02-01

    Shawn C. Burdette and Brett F. Thornton explore how germanium developed from a missing element in Mendeleev's periodic table to an enabler for the information age, while retaining a nomenclature oddity.

  16. Structure of compensating centers in neutron irradiated n-type germanium

    International Nuclear Information System (INIS)

    Erchak, D.P.; Kosobutskij, V.S.; Stel'makh, V.F.

    1989-01-01

    Structural model of one of the main compensating defects of Ge-M1, Ge-M5, Ge-M6 in neutron irradiated (10 18 -10 20 cm -2 ) germanium, strongly alloyed (2x10 18 -3x10 19 cm -3 ) with antimony, phosphorus and arsenic respectively, is suggested. The above mentioned compensating centers are paramagnetic in a positive charge state and represent a vacancy, two nearby germanium atoms of which are replaced with two atoms of corresponding fine donor impurity. It is mainly contributed (63%- for Ge-M5 centers, 56% - for Ge-M6 centers) by orbitals of two germanium atoms neighbouring the vacancy. The angle of the bonds of each of two mentioned germanium atoms with its three neighbours and orientation of maximum electron density of hybride orbital, binding both germanium atoms, is approximately by 5 deg greater the tetrahedral one

  17. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  18. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  19. Silver-compensated germanium center in α-quartz

    International Nuclear Information System (INIS)

    Laman, F.C.; Weil, J.A.

    1977-01-01

    A synthetic germanium-doped crystal of α-quartz was subjected to an electro-diffusion process (ca. 600 V/cm, 625 0 K), in which Ag + ions were introduced along the crystal's optic axis (c). A 9800 MHz electron paramagnetic resonance spectrum at room temperature, taken after room temperature X-irradiation, revealed the presence of a silver-compensated germanium center Asub(Ge-Ag) with large, almost isotropic 107 Ag and 109 Ag hyperfine splittings. Measurement of the spin-Hamiltonian discloses that a suitable model for the observed center utilizes germanium, substituted for silicon, with the accompanying silver interstitial in a nearby c-axis channel, and with electronic structure in which an appreciable admixture Ge 4+ - Ag 0 to Ge 3+ - Ag + exists. Estimates of the unpaired electron orbital are presented. (author)

  20. Neutron-transmutation-doped germanium bolometers

    Science.gov (United States)

    Palaio, N. P.; Rodder, M.; Haller, E. E.; Kreysa, E.

    1983-01-01

    Six slices of ultra-pure germanium were irradiated with thermal neutron fluences between 7.5 x 10 to the 16th and 1.88 x 10 to the 18th per sq cm. After thermal annealing the resistivity was measured down to low temperatures (less than 4.2 K) and found to follow the relationship rho = rho sub 0 exp(Delta/T) in the hopping conduction regime. Also, several junction FETs were tested for noise performance at room temperature and in an insulating housing in a 4.2 K cryostat. These FETs will be used as first stage amplifiers for neutron-transmutation-doped germanium bolometers.

  1. Nonthermal plasma synthesis of size-controlled, monodisperse, freestanding germanium nanocrystals

    International Nuclear Information System (INIS)

    Gresback, Ryan; Holman, Zachary; Kortshagen, Uwe

    2007-01-01

    Germanium nanocrystals may be of interest for a variety of electronic and optoelectronic applications including photovoltaics, primarily due to the tunability of their band gap from the infrared into the visible range of the spectrum. This letter discusses the synthesis of monodisperse germanium nanocrystals via a nonthermal plasma approach which allows for precise control of the nanocrystal size. Germanium crystals are synthesized from germanium tetrachloride and hydrogen entrained in an argon background gas. The crystal size can be varied between 4 and 50 nm by changing the residence times of crystals in the plasma between ∼30 and 440 ms. Adjusting the plasma power enables one to synthesize fully amorphous or fully crystalline particles with otherwise similar properties

  2. Mesostructured germanium with cubic pore symmetry

    Energy Technology Data Exchange (ETDEWEB)

    Armatas, G S; Kanatzidis, M G [Michigan State Univ., Michigan (United States), Dept. of Chemistry

    2006-11-15

    Regular mesoporous oxide materials have been widely studied and have a range of potential applications, such as catalysis, absorption and separation. They are not generally considered for their optical and electronic properties. Elemental semiconductors with nanopores running through them represent a different form of framework material with physical characteristics contrasting with those of the more conventional bulk, thin film and nanocrystalline forms. Here we describe cubic meso structured germanium, MSU-Ge-l, with gyroidal channels containing surfactant molecules, separated by amorphous walls that lie on the gyroid (G) minimal surface as in the mesoporous silica MCM-48. Although Ge is a high-meltin covalent semiconductor that is difficult to prepare from solution polymerization, we succeeded in assembling a continuous Ge network using a suitable precursor for Ge{sup 4-} atoms. Our results indicate that elemental semiconductors from group 14 of the periodic table can be made to adopt meso structured forms such as MSU-Ge-1, which features two three-dimensional labyrinthine tunnels obeying la3d space group symmetry and separated by a continuous germanium minimal surface that is otherwise amorphous. A consequence of this new structure for germanium, which has walls only one nanometre thick, is a wider electronic energy bandgap (1.4 eV versus 0.66 eV) than has crystalline or amorphous Ge. Controlled oxidation of MSU-Ge-1 creates a range of germanium suboxides with continuously varying Ge:O ratio and a smoothly increasing energy gap. (author)

  3. An environmentally-friendly vacuum reduction metallurgical process to recover germanium from coal fly ash

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Lingen; Xu, Zhenming, E-mail: zmxu@sjtu.edu.cn

    2016-07-15

    Highlights: • An environmental friendly vacuum reduction metallurgical process is proposed. • Rare and valuable metal germanium from coal fly ash is recycled. • Residues are not a hazardous material and can be further recycled. • A germanium recovery ratio of 94.64% is obtained in pilot scale experiments. - Abstract: The demand for germanium in the field of semiconductor, electronics, and optical devices is growing rapidly; however, the resources of germanium are scarce worldwide. As a secondary material, coal fly ash could be further recycled to retrieve germanium. Up to now, the conventional processes to recover germanium have two problems as follows: on the one hand, it is difficult to be satisfactory for its economic and environmental effect; on the other hand, the recovery ratio of germanium is not all that could be desired. In this paper, an environmentally-friendly vacuum reduction metallurgical process (VRMP) was proposed to recover germanium from coal fly ash. The results of the laboratory scale experiments indicated that the appropriate parameters were 1173 K and 10 Pa with 10 wt% coke addition for 40 min, and recovery ratio germanium was 93.96%. On the basis of above condition, the pilot scale experiments were utilized to assess the actual effect of VRMP for recovery of germanium with parameter of 1473 K, 1–10 Pa and heating time 40 min, the recovery ratio of germanium reached 94.64%. This process considerably enhances germanium recovery, meanwhile, eliminates much of the water usage and residue secondary pollution compared with other conventional processes.

  4. Near-infrared emission from mesoporous crystalline germanium

    Energy Technology Data Exchange (ETDEWEB)

    Boucherif, Abderraouf; Aimez, Vincent; Arès, Richard, E-mail: richard.ares@usherbrooke.ca [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Laboratoire Nanotechnologies Nanosystèmes (LN2)-CNRS UMI-3463, Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Korinek, Andreas [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario, L8S 4M1 (Canada)

    2014-10-15

    Mesoporous crystalline germanium was fabricated by bipolar electrochemical etching of Ge wafer in HF-based electrolyte. It yields uniform mesoporous germanium layers composed of high density of crystallites with an average size 5-7 nm. Subsequent extended chemical etching allows tuning of crystallites size while preserving the same chemical composition. This highly controllable nanostructure exhibits photoluminescence emission above the bulk Ge bandgap, in the near-infrared range (1095-1360nm) with strong evidence of quantum confinement within the crystallites.

  5. Effects of electronically neutral impurities on muonium in germanium

    International Nuclear Information System (INIS)

    Clawson, C.W.; Crowe, K.M.; Haller, E.E.; Rosenblum, S.S.; Brewer, J.H.

    1983-04-01

    Low-temperature measurements of muonium parameters in various germanium crystals have been performed. We have measured crystals with different levels of neutral impurities, with and without dislocations, and with different annealing histories. The most striking result is the apparent trapping of Mu by silicon impurities in germanium

  6. Analytical product study of germanium-containing medicine by different ICP-MS applications

    NARCIS (Netherlands)

    Krystek, Petra; Ritsema, Rob

    2004-01-01

    For several years organo-germanium containing medicine has been used for special treatments of e.g. cancer and AIDS. The active substances contain germanium as beta-carboxyethylgermanium sesquioxide ((GeCH2CH 2COO-H)2O3/"Ge-132"), spirogermanium, germanium-lactate-citrate or unspecified forms. For

  7. Preparation of gallium-68 radiopharmaceuticals for positron tomography. Progress report, November 1, 1977-October 31, 1980

    Energy Technology Data Exchange (ETDEWEB)

    Welch, M.J.

    1980-06-01

    Although the germanium-68 ..-->.. gallium-68 generator is probably the only source of positron-emitting radionuclides that could enable the widespread application of positron tomography, the commercially available /sup 68/Ga//sup 68/Ge generator system suffers from several major disadvantages. The most important of these is that the generator is eluted with EDTA, which forms a very strong chelate with gallium. In order to produce radiopharmaceuticals other than /sup 68/Ga-EDTA, it is first necessary to break the stable EDTA complex and remove all traces of EDTA. This procedure adds several steps and a significant amount of time to procedures for preparing /sup 68/Ga-radiopharmaceuticals. We have developed a new generator using a solvent extraction system which will produce /sup 68/Ga-oxine (8-hydroxyquinoline), a weak chelate. Using this agent we have synthesized several /sup 68/Ga-radiopharmaceuticals and tested them in vitro and in vivo. We have also carried out some preliminary studies to compare generator systems which produce /sup 68/Ga in an ionic form. Attempts have been made using polarographic and chromatographic techniques, and in vivo distribution data to investigate the stability of radiogallium complexes with a series of potentially lipophilic complexing agents.

  8. Modeling of dislocation dynamics in germanium Czochralski growth

    Science.gov (United States)

    Artemyev, V. V.; Smirnov, A. D.; Kalaev, V. V.; Mamedov, V. M.; Sidko, A. P.; Podkopaev, O. I.; Kravtsova, E. D.; Shimansky, A. F.

    2017-06-01

    Obtaining very high-purity germanium crystals with low dislocation density is a practically difficult problem, which requires knowledge and experience in growth processes. Dislocation density is one of the most important parameters defining the quality of germanium crystal. In this paper, we have performed experimental study of dislocation density during 4-in. germanium crystal growth using the Czochralski method and comprehensive unsteady modeling of the same crystal growth processes, taking into account global heat transfer, melt flow and melt/crystal interface shape evolution. Thermal stresses in the crystal and their relaxation with generation of dislocations within the Alexander-Haasen model have been calculated simultaneously with crystallization dynamics. Comparison to experimental data showed reasonable agreement for the temperature, interface shape and dislocation density in the crystal between calculation and experiment.

  9. Preparation of gallium-68 radiopharmaceuticals for positron tomography. Progress report, November 1, 1980-December 31, 1981

    International Nuclear Information System (INIS)

    Welch, M.J.

    1981-06-01

    Although the germanium-68 → gallium-68 generator is probably the only source of positron-emitting radionuclides that could enable the widespread application of positron tomography, the commercially available 68 Ga/ 68 Ge generator system suffers from several major disadvantages. The most important of these is that the generator is eluted with EDTA, which forms a very strong chelate with gallium. In order to produce radiopharmaceuticals other than 68 Ga-EDTA, it is first necessary to break the stable EDTA complex and remove all traces of EDTA. This procedure adds several steps and a significant amount of time to procedures for preparing 68 Ga-radiopharmaceuticals. Several years ago, we developed a new generator using a solvent extraction system which produces 68 Ga-oxine (8-hydroxyquinoline), a weak chelate. We have also carried out studies to compare generator systems which produce 68 Ga in an ionic form. Using the gallium-68 eluted from these various generator systems, several 68 Ga-labeled radiopharmaceuticals have been synthesized and tested in vitro and in vivo. In addition, attempts have been made to design and synthesize a lipophilic ligand for gallium-68. The stability of radiogallium complexed with a series of potentially lipophilic complexing agents has been studied using chromatographic techniques and in vivo distribution data. The potential of these complexing agents for altering the biodistribution of gallium radiopharmaceuticals has also been investigated

  10. Neutron Transmission of Germanium Poly- and Monocrystals

    International Nuclear Information System (INIS)

    Habib, N.

    2009-01-01

    The measured total neutron cross-sections of germanium poly- and mono-crystals were analyzed using an additive formula. The formula takes into account the germanium crystalline structure and its physical parameters. Computer programs have developed in order to provide the required analyses. The calculated values of the total cross-section of polycrystalline germanium in the neutron wavelength range from 0.001 up to 0.7 nm were fitted to the measured ones at ETRR-1. From the fitting the main constants of the additive formula were determined. The experimental data measured at ETRR-1 of the total cross-section of high quality Ge single crystal at 4400 K, room, and liquid nitrogen temperatures, in the wavelength range between 0.028 nm and 0.64 nm, were also compared with the calculated values using the formula having the same constants. An overall agreement is noticed between the formula fits and experimental data. A feasibility study is done for the use of germanium in poly-crystalline form, as cold neutron filter, and in mono-crystalline one as an efficient filter for thermal neutrons. The filtering efficiency of Ge single crystal is detailed in terms of its isotopic abundance, crystal thickness, mosaic spread, and temperature. It can be concluded that the 7.5 cm thick 76 Ge single crystal (0.10 FWHM mosaic spread) cooled at liquid nitrogen temperature is an efficient thermal neutron filter.

  11. Status report on the International Germanium Experiment

    International Nuclear Information System (INIS)

    Brodzinski, R.L.; Hensley, W.K.; Miley, H.S.; Reeves, J.H.; Avignone, F.T.; Collar, J.I.; Guerard, C.K.; Courant, H.; Ruddick, K.; Kirpichnikov, I.V.; Starostin, A.S.; Osetrov, S.B.; Pomansky, A.A.; Smolnikov, A.A.; Vasiliev, S.I.

    1992-06-01

    Phase II detector fabrication for the International Germanium Experiment is awaiting resolution of technical details observed during Phase I. Measurements of fiducial volume, configuration of the tansistor-reset preamplifier stage, and sources of background are discussed. Cosmogenic 7 Be is measured in germanium. Radium contamination in electroformed copper reported. The 2ν double- beta decay half-life of 76 Ge measured with a Phase I detector is in reasonable agreement with previously reported values. No events are observed in the vicinity of the Oν double-beta decay energy

  12. Bandgap-customizable germanium using lithographically determined biaxial tensile strain for silicon-compatible optoelectronics.

    Science.gov (United States)

    Sukhdeo, David S; Nam, Donguk; Kang, Ju-Hyung; Brongersma, Mark L; Saraswat, Krishna C

    2015-06-29

    Strain engineering has proven to be vital for germanium-based photonics, in particular light emission. However, applying a large permanent biaxial tensile strain to germanium has been a challenge. We present a simple, CMOS-compatible technique to conveniently induce a large, spatially homogenous strain in circular structures patterned within germanium nanomembranes. Our technique works by concentrating and amplifying a pre-existing small strain into a circular region. Biaxial tensile strains as large as 1.11% are observed by Raman spectroscopy and are further confirmed by photoluminescence measurements, which show enhanced and redshifted light emission from the strained germanium. Our technique allows the amount of biaxial strain to be customized lithographically, allowing the bandgaps of different germanium structures to be independently customized in a single mask process.

  13. Calibration of germanium detectors

    International Nuclear Information System (INIS)

    Debertin, K.

    1983-01-01

    The process of determining the energy-dependent detection probability with measurements using Ge (Li) and high-grade germanium detectors is described. The paper explains which standards are best for a given purpose and given requirements as to accuracy, and how to assess measuring geometry variations and summation corrections. (DG) [de

  14. Lattice site and thermal stability of transition metals in germanium

    CERN Document Server

    Augustyns, Valérie; Pereira, Lino

    Although the first transistor was based on germanium, current chip technology mainly uses silicon due to its larger abundance, a lower price and higher quality silicon-oxide. However, a very important goal in microelectronics is to obtain faster integrated circuits. The advantages of germanium compared to silicon (e.g. a higher mobility of the charge carriers) motivates further research on germanium based materials. Semiconductor doping (e.g. introducing impurities into silicon and germanium in order to alter - and control - their properties) can be done by ion implantation or by in situ doping, whereby the host material is doped during growth. This thesis focuses on introducing dopants by ion implantation. The implantation as well as the subsequent measurements were performed in ISOLDE (CERN) using the emission channeling technique. Although ion implantation generates undesired defects in the host material (e.g. vacancies), such damage can be reduced by performing the implantation at an elevated temperature....

  15. Optical properties of Germanium nanoparticles synthesized by pulsed laser ablation in acetone

    Directory of Open Access Journals (Sweden)

    Saikiran eVadavalli

    2014-10-01

    Full Text Available Germanium (Ge nanoparticles (NPs are synthesized by means of pulsed laser ablation of bulk germanium target immersed in acetone with ns laser pulses at different pulse energies. The fabricated NPs are characterized by employing different techniques such as UV-visible absorption spectroscopy, photoluminescence, micro-Raman spectroscopy, transmission electron microscopy (TEM and field emission scanning electron microscopy (FESEM. The mean size of the Ge NPs is found to vary from few nm to 40 nm with the increase in laser pulse energy. Shift in the position of the absorption spectra is observed and also the photoluminescence peak shift is observed due to quantum confinement effects. High resolution TEM combined with micro-Raman spectroscopy confirms the crystalline nature of the generated germanium nanoparticles. The formation of various sizes of germanium NPs at different laser pulse energies is evident from the asymmetry in the Raman spectra and the shift in its peak position towards the lower wavenumber side. The FESEM micrographs confirm the formation of germanium micro/nanostructures at the laser ablated position of the bulk germanium. In particular, the measured NP sizes from the micro-Raman phonon quantum confinement model are found in good agreement with TEM measurements of Ge NPs.

  16. Reaction studies of hot silicon, germanium and carbon atoms

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1990-01-01

    The goal of this project was to increase the authors understanding of the interplay between the kinetic and electronic energy of free atoms and their chemical reactivity by answering the following questions: (1) what is the chemistry of high-energy carbon silicon and germanium atoms recoiling from nuclear transformations; (2) how do the reactions of recoiling carbon, silicon and germanium atoms take place - what are the operative reaction mechanisms; (3) how does the reactivity of free carbon, silicon and germanium atoms vary with energy and electronic state, and what are the differences in the chemistry of these three isoelectronic atoms? This research program consisted of a coordinated set of experiments capable of achieving these goals by defining the structures, the kinetic and internal energy, and the charge states of the intermediates formed in the gas-phase reactions of recoiling silicon and germanium atoms with silane, germane, and unsaturated organic molecules, and of recoiling carbon atoms with aromatic molecules. The reactions of high energy silicon, germanium, and carbon atoms created by nuclear recoil were studied with substrates chosen so that their products illuminated the mechanism of the recoil reactions. Information about the energy and electronic state of the recoiling atoms at reaction was obtained from the variation in end product yields and the extent of decomposition and rearrangement of primary products (usually reactive intermediates) as a function of total pressure and the concentration of inert moderator molecules that remove kinetic energy from the recoiling atoms and can induce transitions between electronic spin states. 29 refs

  17. Radiation exposure during transmission measurements: comparison between CT- and germanium-based techniques with a current PET scanner

    International Nuclear Information System (INIS)

    Wu, Tung-Hsin; Huang, Yung-Hui; Lee, Jason J.S.; Wang, Shih-Yuan; Wang, Su-Cheng; Su, Cheng-Tau; Chen, Liang-Kung; Chu, Tieh-Chi

    2004-01-01

    In positron emission tomographic (PET) scanning, transmission measurements for attenuation correction are commonly performed by using external germanium-68 rod sources. Recently, combined PET and computed tomographic (CT) scanners have been developed in which the CT data can be used for both anatomical-metabolic image formation and attenuation correction of the PET data. The purpose of this study was to evaluate the difference between germanium- and CT-based transmission scanning in terms of their radiation doses by using the same measurement technique and to compare the doses that patients receive during brain, cardiac and whole-body scans. Measurement of absorbed doses to organs was conducted by using a Rando Alderson phantom with thermoluminescent dosimeters. Effective doses were calculated according to the guidelines in the International Commission on Radiation Protection Publication Number 60. Compared with radionuclide doses used in routine 2-[fluorine-18]-fluoro-2-deoxy-d-glucose PET imaging, doses absorbed during germanium-based transmission scans were almost negligible. On the other hand, absorbed doses from CT-based transmission scans were significantly higher, particularly with a whole-body scanning protocol. Effective doses were 8.81 mSv in the high-speed mode and 18.97 mSv in the high-quality mode for whole-body CT-based transmission scans. These measurements revealed that the doses received by a patient during CT-based transmission scanning are more than those received in a typical PET examination. Therefore, the radiation doses represent a limitation to the generalised use of CT-based transmission measurements with current PET/CT scanner systems. (orig.)

  18. Technology CAD for germanium CMOS circuit

    Energy Technology Data Exchange (ETDEWEB)

    Saha, A.R. [Department of Electronics and ECE, IIT Kharagpur, Kharagpur-721302 (India)]. E-mail: ars.iitkgp@gmail.com; Maiti, C.K. [Department of Electronics and ECE, IIT Kharagpur, Kharagpur-721302 (India)

    2006-12-15

    Process simulation for germanium MOSFETs (Ge-MOSFETs) has been performed in 2D SILVACO virtual wafer fabrication (VWF) suite towards the technology CAD for Ge-CMOS process development. Material parameters and mobility models for Germanium were incorporated in simulation via C-interpreter function. We also report on the device design issues along with the DC and RF characterization of the bulk Ge-MOSFETs, AC parameter extraction and circuit simulation of Ge-CMOS. Simulation results are compared with bulk-Si devices. Simulations predict a cut-off frequency, f {sub T} of about 175 GHz for Ge-MOSFETs compared to 70 GHz for a similar gate-length Si MOSFET. For a single stage Ge-CMOS inverter circuit, a GATE delay of 0.6 ns is predicted.

  19. Technology CAD for germanium CMOS circuit

    International Nuclear Information System (INIS)

    Saha, A.R.; Maiti, C.K.

    2006-01-01

    Process simulation for germanium MOSFETs (Ge-MOSFETs) has been performed in 2D SILVACO virtual wafer fabrication (VWF) suite towards the technology CAD for Ge-CMOS process development. Material parameters and mobility models for Germanium were incorporated in simulation via C-interpreter function. We also report on the device design issues along with the DC and RF characterization of the bulk Ge-MOSFETs, AC parameter extraction and circuit simulation of Ge-CMOS. Simulation results are compared with bulk-Si devices. Simulations predict a cut-off frequency, f T of about 175 GHz for Ge-MOSFETs compared to 70 GHz for a similar gate-length Si MOSFET. For a single stage Ge-CMOS inverter circuit, a GATE delay of 0.6 ns is predicted

  20. Segmentation of the Outer Contact on P-Type Coaxial Germanium Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Hull, Ethan L.; Pehl, Richard H.; Lathrop, James R.; Martin, Gregory N.; Mashburn, R. B.; Miley, Harry S.; Aalseth, Craig E.; Hossbach, Todd W.

    2006-09-21

    Germanium detector arrays are needed for low-level counting facilities. The practical applications of such user facilities include characterization of low-level radioactive samples. In addition, the same detector arrays can also perform important fundamental physics measurements including the search for rare events like neutrino-less double-beta decay. Coaxial germanium detectors having segmented outer contacts will provide the next level of sensitivity improvement in low background measurements. The segmented outer detector contact allows performance of advanced pulse shape analysis measurements that provide additional background reduction. Currently, n-type (reverse electrode) germanium coaxial detectors are used whenever a segmented coaxial detector is needed because the outer boron (electron barrier) contact is thin and can be segmented. Coaxial detectors fabricated from p-type germanium cost less, have better resolution, and are larger than n-type coaxial detectors. However, it is difficult to reliably segment p-type coaxial detectors because thick (~1 mm) lithium-diffused (hole barrier) contacts are the standard outside contact for p-type coaxial detectors. During this Phase 1 Small Business Innovation Research (SBIR) we have researched the possibility of using amorphous germanium contacts as a thin outer contact of p-type coaxial detectors that can be segmented. We have developed amorphous germanium contacts that provide a very high hole barrier on small planar detectors. These easily segmented amorphous germanium contacts have been demonstrated to withstand several thousand volts/cm electric fields with no measurable leakage current (<1 pA) from charge injection over the hole barrier. We have also demonstrated that the contact can be sputter deposited around and over the curved outside surface of a small p-type coaxial detector. The amorphous contact has shown good rectification properties on the outside of a small p-type coaxial detector. These encouraging

  1. Coexistence in even-even nuclei with emphasis on the germanium isotopes

    International Nuclear Information System (INIS)

    Carchidi, M.A.V.

    1985-01-01

    No simple model to date can explain in a self-consistent way the results of direct transfer data and BE2 electromagnetic rates in the germanium isotopes. The simplest models use a two-state interaction for describing the ground state and first excited O + state. In all cases, these models can account for some of the data, but they are in drastic conflict with other experimental measurements. In this thesis, it is shown that a two-state model can consistently account for two-neutron and alpha transfer O + 2 /g.s. cross-section ratio data in the germanium region (ie. zinc, germanium, and selenium), proton occupation number data in the ground states of the even stable zinc, germanium, and selenium isotopes, and BE2 transition rates in isotopes of germanium and zinc. In addition the author can account for most of the one-neutron and two-neutron transfer O + 2 /g.s. and (9/2 + 2 )/(9/2 + 1 ) cross-section ratio data in the odd-mass germanium isotopes. In this generalized two-state model (called Rerg1), the author makes as few assumptions as possible about the nature of the basis states; rather the author allows the experimental data to dictate the properties of the basis-state overlaps. In this sense, the author has learned much about the basis states and has a useful tool for constructing them. The author also shows that the Rerg1 model can quantitatively account for all two-neutron O + 2 /g.s. cross-section ratio data in all even-even nuclei from calcium to uranium

  2. Techniques to distinguish between electron and photon induced events using segmented germanium detectors

    International Nuclear Information System (INIS)

    Kroeninger, K.

    2007-01-01

    Two techniques to distinguish between electron and photon induced events in germanium detectors were studied: (1) anti-coincidence requirements between the segments of segmented germanium detectors and (2) the analysis of the time structure of the detector response. An 18-fold segmented germanium prototype detector for the GERDA neutrinoless double beta-decay experiment was characterized. The rejection of photon induced events was measured for the strongest lines in 60 Co, 152 Eu and 228 Th. An accompanying Monte Carlo simulation was performed and the results were compared to data. An overall agreement with deviations of the order of 5-10% was obtained. The expected background index of the GERDA experiment was estimated. The sensitivity of the GERDA experiment was determined. Special statistical tools were developed to correctly treat the small number of events expected. The GERDA experiment uses a cryogenic liquid as the operational medium for the germanium detectors. It was shown that germanium detectors can be reliably operated through several cooling cycles. (orig.)

  3. Techniques to distinguish between electron and photon induced events using segmented germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kroeninger, K.

    2007-06-05

    Two techniques to distinguish between electron and photon induced events in germanium detectors were studied: (1) anti-coincidence requirements between the segments of segmented germanium detectors and (2) the analysis of the time structure of the detector response. An 18-fold segmented germanium prototype detector for the GERDA neutrinoless double beta-decay experiment was characterized. The rejection of photon induced events was measured for the strongest lines in {sup 60}Co, {sup 152}Eu and {sup 228}Th. An accompanying Monte Carlo simulation was performed and the results were compared to data. An overall agreement with deviations of the order of 5-10% was obtained. The expected background index of the GERDA experiment was estimated. The sensitivity of the GERDA experiment was determined. Special statistical tools were developed to correctly treat the small number of events expected. The GERDA experiment uses a cryogenic liquid as the operational medium for the germanium detectors. It was shown that germanium detectors can be reliably operated through several cooling cycles. (orig.)

  4. High-resolution imaging gamma-ray spectroscopy with externally segmented germanium detectors

    Science.gov (United States)

    Callas, J. L.; Mahoney, W. A.; Varnell, L. S.; Wheaton, W. A.

    1993-01-01

    Externally segmented germanium detectors promise a breakthrough in gamma-ray imaging capabilities while retaining the superb energy resolution of germanium spectrometers. An angular resolution of 0.2 deg becomes practical by combining position-sensitive germanium detectors having a segment thickness of a few millimeters with a one-dimensional coded aperture located about a meter from the detectors. Correspondingly higher angular resolutions are possible with larger separations between the detectors and the coded aperture. Two-dimensional images can be obtained by rotating the instrument. Although the basic concept is similar to optical or X-ray coded-aperture imaging techniques, several complicating effects arise because of the penetrating nature of gamma rays. The complications include partial transmission through the coded aperture elements, Compton scattering in the germanium detectors, and high background count rates. Extensive electron-photon Monte Carlo modeling of a realistic detector/coded-aperture/collimator system has been performed. Results show that these complicating effects can be characterized and accounted for with no significant loss in instrument sensitivity.

  5. Oriented bottom-up growth of armchair graphene nanoribbons on germanium

    Science.gov (United States)

    Arnold, Michael Scott; Jacobberger, Robert Michael

    2016-03-15

    Graphene nanoribbon arrays, methods of growing graphene nanoribbon arrays and electronic and photonic devices incorporating the graphene nanoribbon arrays are provided. The graphene nanoribbons in the arrays are formed using a scalable, bottom-up, chemical vapor deposition (CVD) technique in which the (001) facet of the germanium is used to orient the graphene nanoribbon crystals along the [110] directions of the germanium.

  6. Germanium field-effect transistor made from a high-purity substrate

    International Nuclear Information System (INIS)

    Hansen, W.L.; Goulding, F.S.; Haller, E.E.

    1978-11-01

    Field effect transistors have been fabricated on high-purity germanium substrates using low-temperature technology. The aim of this work is to preserve the low density of trapping centers in high-quality starting material by low-temperature ( 0 C) processing. The use of germanium promises to eliminate some of the traps which cause generation-recombination noise in silicon field-effect transistors (FET's) at low temperatures. Typically, the transconductance (g/sub m/) in the germanium FET's is 10 mA/V and the gate leakage can be less than 10 -12 A. Present devices exhibit a large 1/f noise component and most of this noise must be eliminated if they are to be competitive with silicon FET's commonly used in high-resolution nuclear spectrometers

  7. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  8. Multiphysical simulation analysis of the dislocation structure in germanium single crystals

    Science.gov (United States)

    Podkopaev, O. I.; Artemyev, V. V.; Smirnov, A. D.; Mamedov, V. M.; Sid'ko, A. P.; Kalaev, V. V.; Kravtsova, E. D.; Shimanskii, A. F.

    2016-09-01

    To grow high-quality germanium crystals is one of the most important problems of growth industry. The dislocation density is an important parameter of the quality of single crystals. The dislocation densities in germanium crystals 100 mm in diameter, which have various shapes of the side surface and are grown by the Czochralski technique, are experimentally measured. The crystal growth is numerically simulated using heat-transfer and hydrodynamics models and the Alexander-Haasen dislocation model in terms of the CGSim software package. A comparison of the experimental and calculated dislocation densities shows that the dislocation model can be applied to study lattice defects in germanium crystals and to improve their quality.

  9. γ-ray tracking in germanium: the backtracking method

    International Nuclear Information System (INIS)

    Marel, J. van der; Cederwall, B.

    2002-01-01

    In the framework of a European TMR network project the concept for a γ-ray tracking array is being developed for nuclear physics spectroscopy in the energy range of ∼10 keV up to several MeV. The tracking array will consist of a large number of position-sensitive germanium detectors in a spherical geometry around a target. Due to the high segmentation, a Compton scattered γ-ray will deposit energy in several different segments. A method has been developed to reconstruct the tracks of multiple coincident γ-rays and to find their initial energies. By starting from the final point the track can be reconstructed backwards to the origin with the help of the photoelectric and Compton cross-sections and the Compton scatter formula. Every reconstructed track is given a figure of merit, thus allowing suppression of wrongly reconstructed tracks and γ-rays that have scattered out of the detector system. This so-called backtracking method has been tested on simulated events in a shell-like geometry for germanium and in planar geometries for silicon, germanium and CdTe

  10. Germanium detector studies in the framework of the GERDA experiment

    Energy Technology Data Exchange (ETDEWEB)

    Budjas, Dusan

    2009-05-06

    The GERmanium Detector Array (GERDA) is an ultra-low background experiment under construction at Laboratori Nazionali del Gran Sasso. GERDA will search for {sup 76}Ge neutrinoless double beta decay with an aim for 100-fold reduction in background compared to predecessor experiments. This ambition necessitates innovative design approaches, strict selection of low-radioactivity materials, and novel techniques for active background suppression. The core feature of GERDA is its array of germanium detectors for ionizing radiation, which are enriched in {sup 76}Ge. Germanium detectors are the central theme of this dissertation. The first part describes the implementation, testing, and optimisation of Monte Carlo simulations of germanium spectrometers, intensively involved in the selection of low-radioactivity materials. The simulations are essential for evaluations of the gamma ray measurements. The second part concerns the development and validation of an active background suppression technique based on germanium detector signal shape analysis. This was performed for the first time using a BEGe-type detector, which features a small read-out electrode. As a result of this work, BEGe is now one of the two detector technologies included in research and development for the second phase of the GERDA experiment. A suppression of major GERDA backgrounds is demonstrated, with (0.93{+-}0.08)% survival probability for events from {sup 60}Co, (21{+-}3)% for {sup 226}Ra, and (40{+-}2)% for {sup 228}Th. The acceptance of {sup 228}Th double escape events, which are analogous to double beta decay, was kept at (89{+-}1)%. (orig.)

  11. Germanium geochemistry and mineralogy

    Science.gov (United States)

    Bernstein, L.R.

    1985-01-01

    Germanium is enriched in the following geologic environments: 1. (1) iron meteorites and terrestrial iron-nickel; 2. (2) sulfide ore deposits, particularly those hosted by sedimentary rocks; 3. (3) iron oxide deposits; 4. (4) oxidized zones of Ge-bearing sulfide deposits; 5. (5) pegmatites, greisens, and skarns; and 6. (6) coal and lignitized wood. In silicate melts, Ge is highly siderophile in the presence of native iron-nickel; otherwise, it is highly lithophile. Among silicate minerals, Ge is concentrated in those having less polymerized silicate tetrahedra such as olivine and topaz. In deposits formed from hydrothermal solutions, Ge tends to be enriched mostly in either sulfides or in fluorine-bearing phases; it is thus concentrated both in some hydrothermal sulfide deposits and in pegmatites, greisens, and skarns. In sulfide deposits that formed from solutions having low to moderate sulfur activity, Ge is concentrated in sphalerite in amounts up to 3000 ppm. Sulfide deposits that formed from solutions having higher sulfur activity allowed Ge to either form its own sulfides, particularly with Cu, or to substitute for As, Sn, or other metals in sulfosalts. The Ge in hydrothermal fluids probably derives from enrichment during the fractional crystallization of igneous fluids, or is due to the incorporation of Ge from the country rocks, particularly from those containing organic material. Germanium bonds to lignin-derivative organic compounds that are found in peat and lignite, accounting for its common concentration in coals and related organic material. Germanium is precipitated from water together with iron hydroxide, accounting for its concentration in some sedimentary and supergene iron oxide deposits. It also is able to substitute for Fe in magnetite in a variety of geologic environments. In the oxidized zone of Ge-bearing sulfide deposits, Ge is concentrated in oxides, hydroxides, and hydroxy-sulfates, sometimes forming its own minerals. It is particularly

  12. Quantum interference magnetoconductance of polycrystalline germanium films in the variable-range hopping regime

    Science.gov (United States)

    Li, Zhaoguo; Peng, Liping; Zhang, Jicheng; Li, Jia; Zeng, Yong; Zhan, Zhiqiang; Wu, Weidong

    2018-06-01

    Direct evidence of quantum interference magnetotransport in polycrystalline germanium films in the variable-range hopping (VRH) regime is reported. The temperature dependence of the conductivity of germanium films fulfilled the Mott VRH mechanism with the form of ? in the low-temperature regime (?). For the magnetotransport behaviour of our germanium films in the VRH regime, a crossover, from negative magnetoconductance at the low-field to positive magnetoconductance at the high-field, is observed while the zero-field conductivity is higher than the critical value (?). In the regime of ?, the magnetoconductance is positive and quadratic in the field for some germanium films. These features are in agreement with the VRH magnetotransport theory based on the quantum interference effect among random paths in the hopping process.

  13. Performance and stability tests of bare high purity germanium detectors in liquid argon for the GERDA experiment

    Energy Technology Data Exchange (ETDEWEB)

    Barnabe Heider, Marik

    2009-05-27

    GERDA will search for neutrinoless double beta decay of {sup 76}Ge by using a novel approach of bare germanium detectors in liquid argon (LAr). Enriched germanium detectors from the previous Heidelberg-Moscow and IGEX experiments have been reprocessed and will be deployed in GERDA Phase-I. At the center of this thesis project is the study of the performance of bare germanium detectors in cryogenic liquids. Identical detector performance as in vacuum cryostats (2.2 keV FWHM at 1.3 MeV) was achieved in cryogenic liquids with a new low-mass detector assembly and contacts. One major result is the discovery of a radiation induced leakage current (LC) increase when operating bare detectors with standard passivation layers in LAr. Charge collection and build-up on the passivation layer were identified as the origin of the LC increase. It was found that diodes without passivation do not exhibit this feature. Three month-long stable operation in LAr at {proportional_to} 5 pA LC under periodic gamma irradiation demonstrated the suitability of the modi ed detector design. Based on these results, all Phase-I detectors were reprocessed without passivation layer and subsequently successfully characterized in LAr in the GERDA underground Detector Laboratory. The mass loss during the reprocessing was {proportional_to}300 g out of 17.9 kg and the exposure above ground {proportional_to} 5 days. This results in a negligible cosmogenic background increase of {proportional_to} 5.10{sup -4} cts/(keV.kg.y) at {sup 76}Ge Q{sub {beta}}{sub {beta}} for {sup 60}Co and {sup 68}Ge. (orig.)

  14. Next Generation Germanium Systems for Safeguards Applications

    International Nuclear Information System (INIS)

    Dreyer, J.; Burks, M.; Hull, E.

    2015-01-01

    We are developing the latest generation of highly portable, mechanically cooled germanium systems for safeguard applications. In collaboration with our industrial partner, Ph.D.s Co, we have developed the Germanium Gamma Ray Imager (GeGI), an imager with a 2π field of view. This instrument has been thoroughly field tested in a wide range of environments and have performed reliably even in the harshest conditions. The imaging capability of GeGI complements existing safeguards techniques by allowing for the spatial detection, identification, and characterization of nuclear material. Additionally, imaging can be used in design information verification activities to address potential material diversions. Measurements conducted at the Paducah Gaseous Diffusion Plant highlight the advantages this instrument offers in the identification and localization of LEU, HEU and Pu holdup. GeGI has also been deployed to the Savannah River Site for the measurement of radioactive waste canisters, providing information valuable for waste characterization and inventory accountancy. Measuring 30 x 15 x 23 cm and weighing approximately 15 kg, this instrument is the first portable germanium-based imager. GeGI offers high reliability with the convenience of mechanical cooling, making this instrument ideal for the next generation of safeguards instrumentation. (author)

  15. Strain distribution in single, suspended germanium nanowires studied using nanofocused x-rays

    DEFF Research Database (Denmark)

    Keplinger, Mario; Grifone, Raphael; Greil, Johannes

    2016-01-01

    Within the quest for direct band-gap group IV materials, strain engineering in germanium is one promising route. We present a study of the strain distribution in single, suspended germanium nanowires using nanofocused synchrotron radiation. Evaluating the probed Bragg reflection for different ill...

  16. Calibration of germanium detectors

    International Nuclear Information System (INIS)

    Bjurman, B.; Erlandsson, B.

    1985-01-01

    This paper describes problems concerning the calibration of germanium detectors for the measurement of gamma-radiation from environmental samples. It also contains a brief description of some ways of reducing the uncertainties concerning the activity determination. These uncertainties have many sources, such as counting statistics, full energy peak efficiency determination, density correction and radionuclide specific-coincidence effects, when environmental samples are investigated at close source-to-detector distances

  17. Detached Bridgman Growth of Germanium and Germanium-Silicon Alloy Crystals

    Science.gov (United States)

    Szofran, F. R.; Volz, M. P.; Schweizer, M.; Cobb, S. D.; Motakef, S.; Croell, A.; Dold, P.; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    Earth based experiments on the science of detached crystal growth are being conducted on germanium and germanium-silicon alloys (2 at% Si average composition) in preparation for a series of experiments aboard the International Space Station (ISS). The purpose of the microgravity experiments includes differentiating among proposed mechanisms contributing to detachment, and confirming or refining our understanding of the detachment mechanism. Because large contact angle are critical to detachment, sessile drop measurements were used to determine the contact angles as a function of temperature and composition for a large number of substrates made of potential ampoule materials. Growth experiments have used pyrolytic boron nitride (pBN) and fused silica ampoules with the majority of the detached results occurring predictably in the pBN. The contact angles were 173 deg (Ge) and 165 deg (GeSi) for pBN. For fused silica, the contact angle decreases from 150 deg to an equilibrium value of 117 deg (Ge) or from 129 deg to an equilibrium value of 100 deg (GeSi) over the duration of the experiment. The nature and extent of detachment is determined by using profilometry in conjunction with optical and electron microscopy. The stability of detachment has been analyzed, and an empirical model for the conditions necessary to achieve sufficient stability to maintain detached growth for extended periods has been developed. Results in this presentation will show that we have established the effects on detachment of ampoule material, pressure difference above and below the melt, and silicon concentration; samples that are nearly completely detached can be grown repeatedly in pBN.

  18. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  19. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Silicon-germanium (Sige) nanostructures production, properties and applications in electronics

    CERN Document Server

    Usami, N

    2011-01-01

    Nanostructured silicon-germanium (SiGe) provides the prospect of novel and enhanced electronic device performance. This book reviews the materials science and technology of SiGe nanostructures, including crystal growth, fabrication of nanostructures, material properties and applications in electronics.$bNanostructured silicon-germanium (SiGe) opens up the prospects of novel and enhanced electronic device performance, especially for semiconductor devices. Silicon-germanium (SiGe) nanostructures reviews the materials science of nanostructures and their properties and applications in different electronic devices. The introductory part one covers the structural properties of SiGe nanostructures, with a further chapter discussing electronic band structures of SiGe alloys. Part two concentrates on the formation of SiGe nanostructures, with chapters on different methods of crystal growth such as molecular beam epitaxy and chemical vapour deposition. This part also includes chapters covering strain engineering and mo...

  1. GRAN SASSO: Enriched germanium in action

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1991-12-15

    Two large crystals of carefully enriched germanium, one weighing 1 kilogram and the other 2.9 kilograms, and worth many millions of dollars, are being carefully monitored in the Italian Gran Sasso Laboratory in the continuing search for neutrinoless double beta decay.

  2. GRAN SASSO: Enriched germanium in action

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    Two large crystals of carefully enriched germanium, one weighing 1 kilogram and the other 2.9 kilograms, and worth many millions of dollars, are being carefully monitored in the Italian Gran Sasso Laboratory in the continuing search for neutrinoless double beta decay

  3. Ultraviolet-light-induced processes in germanium-doped silica

    DEFF Research Database (Denmark)

    Kristensen, Martin

    2001-01-01

    A model is presented for the interaction of ultraviolet (UV) light with germanium-doped silica glass. It is assumed that germanium sites work as gates for transferring the excitation energy into the silica. In the material the excitation induces forbidden transitions to two different defect states...... which are responsible for the observed refractive index changes. Activation energies [1.85 +/-0.15 eV and 1.91 +/-0.15 eV] and rates [(2.7 +/-1.9) x 10(13) Hz and(7.2 +/-4.5) x 10(13) Hz] are determined for thermal elimination of these states. Good agreement is found with experimental results and new UV...

  4. Niobium nitride Josephson junctions with silicon and germanium barriers

    International Nuclear Information System (INIS)

    Cukauskas, E.J.; Carter, W.L.

    1988-01-01

    Niobium nitride based junctions with silicon, germanium, and composite silicon/germanium barriers were fabricated and characterized for several barrier compositions. The current-voltage characteristics were analyzed at several temperatures using the Simmons model and numerical integration of the WKB approximation for the average barrier height and effective thickness. The zero voltage conductance was measured from 1.5 K to 300 K and compared to the Mott hopping conductivity model and the Stratton tunneling temperature dependence. Conductivity followed Mott conductivity at temperatures above 60 K for junctions with less than 100 angstrom thick barriers

  5. Impurity diffusion, point defect engineering, and surface/interface passivation in germanium

    KAUST Repository

    Chroneos, Alexander I.

    2012-01-26

    In recent years germanium has been emerging as a mainstream material that could have important applications in the microelectronics industry. The principle aim of this study is to review investigations of the diffusion of technologically important p- and n-type dopants as well as surface and interface passivation issues in germanium. The diffusion of impurities in germanium is interrelated to the formation of clusters whenever possible, and possibilities for point defect engineering are discussed in view of recent results. The importance of electrically active defects on the Ge surface and interfaces is addressed considering strategies to suppress them and to passivate the surfaces/interfaces, bearing in mind their importance for advanced devices. © 2012 by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Pulse shapes and surface effects in segmented germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Lenz, Daniel

    2010-03-24

    It is well established that at least two neutrinos are massive. The absolute neutrino mass scale and the neutrino hierarchy are still unknown. In addition, it is not known whether the neutrino is a Dirac or a Majorana particle. The GERmanium Detector Array (GERDA) will be used to search for neutrinoless double beta decay of {sup 76}Ge. The discovery of this decay could help to answer the open questions. In the GERDA experiment, germanium detectors enriched in the isotope {sup 76}Ge are used as source and detector at the same time. The experiment is planned in two phases. In the first, phase existing detectors are deployed. In the second phase, additional detectors will be added. These detectors can be segmented. A low background index around the Q value of the decay is important to maximize the sensitivity of the experiment. This can be achieved through anti-coincidences between segments and through pulse shape analysis. The background index due to radioactive decays in the detector strings and the detectors themselves was estimated, using Monte Carlo simulations for a nominal GERDA Phase II array with 18-fold segmented germanium detectors. A pulse shape simulation package was developed for segmented high-purity germanium detectors. The pulse shape simulation was validated with data taken with an 19-fold segmented high-purity germanium detector. The main part of the detector is 18-fold segmented, 6-fold in the azimuthal angle and 3-fold in the height. A 19th segment of 5mm thickness was created on the top surface of the detector. The detector was characterized and events with energy deposited in the top segment were studied in detail. It was found that the metalization close to the end of the detector is very important with respect to the length of the of the pulses observed. In addition indications for n-type and p-type surface channels were found. (orig.)

  7. Pulse shapes and surface effects in segmented germanium detectors

    International Nuclear Information System (INIS)

    Lenz, Daniel

    2010-01-01

    It is well established that at least two neutrinos are massive. The absolute neutrino mass scale and the neutrino hierarchy are still unknown. In addition, it is not known whether the neutrino is a Dirac or a Majorana particle. The GERmanium Detector Array (GERDA) will be used to search for neutrinoless double beta decay of 76 Ge. The discovery of this decay could help to answer the open questions. In the GERDA experiment, germanium detectors enriched in the isotope 76 Ge are used as source and detector at the same time. The experiment is planned in two phases. In the first, phase existing detectors are deployed. In the second phase, additional detectors will be added. These detectors can be segmented. A low background index around the Q value of the decay is important to maximize the sensitivity of the experiment. This can be achieved through anti-coincidences between segments and through pulse shape analysis. The background index due to radioactive decays in the detector strings and the detectors themselves was estimated, using Monte Carlo simulations for a nominal GERDA Phase II array with 18-fold segmented germanium detectors. A pulse shape simulation package was developed for segmented high-purity germanium detectors. The pulse shape simulation was validated with data taken with an 19-fold segmented high-purity germanium detector. The main part of the detector is 18-fold segmented, 6-fold in the azimuthal angle and 3-fold in the height. A 19th segment of 5mm thickness was created on the top surface of the detector. The detector was characterized and events with energy deposited in the top segment were studied in detail. It was found that the metalization close to the end of the detector is very important with respect to the length of the of the pulses observed. In addition indications for n-type and p-type surface channels were found. (orig.)

  8. A study on the forms of existence of germanium in uranium-bearing coals of Bangmai basin of Yunnan

    International Nuclear Information System (INIS)

    Zhang Shuling; Wang Shuying; Yin Jinshuang

    1988-07-01

    The Bangmai basin is an asymmetrical intermontane synclinal basin with a Hercynian-Yenshan granitic body (γ 3 3 -γ 5 2 ) as its basement. Its overlying strata are made up of the N 1 of coal-bearing clastic rocks of Neogene period. Germanium ore mostly occur within the N 1 2 coal-seam. Uranium, germanium-bearing coals are mainly lignites of low grade in coalation and belong to semidurain, semiclarain, duroclarain and clarodurain. In order to probe into the forms of existence of germanium in coal, six kinds of analytical methods (electronic probe analysis, separation of heavy liquid, grain-size analysis, electric osmosis, chemical extraction and grade-extraction) have been adopted. A simulated test of humic complex germanium in the laboratory was carried out. According to infrared spectral analysis, it is found that 1700 cm -1 wavecrest almost disappears, 1250 cm -1 peak weakens and 1600 cm -1 peak strengthens, 1400 cm -1 peak slightly strengthens. No doubt, these illustrate the formatiion of humic germanium complex. Afterward, through differential thermal analysis and measurement of pH variation of media, it futher proves the presence of humic germanium complex. It is considered that the forms of existence of germanium in uranium-bearing coals mainly are: (1) In close chemical combination with organic matter, usually in the form of humic germanium complex and germanium organic compound; (2) In the state of adsorption, germanium is adsorbed by some organic matter, clay minerals and limonite etc.; (3) A very rare part occurring as isomorphous form

  9. Recrystallization behaviour and electrical properties of germanium ion implanted polycrystalline silicon films

    International Nuclear Information System (INIS)

    Kang, Myeon-Koo; Matsui, Takayuki; Kuwano, Hiroshi

    1996-01-01

    The recrystallization behaviour of undoped and phosphorus-doped polycrystalline silicon films amorphized by germanium ion implantation at doses ranging from 1 x 10 15 to 1 x 10 16 cm -2 are investigated, and the electrical properties of phosphorus-doped films after recrystallization are studied. The phosphorus doping concentration ranges from 3 x 10 18 to 1 x 10 20 cm -3 . It is found that the nucleation rate decreases for undoped films and increases for phosphorus-doped films with increasing germanium dose; the growth rates decrease for both doped and undoped films. The decrease in nucleation rate is caused by the increase in implantation damage. The decrease in growth rate is considered to be due to the increase in lattice strain. The grain size increases with germanium dose for undoped films, but decreases for phosphorus-doped films. The dependence of the electrical properties of the recrystallized films as a function of phosphorus doping concentration with different germanium doses can be explained in terms of the grain size, crystallinity and grain boundary barrier height. (Author)

  10. Development of neutron-transmutation-doped germanium bolometer material

    International Nuclear Information System (INIS)

    Palaio, N.P.

    1983-08-01

    The behavior of lattice defects generated as a result of the neutron-transmutation-doping of germanium was studied as a function of annealing conditions using deep level transient spectroscopy (DLTS) and mobility measurements. DLTS and variable temperature Hall effect were also used to measure the activation of dopant impurities formed during the transmutation process. In additioon, a semi-automated method of attaching wires on to small chips of germanium ( 3 ) for the fabrication of infrared detecting bolometers was developed. Finally, several different types of junction field effect transistors were tested for noise at room and low temperature (approx. 80 K) in order to find the optimum device available for first stage electronics in the bolometer signal amplification circuit

  11. Organotrichlorogermane synthesis by the reaction of elemental germanium, tetrachlorogermane and organic chloride via dichlorogermylene intermediate.

    Science.gov (United States)

    Okamoto, Masaki; Asano, Takuya; Suzuki, Eiichi

    2004-08-07

    Organotrichlorogermanes were synthesized by the reaction of elemental germanium, tetrachlorogermane and organic chlorides, methyl, propyl, isopropyl and allyl chlorides. Dichlorogermylene formed by the reaction of elemental germanium with tetrachlorogermane was the reaction intermediate, which was inserted into the carbon-chlorine bond of the organic chloride to give organotrichlorogermane. When isopropyl or allyl chloride was used as an organic chloride, organotrichlorogermane was formed also in the absence of tetrachlorogermane. These chlorides were converted to hydrogen chloride, which subsequently reacted with elemental germanium to give the dichlorogermylene intermediate. The reaction of elemental germanium, tetrachlorogermane and organic chlorides provides a simple and easy method for synthesizing organotrichlorogermanes, and all the raw materials are easily available.

  12. Imaging capabilities of germanium gamma cameras

    International Nuclear Information System (INIS)

    Steidley, J.W.

    1977-01-01

    Quantitative methods of analysis based on the use of a computer simulation were developed and used to investigate the imaging capabilities of germanium gamma cameras. The main advantage of the computer simulation is that the inherent unknowns of clinical imaging procedures are removed from the investigation. The effects of patient scattered radiation were incorporated using a mathematical LSF model which was empirically developed and experimentally verified. Image modifying effects of patient motion, spatial distortions, and count rate capabilities were also included in the model. Spatial domain and frequency domain modeling techniques were developed and used in the simulation as required. The imaging capabilities of gamma cameras were assessed using low contrast lesion source distributions. The results showed that an improvement in energy resolution from 10% to 2% offers significant clinical advantages in terms of improved contrast, increased detectability, and reduced patient dose. The improvements are of greatest significance for small lesions at low contrast. The results of the computer simulation were also used to compare a design of a hypothetical germanium gamma camera with a state-of-the-art scintillation camera. The computer model performed a parametric analysis of the interrelated effects of inherent and technological limitations of gamma camera imaging. In particular, the trade-off between collimator resolution and collimator efficiency for detection of a given low contrast lesion was directly addressed. This trade-off is an inherent limitation of both gamma cameras. The image degrading effects of patient motion, camera spatial distortions, and low count rate were shown to modify the improvements due to better energy resolution. Thus, based on this research, the continued development of germanium cameras to the point of clinical demonstration is recommended

  13. HEROICA: A fast screening facility for the characterization of germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Andreotti, Erica [Universität Tübingen, Auf der Morgenstelle 14, 72076 Tübingen (Germany); Collaboration: GERDA Collaboration

    2013-08-08

    In the course of 2012, a facility for the fast screening of germanium detectors called HEROICA (Hades Experimental Research Of Intrinsic Crystal Appliances) has been installed at the HADES underground laboratory in the premises of the Belgian Nuclear Research Centre SCK•CEN, in Mol (Belgium). The facility allows performing a complete characterization of the critical germanium detectors' operational parameters with a rate of about two detectors per week.

  14. Harmonic Lattice Dynamics of Germanium

    Energy Technology Data Exchange (ETDEWEB)

    Nelin, G

    1974-07-01

    The phonon dispersion relations of the DELTA-, LAMBDA-, and SIGMA-directions of germanium at 80 K are analysed in terms of current harmonic lattice dynamical models. On the basis of this experience, a new model is proposed which gives a unified account of the strong points of the previous models. The principal elements of the presented theory are quasiparticle bond charges combined with a valence force field.

  15. Harmonic Lattice Dynamics of Germanium

    International Nuclear Information System (INIS)

    Nelin, G.

    1974-01-01

    The phonon dispersion relations of the Δ-, Λ-, and Σ-directions of germanium at 80 K are analysed in terms of current harmonic lattice dynamical models. On the basis of this experience, a new model is proposed which gives a unified account of the strong points of the previous models. The principal elements of the presented theory are quasiparticle bond charges combined with a valence force field

  16. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  17. Nonlinear optics in germanium mid-infrared fiber material: Detuning oscillations in femtosecond mid-infrared spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Ordu

    2017-09-01

    Full Text Available Germanium optical fibers hold great promise in extending semiconductor photonics into the fundamentally important mid-infrared region of the electromagnetic spectrum. The demonstration of nonlinear response in fabricated Ge fiber samples is a key step in the development of mid-infrared fiber materials. Here we report the observation of detuning oscillations in a germanium fiber in the mid-infrared region using femtosecond dispersed pump-probe spectroscopy. Detuning oscillations are observed in the frequency-resolved response when mid-infrared pump and probe pulses are overlapped in a fiber segment. The oscillations arise from the nonlinear frequency resolved nonlinear (χ(3 response in the germanium semiconductor. Our work represents the first observation of coherent oscillations in the emerging field of germanium mid-infrared fiber optics.

  18. Characterisation of two AGATA asymmetric high purity germanium capsules

    International Nuclear Information System (INIS)

    Colosimo, S.J.; Moon, S.; Boston, A.J.; Boston, H.C.; Cresswell, J.R.; Harkness-Brennan, L.; Judson, D.S.; Lazarus, I.H.; Nolan, P.J.; Simpson, J.; Unsworth, C.

    2015-01-01

    The AGATA spectrometer is an array of highly segmented high purity germanium detectors. The spectrometer uses pulse shape analysis in order to track Compton scattered γ-rays to increase the efficiency of nuclear spectroscopy studies. The characterisation of two high purity germanium detector capsules for AGATA of the same A-type has been performed at the University of Liverpool. This work will examine the uniformity of performance of the two capsules, including a comparison of the resolution and efficiency as well as a study of charge collection. The performance of the capsules shows good agreement, which is essential for the efficient operation of the γ-ray tracking array

  19. Quantitative spectrographic determination of traces of germanium in lignite

    International Nuclear Information System (INIS)

    Martin, M.; Roca, M.

    1972-01-01

    A burning technique in a d.c. arc at 10 amp has been employed. The standards have been prepared from a natural lignite with a low germanium content. In order to enhance sensitivity, AgCl, K 2 SO 4 , CuF 2 , Sb 2 S 3 and Bi 2 S 3 have been tested as sweeping materials. Using 2% CuF 2 a detection limit of 1 ppm germanium is attainable. Bi, Cu, Sb and Sn have been studied as internal standards: the former leads to the, highest precision (1 6%. Results show good agreement with those obtained by the addition method. (Author) 6 refs

  20. Characterisation of two AGATA asymmetric high purity germanium capsules

    Energy Technology Data Exchange (ETDEWEB)

    Colosimo, S.J., E-mail: sjc@ns.ph.liv.ac.uk [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Moon, S.; Boston, A.J.; Boston, H.C.; Cresswell, J.R.; Harkness-Brennan, L.; Judson, D.S. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Lazarus, I.H. [STFC Daresbury, Daresbury, Warrington WA4 4AD (United Kingdom); Nolan, P.J. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Simpson, J. [STFC Daresbury, Daresbury, Warrington WA4 4AD (United Kingdom); Unsworth, C. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom)

    2015-02-11

    The AGATA spectrometer is an array of highly segmented high purity germanium detectors. The spectrometer uses pulse shape analysis in order to track Compton scattered γ-rays to increase the efficiency of nuclear spectroscopy studies. The characterisation of two high purity germanium detector capsules for AGATA of the same A-type has been performed at the University of Liverpool. This work will examine the uniformity of performance of the two capsules, including a comparison of the resolution and efficiency as well as a study of charge collection. The performance of the capsules shows good agreement, which is essential for the efficient operation of the γ-ray tracking array.

  1. Development of segmented germanium detectors for neutrinoless double beta decay experiments

    International Nuclear Information System (INIS)

    Liu, Jing

    2009-01-01

    The results from neutrino oscillation experiments indicate that at least two neutrinos have mass. However, the value of the masses and whether neutrinos and anti-neutrinos are identical, i.e., Majorana particles, remain unknown. Neutrinoless double beta decay experiments can help to improve our understanding in both cases and are the only method currently possible to tackle the second question. The GERmanium Detector Array (GERDA) experiment, which will search for the neutrinoless double beta decay of 76 Ge, is currently under construction in Hall A of the INFN Gran Sasso National Laboratory (LNGS), Italy. In order to achieve an extremely low background level, segmented germanium detectors are considered to be operated directly in liquid argon which serves simultaneously as cooling and shielding medium. Several test cryostats were built at the Max-Planck-Institut fuer Physik in Muenchen to operate segmented germanium detectors both in vacuum and submerged in cryogenic liquid. The performance and the background discrimination power of segmented germanium detectors were studied in detail. It was proven for the first time that segmented germanium detectors can be operated stably over long periods submerged in a cryogenic liquid. It was confirmed that the segmentation scheme employed does well in the identification of photon induced background and demonstrated for the first time that also neutron interactions can be identified. The C++ Monte Carlo framework, MaGe (Majorana-GERDA), is a joint development of the Majorana and GERDA collaborations. It is based on GEANT4, but tailored especially to simulate the response of ultra-low background detectors to ionizing radiation. The predictions of the simulation were veri ed to be accurate for a wide range of conditions. Some shortcomings were found and corrected. Pulse shape analysis is complementary to segmentation in identifying background events. Its efficiency can only be correctly determined using reliable pulse shape

  2. Development of segmented germanium detectors for neutrinoless double beta decay experiments

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jing

    2009-06-09

    The results from neutrino oscillation experiments indicate that at least two neutrinos have mass. However, the value of the masses and whether neutrinos and anti-neutrinos are identical, i.e., Majorana particles, remain unknown. Neutrinoless double beta decay experiments can help to improve our understanding in both cases and are the only method currently possible to tackle the second question. The GERmanium Detector Array (GERDA) experiment, which will search for the neutrinoless double beta decay of {sup 76}Ge, is currently under construction in Hall A of the INFN Gran Sasso National Laboratory (LNGS), Italy. In order to achieve an extremely low background level, segmented germanium detectors are considered to be operated directly in liquid argon which serves simultaneously as cooling and shielding medium. Several test cryostats were built at the Max-Planck-Institut fuer Physik in Muenchen to operate segmented germanium detectors both in vacuum and submerged in cryogenic liquid. The performance and the background discrimination power of segmented germanium detectors were studied in detail. It was proven for the first time that segmented germanium detectors can be operated stably over long periods submerged in a cryogenic liquid. It was confirmed that the segmentation scheme employed does well in the identification of photon induced background and demonstrated for the first time that also neutron interactions can be identified. The C++ Monte Carlo framework, MaGe (Majorana-GERDA), is a joint development of the Majorana and GERDA collaborations. It is based on GEANT4, but tailored especially to simulate the response of ultra-low background detectors to ionizing radiation. The predictions of the simulation were veri ed to be accurate for a wide range of conditions. Some shortcomings were found and corrected. Pulse shape analysis is complementary to segmentation in identifying background events. Its efficiency can only be correctly determined using reliable pulse

  3. Cosmogenic activation of germanium used for tonne-scale rare event search experiments

    Science.gov (United States)

    Wei, W.-Z.; Mei, D.-M.; Zhang, C.

    2017-11-01

    We report a comprehensive study of cosmogenic activation of germanium used for tonne-scale rare event search experiments. The germanium exposure to cosmic rays on the Earth's surface are simulated with and without a shielding container using Geant4 for a given cosmic muon, neutron, and proton energy spectrum. The production rates of various radioactive isotopes are obtained for different sources separately. We find that fast neutron induced interactions dominate the production rate of cosmogenic activation. Geant4-based simulation results are compared with the calculation of ACTIVIA and the available experimental data. A reasonable agreement between Geant4 simulations and several experimental data sets is presented. We predict that cosmogenic activation of germanium can set limits to the sensitivity of the next generation of tonne-scale experiments.

  4. Diffusion of interstitial oxygen in silicon and germanium: a hybrid functional study

    International Nuclear Information System (INIS)

    Colleoni, Davide; Pasquarello, Alfredo

    2016-01-01

    The minimum-energy paths for the diffusion of an interstitial O atom in silicon and germanium are studied through the nudged-elastic-band method and hybrid functional calculations. The reconsideration of the diffusion of O in silicon primarily serves the purpose of validating the procedure for studying the O diffusion in germanium. Our calculations show that the minimum energy path goes through an asymmetric transition state in both silicon and germanium. The stability of these transition states is found to be enhanced by the generation of unpaired electrons in the highest occupied single-particle states. Calculated energy barriers are 2.54 and 2.14 eV for Si and Ge, in very good agreement with corresponding experimental values of 2.53 and 2.08 eV, respectively. (paper)

  5. Crystal Orientation Effect on the Subsurface Deformation of Monocrystalline Germanium in Nanometric Cutting.

    Science.gov (United States)

    Lai, Min; Zhang, Xiaodong; Fang, Fengzhou

    2017-12-01

    Molecular dynamics simulations of nanometric cutting on monocrystalline germanium are conducted to investigate the subsurface deformation during and after nanometric cutting. The continuous random network model of amorphous germanium is established by molecular dynamics simulation, and its characteristic parameters are extracted to compare with those of the machined deformed layer. The coordination number distribution and radial distribution function (RDF) show that the machined surface presents the similar amorphous state. The anisotropic subsurface deformation is studied by nanometric cutting on the (010), (101), and (111) crystal planes of germanium, respectively. The deformed structures are prone to extend along the 110 slip system, which leads to the difference in the shape and thickness of the deformed layer on various directions and crystal planes. On machined surface, the greater thickness of subsurface deformed layer induces the greater surface recovery height. In order to get the critical thickness limit of deformed layer on machined surface of germanium, the optimized cutting direction on each crystal plane is suggested according to the relevance of the nanometric cutting to the nanoindentation.

  6. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  7. Dazai super-large uranium-bearing germanium deposit in western Yunnan region metallogenic geological conditions and prospect

    International Nuclear Information System (INIS)

    Han Yanrong; Yuan Qingbang; Li Yonghua; Zhang Ling; Dai Jiemin

    1995-05-01

    The Dazai super-large uranium-bearing germanium deposit is located in Bangmai Fault Basin, Western Yunnan, China. The basin basement is migmatitic granite and the cover is miocene coal-bearing clastics, Bangmai Formation. The basin development had undergone faulted rhombus basin forming, synsedimentary structure-developing and up-lifted-denuded stages. Synsedimentary faults had controlled distribution of sedimentary formation and lithofacies, and uranium and germanium mineralization. Germanium ore-bodies occur mainly in master lignite-bed of lower rhythmite. Hosted germanium-lignite is taken as main ore-type. Germanium occurs in vitrinite of lignite in the form of metal-organic complex. The metallogenetic geological conditions of the deposit are that ground preparation is uplift zone-migmatitic granite-fault basin-geothermal anomaly area, rich and thick ore-body is controlled by synsedimentary fault, peat-bog phase is favorable to accumulation for ore-forming elements, and unconformity between overlying cover and underlying basement is a channel-way of mineralizing fluid. A multiperiodic composite, being regarded sedimentation and diagenesis as a major process, uranium and germanium ore deposit has been formed through two mineralization. Four prospecting areas have been forecasted and two deposits have been accordingly discovered again. Technical-economic provableness shows that the deposit is characterized by shallow-buried, rich grade, large scale, easy mining and smelting. (9 figs.)

  8. High-purity germanium crystal growing

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.

    1982-10-01

    The germanium crystals used for the fabrication of nuclear radiation detectors are required to have a purity and crystalline perfection which is unsurpassed by any other solid material. These crystals should not have a net electrically active impurity concentration greater than 10 10 cm - 3 and be essentially free of charge trapping defects. Such perfect crystals of germanium can be grown only because of the highly favorable chemical and physical properties of this element. However, ten years of laboratory scale and commercial experience has still not made the production of such crystals routine. The origin and control of many impurities and electrically active defect complexes is now fairly well understood but regular production is often interrupted for long periods due to the difficulty of achieving the required high purity or to charge trapping in detectors made from crystals seemingly grown under the required conditions. The compromises involved in the selection of zone refining and crystal grower parts and ambients is discussed and the difficulty in controlling the purity of key elements in the process is emphasized. The consequences of growing in a hydrogen ambient are discussed in detail and it is shown how complexes of neutral defects produce electrically active centers

  9. Performance of a 6x6 segmented germanium detector for {gamma}-ray tracking

    Energy Technology Data Exchange (ETDEWEB)

    Valiente-Dobon, J.J. E-mail: j.valiente-dobon@surrey.ac.uk; Pearson, C.J.; Regan, P.H.; Sellin, P.J.; Gelletly, W.; Morton, E.; Boston, A.; Descovich, M.; Nolan, P.J.; Simpson, J.; Lazarus, I.; Warner, D

    2003-06-01

    A 36 fold segmented germanium coaxial detector has been supplied by EURISYS MESURES. The outer contact is segmented both radially and longitudinally. The signals from the fast preamplifiers have been digitised by 12 bit, 40 MHz ADCs. In this article we report preliminary results obtained using this detector and their relevance for future germanium {gamma}-ray tracking arrays.

  10. Study of the possibility of growing germanium single crystals under low temperature gradients

    Science.gov (United States)

    Moskovskih, V. A.; Kasimkin, P. V.; Shlegel, V. N.; Vasiliev, Y. V.; Gridchin, V. A.; Podkopaev, O. I.; Zhdankov, V. N.

    2014-03-01

    The possibility of growing germanium single crystals under low temperature gradients in order to produce a dislocation-free material has been studied. Germanium crystals with a dislocation density of about 100-200 cm-2 have been grown in a system with a weight control of crystal growth at maximum axial gradients of about 1.5 K/cm.

  11. Germanium-doped gallium phosphide obtained by neutron irradiation

    Science.gov (United States)

    Goldys, E. M.; Barczynska, J.; Godlewski, M.; Sienkiewicz, A.; Heijmink Liesert, B. J.

    1993-08-01

    Results of electrical, optical, electron spin resonance and optically detected magnetic resonance studies of thermal neutron irradiated and annealed at 800 °C n-type GaP are presented. Evidence is found to support the view that the main dopant introduced via transmutation of GaP, germanium, occupies cation sites and forms neutral donors. This confirms the possibility of neutron transmutation doping of GaP. Simultaneously, it is shown that germanium is absent at cation sites. Presence of other forms of Ge-related defects is deduced from luminescence and absorption data. Some of them are tentatively identified as VGa-GeGa acceptors leading to the self-compensation process. This observation means that the neutron transmutation as a doping method in application to GaP is not as efficient as for Si.

  12. Program LEPS to addition of gamma spectra from germanium detectors

    International Nuclear Information System (INIS)

    Romero, L.

    1986-01-01

    The LEP program, written in FORTRAN IV, performs the addition of two spectra, collected with different detectors, from the same sample. This application, adds the two gamma spectra obtained from two opposite LEPS Germanium Detectors (Low Energy Photon Spectrometer), correcting the differences (channel/energy) between both two spectra, and fitting them before adding. The total-spectrum is recorded at the computer memory as a single spectrum. The necessary equipment, to run this program is: - Two opposite germanium detectors, with their associate electronics. - Multichannel analyzer (2048 memory channel minimum) - Computer on-line interfacing to multichannel analyzer. (Author) 4 refs

  13. Characterization of nanocrystalline silicon germanium film and ...

    African Journals Online (AJOL)

    The nanocrystalline silicon-germanium films (Si/Ge) and Si/Ge nanotubes have low band gaps and high carrier mobility, thus offering appealing potential for absorbing gas molecules. Interaction between hydrogen molecules and bare as well as functionalized Si/Ge nanofilm and nanotube was investigated using Monte ...

  14. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Martineau, F; Namur, K; Mallet, J; Delavoie, F; Troyon, M; Molinari, M [Laboratoire de Microscopies et d' Etude de Nanostructures (LMEN EA3799), Universite de Reims Champagne Ardennes (URCA), Reims Cedex 2 (France); Endres, F, E-mail: michael.molinari@univ-reims.fr [Institute of Particle Technology, Chair of Interface Processes, Clausthal University of Technology, D-36678 Clausthal-Zellerfeld (Germany)

    2009-11-15

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P{sub 1,4}) containing SiCl{sub 4} as Si source or GeCl{sub 4} as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  15. Germanium films by polymer-assisted deposition

    Science.gov (United States)

    Jia, Quanxi; Burrell, Anthony K.; Bauer, Eve; Ronning, Filip; McCleskey, Thomas Mark; Zou, Guifu

    2013-01-15

    Highly ordered Ge films are prepared directly on single crystal Si substrates by applying an aqueous coating solution having Ge-bound polymer onto the substrate and then heating in a hydrogen-containing atmosphere. A coating solution was prepared by mixing water, a germanium compound, ethylenediaminetetraacetic acid, and polyethyleneimine to form a first aqueous solution and then subjecting the first aqueous solution to ultrafiltration.

  16. Mechanically-cooled germanium detector using two stirling refrigerators

    International Nuclear Information System (INIS)

    Katagiri, Masaki; Kobayashi, Yoshii; Takahashi, Koji

    1996-01-01

    In this paper, we present a developed mechanically-cooled germanium gamma-ray detector using Stirling refrigerators. Two Stirling refrigerators having cooling faculty of 1.5W at 80K were used to cool down a germanium detector element to 77K instead of a dewar containing liquid nitrogen. An 145cm 3 (56.0mmf x 59.1 mml) closed-end Ge(I) detector having relative detection efficiency of 29.4% was attached at the refrigerators. The size of the detector was 60cml x 15cmh x 15cmw. The lowest cooling temperature, 70K was obtained after 8 hours operation. The energy resolutions for 1.33MeV gamma-rays and for pulser signals were 2.43keV and 1.84keV at an amplifier shaping time of 2μsec, respectively

  17. Atomic ionization of germanium by neutrinos from an ab initio approach

    International Nuclear Information System (INIS)

    Chen, Jiunn-Wei; Chi, Hsin-Chang; Huang, Keh-Ning; Liu, C.-P.; Shiao, Hao-Tse; Singh, Lakhwinder; Wong, Henry T.; Wu, Chih-Liang; Wu, Chih-Pan

    2014-01-01

    An ab initio calculation of atomic ionization of germanium by neutrinos was carried out in the framework of multiconfiguration relativistic random phase approximation and benchmarked by related atomic structure and photoabsorption data. This improves over the conventional approach based on scattering off free electrons whose validity at sub-keV energy transfer is questionable. Limits on neutrino magnetic moments are derived using reactor neutrino data taken with low threshold germanium detectors. Future applications of these atomic techniques will greatly reduce the atomic uncertainties in low-energy neutrino and dark matter detections.

  18. Nature of oxygen donors and radiation defects in oxygen-doped germanium

    International Nuclear Information System (INIS)

    Fukuoka, Noboru; Atobe, Kozo; Honda, Makoto; Matsuda, Koji.

    1991-01-01

    The nature of oxygen donors and radiation defects in oxygen-doped germanium were studied through measurements of the infrared absorption spectrum, deep level transient spectroscopy spectrum and carrier concentration. It is revealed that a new donor is not formed in oxygen-doped germanium. An A-center (interstitial oxygen-vacancy pair) forms a complex with a thermal donor in its annealing stage at 60degC-140degC. The introduction rate of defects by 1.5 MeV electron irradiation was enhanced in thermal-donor-doped samples. (author)

  19. Buried melting in germanium implanted silicon by millisecond flash lamp annealing

    International Nuclear Information System (INIS)

    Voelskow, Matthias; Yankov, Rossen; Skorupa, Wolfgang; Pezoldt, Joerg; Kups, Thomas

    2008-01-01

    Flash lamp annealing in the millisecond range has been used to induce buried melting in silicon. For this purpose high dose high-energy germanium implantation has been employed to lower the melting temperature of silicon in a predetermined depth region. Subsequent flash lamp treatment at high energy densities leads to local melting of the germanium rich layer. The thickness of the molten layer has been found to depend on the irradiation energy density. During the cool-down period, epitaxial crystallization takes place resulting in a largely defect-free layer

  20. Long-term radiation damage to a spaceborne germanium spectrometer

    CERN Document Server

    Kurczynski, P; Hull, E L; Palmer, D; Harris, M J; Seifert, H; Teegarden, B J; Gehrels, N; Cline, T L; Ramaty, R; Sheppard, D; Madden, N W; Luke, P N; Cork, C P; Landis, D A; Malone, D F; Hurley, K

    1999-01-01

    The Transient Gamma-Ray Spectrometer aboard the Wind spacecraft in deep space has observed gamma-ray bursts and solar events for four years. The germanium detector in the instrument has gradually deteriorated from exposure to the approx 10 sup 8 p/cm sup 2 /yr(>100 MeV) cosmic-ray flux. Low-energy tailing and loss of efficiency, attributed to hole trapping and conversion of the germanium from n- to p-type as a result of crystal damage, were observed. Raising the detector bias voltage ameliorated both difficulties and restored the spectrometer to working operation. Together, these observations extend our understanding of the effects of radiation damage to include the previously unsuccessfully studied regime of long-term operation in space. (author)

  1. The MAJORANA DEMONSTRATOR: A Search for Neutrinoless Double-beta Decay of Germanium-76

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Alexis G.; Aguayo, Estanislao; Avignone, F. T.; Zhang, C.; Back, Henning O.; Barabash, Alexander S.; Bergevin, M.; Bertrand, F.; Boswell, M.; Brudanin, V.; Busch, Matthew; Chan, Yuen-Dat; Christofferson, Cabot-Ann; Collar, J. I.; Combs, Dustin C.; Cooper, R. J.; Detwiler, Jason A.; Leon, Jonathan D.; Doe, Peter J.; Efremenko, Yuri; Egorov, Viatcheslav; Ejiri, H.; Elliott, S. R.; Esterline, James H.; Fast, James E.; Fields, N.; Finnerty, P.; Fraenkle, Florian; Gehman, Victor M.; Giovanetti, G. K.; Green, M.; Guiseppe, Vincente; Gusey, K.; Hallin, A. L.; Hazama, R.; Henning, Reyco; Hime, Andrew; Hoppe, Eric W.; Horton, Mark; Howard, Stanley; Howe, Mark; Johnson, R. A.; Keeter, K.; Keillor, Martin E.; Keller, C.; Kephart, Jeremy D.; Kidd, M. F.; Knecht, A.; Kochetov, Oleg; Konovalov, S.; Kouzes, Richard T.; LaFerriere, Brian D.; LaRoque, B. H.; Leviner, L.; Loach, J. C.; MacMullin, S.; Marino, Michael G.; Martin, R. D.; Mei, Dong-Ming; Merriman, Jason H.; Miller, M. L.; Mizouni, Leila; Nomachi, Masaharu; Orrell, John L.; Overman, Nicole R.; Phillips, D.; Poon, Alan; Perumpilly, Gopakumar; Prior, Gersende; Radford, D. C.; Rielage, Keith; Robertson, R. G. H.; Ronquest, M. C.; Shima, T.; Shirchenko, M.; Snavely, Kyle J.; Sobolev, V.; Steele, David; Strain, J.; Thomas, K.; Timkin, V.; Tornow, Werner; Vanyushin, I.; Varner, R. L.; Vetter, Kai; Vorren, Kris R.; Wilkerson, J. F.; Wolfe, B. A.; Yakushev, E.; Young, A.; Yu, Chang-Hong; Yumatov, Vladimir

    2012-09-28

    The observation of neutrinoless double-beta decay would determine whether the neutrino is a Majorana particle and provide information on the absolute scale of neutrino mass. The MAJORANA Collaboration is constructing the DEMONSTRATOR, an array of germanium detectors, to search for neutrinoless double-beta decay of 76Ge. The DEMONSTRATOR will contain 40 kg of germanium; up to 30 kg will be enriched to 86% in 76Ge. The DEMONSTRATOR will be deployed deep underground in an ultra-low-background shielded environment. Operation of the DEMONSTRATOR aims to determine whether a future tonne-scale germanium experiment can achieve a background goal of one count per tonne-year in a 4-keV region of interest around the 76Ge neutrinoless double-beta decay Q-value of 2039 keV.

  2. Reduced graphene oxide-germanium quantum dot nanocomposite: electronic, optical and magnetic properties

    Science.gov (United States)

    Amollo, Tabitha A.; Mola, Genene T.; Nyamori, Vincent O.

    2017-12-01

    Graphene provides numerous possibilities for structural modification and functionalization of its carbon backbone. Localized magnetic moments can, as well, be induced in graphene by the formation of structural defects which include vacancies, edges, and adatoms. In this work, graphene was functionalized using germanium atoms, we report the effect of the Ge ad atoms on the structural, electrical, optical and magnetic properties of graphene. Reduced graphene oxide (rGO)-germanium quantum dot nanocomposites of high crystalline quality were synthesized by the microwave-assisted solvothermal reaction. Highly crystalline spherical shaped germanium quantum dots, of diameter ranging between 1.6-9.0 nm, are anchored on the basal planes of rGO. The nanocomposites exhibit high electrical conductivity with a sheet resistance of up to 16 Ω sq-1. The electrical conductivity is observed to increase with the increase in Ge content in the nanocomposites. High defect-induced magnetization is attained in the composites via germanium adatoms. The evolution of the magnetic moments in the nanocomposites and the coercivity showed marked dependence on the Ge quantum dots size and concentration. Quantum confinement effects is evidenced in the UV-vis absorbance spectra and photoluminescence emission spectra of the nanocomposites which show marked size-dependence. The composites manifest strong absorption in the UV region, strong luminescence in the near UV region, and a moderate luminescence in the visible region.

  3. Germanium nitride and oxynitride films for surface passivation of Ge radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Maggioni, G., E-mail: maggioni@lnl.infn.it [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Carturan, S. [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Fiorese, L. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali, Università di Trento, Via Mesiano 77, I-38050 Povo, Trento (Italy); Pinto, N.; Caproli, F. [Scuola di Scienze e Tecnologie, Sezione di Fisica, Università di Camerino, Via Madonna delle Carceri 9, Camerino (Italy); INFN, Sezione di Perugia, Perugia (Italy); Napoli, D.R. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Giarola, M.; Mariotto, G. [Dipartimento di Informatica—Università di Verona, Strada le Grazie 15, I-37134 Verona (Italy)

    2017-01-30

    Highlights: • A surface passivation method for HPGe radiation detectors is proposed. • Highly insulating GeNx- and GeOxNy-based layers are deposited at room temperature. • Deposition parameters affect composition and electrical properties of the layers. • The improved performance of a GeNx-coated HPGe diode is assessed. - Abstract: This work reports a detailed investigation of the properties of germanium nitride and oxynitride films to be applied as passivation layers to Ge radiation detectors. All the samples were deposited at room temperature by reactive RF magnetron sputtering. A strong correlation was found between the deposition parameters, such as deposition rate, substrate bias and atmosphere composition, and the oxygen and nitrogen content in the film matrix. We found that all the films were very poorly crystallized, consisting of very small Ge nitride and oxynitride nanocrystallites, and electrically insulating, with the resistivity changing from three to six orders of magnitude as a function of temperature. A preliminary test of these films as passivation layers was successfully performed by depositing a germanium nitride film on the intrinsic surface of a high-purity germanium (HPGe) diode and measuring the improved performance, in terms of leakage current, with respect to a reference passivated diode. All these interesting results allow us to envisage the application of this coating technology to the surface passivation of germanium-based radiation detectors.

  4. Strong quantum-confined stark effect in germanium quantum-well structures on silicon

    International Nuclear Information System (INIS)

    Kuo, Y.; Lee, Y. K.; Gei, Y.; Ren, S; Roth, J. E.; Miller, D. A.; Harris, J. S.

    2006-01-01

    Silicon is the dominant semiconductor for electronics, but there is now a growing need to integrate such component with optoelectronics for telecommunications and computer interconnections. Silicon-based optical modulators have recently been successfully demonstrated but because the light modulation mechanisms in silicon are relatively weak, long (for example, several millimeters) devices or sophisticated high-quality-factor resonators have been necessary. Thin quantum-well structures made from III-V semiconductors such as GaAs, InP and their alloys exhibit the much stronger Quantum-Confined Stark Effect (QCSE) mechanism, which allows modulator structures with only micrometers of optical path length. Such III-V materials are unfortunately difficult to integrate with silicon electronic devices. Germanium is routinely integrated with silicon in electronics, but previous silicon-germanium structures have also not shown strong modulation effects. Here we report the discovery of the QCSE, at room temperature, in thin germanium quantum-well structures grown on silicon. The QCSE here has strengths comparable to that in III-V materials. Its clarity and strength are particularly surprising because germanium is an indirect gap semiconductor, such semiconductors often display much weak optical effects than direct gap materials (such as the III-V materials typically used for optoelectronics). This discovery is very promising for small, high-speed, low-power optical output devices fully compatible with silicon electronics manufacture. (author)

  5. Event timing in high purity germanium coaxial detectors

    International Nuclear Information System (INIS)

    El-Ibiary, M.Y.

    1979-08-01

    The timing of gamma ray radiation in systems using high purity coaxial germanium detectors is analyzed and compared to that of systems using Ge(Li) detectors. The analysis takes into account the effect of the residual impurities on the electric field distribution, and hence on the rate of rise of the electrical pulses delivered to the timing module. Conditions under which the electric field distribution could lead to an improvement in timing performance, are identified. The results of the analysis confirm the experimental results published elsewhere and when compared with those for Ge(Li) detectors, which usually operate under conditions of charge carrier velocity saturation, confirm that high purity germanium detectors need not have inferior timing characteristics. A chart is given to provide a quantitative basis on which the trade off between the radius of the detector and its time resolution may be made

  6. Methods to improve and understand the sensitivity of high purity germanium detectors for searches of rare events

    International Nuclear Information System (INIS)

    Volynets, Oleksandr

    2012-01-01

    Observation of neutrinoless double beta-decay could answer fundamental questions on the nature of neutrinos. High purity germanium detectors are well suited to search for this rare process in germanium. Successful operation of such experiments requires a good understanding of the detectors and the sources of background. Possible background sources not considered before in the presently running GERDA high purity germanium detector experiment were studied. Pulse shape analysis using artificial neural networks was used to distinguish between signal-like and background-like events. Pulse shape simulation was used to investigate systematic effects influencing the efficiency of the method. Possibilities to localize the origin of unwanted radiation using Compton back-tracking in a granular detector system were examined. Systematic effects in high purity germanium detectors influencing their performance have been further investigated using segmented detectors. The behavior of the detector response at different operational temperatures was studied. The anisotropy effects due to the crystallographic structure of germanium were facilitated in a novel way to determine the orientation of the crystallographic axes.

  7. Methods to improve and understand the sensitivity of high purity germanium detectors for searches of rare events

    Energy Technology Data Exchange (ETDEWEB)

    Volynets, Oleksandr

    2012-07-27

    Observation of neutrinoless double beta-decay could answer fundamental questions on the nature of neutrinos. High purity germanium detectors are well suited to search for this rare process in germanium. Successful operation of such experiments requires a good understanding of the detectors and the sources of background. Possible background sources not considered before in the presently running GERDA high purity germanium detector experiment were studied. Pulse shape analysis using artificial neural networks was used to distinguish between signal-like and background-like events. Pulse shape simulation was used to investigate systematic effects influencing the efficiency of the method. Possibilities to localize the origin of unwanted radiation using Compton back-tracking in a granular detector system were examined. Systematic effects in high purity germanium detectors influencing their performance have been further investigated using segmented detectors. The behavior of the detector response at different operational temperatures was studied. The anisotropy effects due to the crystallographic structure of germanium were facilitated in a novel way to determine the orientation of the crystallographic axes.

  8. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    International Nuclear Information System (INIS)

    Cai, Qi; Xu, Baojian; Ye, Lin; Di, Zengfeng; Huang, Shanluo; Du, Xiaowei; Zhang, Jishen; Jin, Qinghui; Zhao, Jianlong

    2015-01-01

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  9. 1-Dodecanethiol based highly stable self-assembled monolayers for germanium passivation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Qi [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Xu, Baojian, E-mail: xbj@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Shanghai Internet of Things Co., LTD, No. 1455, Pingcheng Road, Shanghai 201899 (China); Ye, Lin [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Di, Zengfeng [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Huang, Shanluo; Du, Xiaowei [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); University of Chinese Academy of Sciences, No. 19A, Yuquan Road, Beijing 100049 (China); Zhang, Jishen; Jin, Qinghui [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China); Zhao, Jianlong, E-mail: jlzhao@mail.sim.ac.cn [State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, No. 865, Changning Road, Shanghai 200050 (China)

    2015-10-30

    Highlights: • A simple and effective approach for higly stable germanium passivation. • 1-Dodecanethiol self-assembled monolayers for germanium oxidation resistance. • The influence factors of germanium passivation were systematically studied. • The stability of the passivated Ge was more than 10 days even in water conditions. - Abstract: As a typical semiconductor material, germanium has the potential to replace silicon for future-generation microelectronics, due to its better electrical properties. However, the lack of stable surface state has limited its extensive use for several decades. In this work, we demonstrated highly stable self-assembled monolayers (SAMs) on Ge surface to prevent oxidization for further applications. After the pretreatment in hydrochloric acid, the oxide-free and Cl-terminated Ge could be further coated with 1-dodecanethiol (NDM) SAMs. The influence factors including reaction time, solvent component and reaction temperature were optimized to obtain stable passivated monolayer for oxidation resistance. Contact angle analysis, atomic force microscopy, ellipsometer and X-ray photoelectron spectroscopy were performed to characterize the functionalized Ge surface respectively. Meanwhile, the reaction mechanism and stability of thiols SAMs on Ge (1 1 1) surface were investigated. Finally, highly stable passivated NDM SAMs on Ge surface could be formed through immersing oxide-free Ge in mixture solvent (water/ethanol, v/v = 1:1) at appropriately elevated temperature (∼80 °C) for 24 h. And the corresponding optimized passivated Ge surface was stable for more than 10 days even in water condition, which was much longer than the data reported and paved the way for the future practical applications of Ge.

  10. Experience from operating germanium detectors in GERDA

    Science.gov (United States)

    Palioselitis, Dimitrios; GERDA Collaboration

    2015-05-01

    Phase I of the Germanium Detector Array (GERDA) experiment, searching for the neutrinoless double beta (0νββ) decay of 76Ge, was completed in September 2013. The most competitive half-life lower limit for the 0νββ decay of 76Ge was set (T-0ν1/2 > 2.1 · 1025 yr at 90% C.L.). GERDA operates bare Ge diodes immersed in liquid argon. During Phase I, mainly refurbished semi-coaxial high purity Ge detectors from previous experiments were used. The experience gained with handling and operating bare Ge diodes in liquid argon, as well as the stability and performance of the detectors during GERDA Phase I are presented. Thirty additional new enriched BEGe-type detectors were produced and will be used in Phase II. A subgroup of these detectors has already been used successfully in GERDA Phase I. The present paper gives an overview of the production chain of the new germanium detectors, the steps taken to minimise the exposure to cosmic radiation during manufacturing, and the first results of characterisation measurements in vacuum cryostats.

  11. The GALATEA test facility and a first study of α-induced surface events in a germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Irlbeck, Sabine

    2014-01-30

    Germanium detectors are a choice technology in fundamental research. They are suitable for the search for rare events due to their high sensitivity and excellent energy resolution. As an example, the GERDA (GERmanium Detector Array) experiment searching for neutrinoless double beta decay is described. The observation of this decay would resolve the fundamental question whether the neutrino is its own antiparticle. Especially adapted detector technologies and low background rates needed to detect very rare events such as neutrinoless double beta decays are discussed. The identification of backgrounds originating from the interaction of radiation, especially α-particles, is a focus of this thesis. Low background experiments face problems from α-particles due to unavoidable surface contaminations of the germanium detectors. The segmentation of detectors is used to obtain information about the special characteristics of selected events. The high precision test stand GALATEA was especially designed for surface scans of germanium detectors. As part of this work, GALATEA was completed and commissioned. The final commissioning required major upgrades of the original design which are described in detail. Collimator studies with two commercial germanium detectors are presented. Different collimation levels for a β-source were investigated and crystal axis effects were examined. The first scan with an α-source of the passivated end-plate of a special 19-fold segmented prototype detector mounted in GALATEA is described. The α-induced surface events were studied and characterized. Crosstalk and mirror pulses seen in the segments of the germanium detector were analyzed. The detector studies presented in this thesis will help to further improve the design of germanium detectors for low background experiments.

  12. The GALATEA test facility and a first study of α-induced surface events in a germanium detector

    International Nuclear Information System (INIS)

    Irlbeck, Sabine

    2014-01-01

    Germanium detectors are a choice technology in fundamental research. They are suitable for the search for rare events due to their high sensitivity and excellent energy resolution. As an example, the GERDA (GERmanium Detector Array) experiment searching for neutrinoless double beta decay is described. The observation of this decay would resolve the fundamental question whether the neutrino is its own antiparticle. Especially adapted detector technologies and low background rates needed to detect very rare events such as neutrinoless double beta decays are discussed. The identification of backgrounds originating from the interaction of radiation, especially α-particles, is a focus of this thesis. Low background experiments face problems from α-particles due to unavoidable surface contaminations of the germanium detectors. The segmentation of detectors is used to obtain information about the special characteristics of selected events. The high precision test stand GALATEA was especially designed for surface scans of germanium detectors. As part of this work, GALATEA was completed and commissioned. The final commissioning required major upgrades of the original design which are described in detail. Collimator studies with two commercial germanium detectors are presented. Different collimation levels for a β-source were investigated and crystal axis effects were examined. The first scan with an α-source of the passivated end-plate of a special 19-fold segmented prototype detector mounted in GALATEA is described. The α-induced surface events were studied and characterized. Crosstalk and mirror pulses seen in the segments of the germanium detector were analyzed. The detector studies presented in this thesis will help to further improve the design of germanium detectors for low background experiments.

  13. Use of Germanium as comparator and integral monitor of neutron flux in activation analysis

    International Nuclear Information System (INIS)

    Furnari, Juan C.; Cohen, Isaac M.; Arribere, Maria A.; Kestelman, Abraham J.

    1997-01-01

    The possibility of using germanium as monitor of the thermal and epithermal components of the neutron flux, and comparator in parametric activation analysis, is discussed. The advantages and drawbacks associated to the use of this element are commented on, and the comparison with zirconium, in terms of the determination relative error, is performed. The utilisation of germanium as integral flux monitor, including the fast component of the neutron spectrum, is also discussed. Data corresponding to measurements of k 0 factor for the most relevant gamma transitions from Ge-75 and Be-77 are presented, as well as the results of the reference material analysis, employing germanium as flux monitor and comparator in a simultaneous way. (author). 8 refs., 3 figs., 2 tabs

  14. Ion-beam mixing in silicon and germanium at low temperatures

    International Nuclear Information System (INIS)

    Clark, G.J.; Marwick, A.D.; Poker, D.B.

    1982-01-01

    Ion-beam mixing of thin marker layers in amorphous silicon and germanium was studied using irradiations with Xe ions at temperatures of 34k and 77k. The marker species, ion energies and doses were: in silicon, markers of Ge and Pt irradiated with 200-keV Xe up to 2.7x10 16 ions cm -2 ; and in germanium, markers of Al and Si bombarded with 295-keV Xe up to 1.63x10 16 ions cm -2 . In silicon, Pt markers were found to broaden at about the same rate at 34k and 77k; and the rate of broadening was similar to that found by other workers when expressed as an efficiency of mixing, i.e., when dependence on ion dose and deposited energy was factored out. However, a Ge marker irradiated at 34k did not broaden from its original thickness. In germanium, markers of both Al and Si were mixed by irradiation at 34k, but at 77k only the Al marker broadened; the Si marker did not. The broadening of the markers is ascribed to ballistic mixing, while the cases where no broadening occurred are explicable if diffusion by a defect mechanism transported displaced marker atoms back to traps near their original sites

  15. Germanium recovery from gasification fly ash: evaluation of end-products obtained by precipitation methods.

    Science.gov (United States)

    Arroyo, Fátima; Font, Oriol; Fernández-Pereira, Constantino; Querol, Xavier; Juan, Roberto; Ruiz, Carmen; Coca, Pilar

    2009-08-15

    In this study the purity of the germanium end-products obtained by two different precipitation methods carried out on germanium-bearing solutions was evaluated as a last step of a hydrometallurgy process for the recovery of this valuable element from the Puertollano Integrated Gasification Combined Cycle (IGCC) fly ash. Since H(2)S is produced as a by-product in the gas cleaning system of the Puertollano IGCC plant, precipitation of germanium as GeS(2) was tested by sulfiding the Ge-bearing solutions. The technological and hazardous issues that surround H(2)S handling conducted to investigate a novel precipitation procedure: precipitation as an organic complex by adding 1,2-dihydroxy benzene pyrocatechol (CAT) and cetyltrimethylammonium bromide (CTAB) to the Ge-bearing solutions. Relatively high purity Ge end-products (90 and 93% hexagonal-GeO(2) purity, respectively) were obtained by precipitating Ge from enriched solutions, as GeS(2) sulfiding the solutions with H(2)S, or as organic complex with CAT/CTAB mixtures and subsequent roasting of the precipitates. Both methods showed high efficiency (>99%) to precipitate selectively Ge using a single precipitation stage from germanium-bearing solutions.

  16. Synthesis and characterization of germanium monosulphide (GeS)

    Indian Academy of Sciences (India)

    This paper reports the growth of germanium monosulphide (GeS) single crystals by vapour phase technique using different transporting agents. The single crystallinity and composition of the grown crystals have been verified by transmission electron microscopy (TEM) and energy dispersive analysis of X-rays (EDAX) ...

  17. Electrical Manipulation of Donor Spin Qubits in Silicon and Germanium

    Science.gov (United States)

    Sigillito, Anthony James

    Many proposals for quantum information devices rely on electronic or nuclear spins in semiconductors because of their long coherence times and compatibility with industrial fabrication processes. One of the most notable qubits is the electron spin bound to phosphorus donors in silicon, which offers coherence times exceeding seconds at low temperatures. These donors are naturally isolated from their environments to the extent that silicon has been coined a "semiconductor vacuum". While this makes for ultra-coherent qubits, it is difficult to couple two remote donors so quantum information proposals rely on high density arrays of qubits. Here, single qubit addressability becomes an issue. Ideally one would address individual qubits using electric fields which can be easily confined. Typically these schemes rely on tuning a donor spin qubit onto and off of resonance with a magnetic driving field. In this thesis, we measure the electrical tunability of phosphorus donors in silicon and use the extracted parameters to estimate the effects of electric-field noise on qubit coherence times. Our measurements show that donor ionization may set in before electron spins can be sufficiently tuned. We therefore explore two alternative options for qubit addressability. First, we demonstrate that nuclear spin qubits can be directly driven using electric fields instead of magnetic fields and show that this approach offers several advantages over magnetically driven spin resonance. In particular, spin transitions can occur at half the spin resonance frequency and double quantum transitions (magnetic-dipole forbidden) can occur. In a second approach to realizing tunable qubits in semiconductors, we explore the option of replacing silicon with germanium. We first measure the coherence and relaxation times for shallow donor spin qubits in natural and isotopically enriched germanium. We find that in isotopically enriched material, coherence times can exceed 1 ms and are limited by a

  18. Neutron Activation Resonance Integrals of 64Zn, 68Zn, 85Rb, 100Mo, 102Ru, 113In, 123Sb, and 180Hf

    International Nuclear Information System (INIS)

    Ricabarra, M. D.; Turjanski, R.; Ricabarra, G. H.

    1969-01-01

    The ratio of resonance integral to thermal activation cross sections of the isotopes 64 Zn, 68 Zn, 85 Rb, 100 Mo, 102 Ru, 113 In, 123 Sb, and 180 Hf relative to gold has been determined by measuring cadmium ratios. The standard gold or a secondary standard was mixed uniformly in the samples and the activities resolved with a lithium-drift germanium γ-ray spectrometer. Expressed as Westcott S 0 values the results were: 64 Zn = 2.06 ± 0.03, 68 Zn = 3.72 ± 0.14, 85 Rb = 18.4 ± 0.6, 100 Mo = 21.7 ± 0.8, 102 Ru = 3.76 ± 0.03, 113 In = 24.7 ± 0.5, 123 Sb = 28.3 ± 2.1, 180 Hf = 2.17 ± 0.09. All measurements are relative to S 0 = 17.7 for gold. (author)

  19. Silicon-Germanium Front-End Electronics for Space-Based Radar Applications

    Data.gov (United States)

    National Aeronautics and Space Administration — Over the past two decades, Silicon-Germanium (SiGe) heterojunction bipolar transistor (HBT) technology has emerged as a strong platform for high-frequency...

  20. Vacancy-indium clusters in implanted germanium

    KAUST Repository

    Chroneos, Alexander I.

    2010-04-01

    Secondary ion mass spectroscopy measurements of heavily indium doped germanium samples revealed that a significant proportion of the indium dose is immobile. Using electronic structure calculations we address the possibility of indium clustering with point defects by predicting the stability of indium-vacancy clusters, InnVm. We find that the formation of large clusters is energetically favorable, which can explain the immobility of the indium ions. © 2010 Elsevier B.V. All rights reserved.

  1. Vacancy-indium clusters in implanted germanium

    KAUST Repository

    Chroneos, Alexander I.; Kube, R.; Bracht, Hartmut A.; Grimes, Robin W.; Schwingenschlö gl, Udo

    2010-01-01

    Secondary ion mass spectroscopy measurements of heavily indium doped germanium samples revealed that a significant proportion of the indium dose is immobile. Using electronic structure calculations we address the possibility of indium clustering with point defects by predicting the stability of indium-vacancy clusters, InnVm. We find that the formation of large clusters is energetically favorable, which can explain the immobility of the indium ions. © 2010 Elsevier B.V. All rights reserved.

  2. X-ray radiometric analysis of lead and zinc concentrates using germanium radiation detector

    International Nuclear Information System (INIS)

    Vajgachev, A.A.; Mamysh, V.A.; Mil'chakov, V.I.; Shchekin, K.I.; Berezkin, V.V.

    1975-01-01

    The results of determination of lead, zinc and iron in lead and zinc concentrates by the X-ray-radiometric method with the use of germanium semiconductor detector are presented. In the experiments the 57 Co source and tritium-zirconium target were used. The activity of 57 Co was 2 mc. The area of the germanium detector employed was 5g mm 2 , its thickness - 2.3 mm. In lead concentrates zinc and iron were determined from the direct intensity of K-series radiation. In the analysis of zinc concentrates the same conditions of recording and excitation were used as in the case of lead concentrates, but the measurements were conducted in saturated layers. It is demonstrated that the use of germanium semiconductor detectors in combination with the suggested methods of measurements makes it possible to perform determination of iron, zinc and lead in zinc and lead concentrates with permissible error

  3. Two-Dimensional Spatial Imaging of Charge Transport in Germanium Crystals at Cryogenic Temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Moffatt, Robert [Stanford Univ., CA (United States)

    2016-03-01

    In this dissertation, I describe a novel apparatus for studying the transport of charge in semiconductors at cryogenic temperatures. The motivation to conduct this experiment originated from an asymmetry observed between the behavior of electrons and holes in the germanium detector crystals used by the Cryogenic Dark Matter Search (CDMS). This asymmetry is a consequence of the anisotropic propagation of electrons in germanium at cryogenic temperatures. To better model our detectors, we incorporated this effect into our Monte Carlo simulations of charge transport. The purpose of the experiment described in this dissertation is to test those models in detail. Our measurements have allowed us to discover a shortcoming in our most recent Monte Carlo simulations of electrons in germanium. This discovery would not have been possible without the measurement of the full, two-dimensional charge distribution, which our experimental apparatus has allowed for the first time at cryogenic temperatures.

  4. Enhanced light trapping by focused ion beam (FIB) induced self-organized nanoripples on germanium (100) surface

    Science.gov (United States)

    Kamaliya, Bhaveshkumar; Mote, Rakesh G.; Aslam, Mohammed; Fu, Jing

    2018-03-01

    In this paper, we demonstrate enhanced light trapping by self-organized nanoripples on the germanium surface. The enhanced light trapping leading to high absorption of light is confirmed by the experimental studies as well as the numerical simulations using the finite-difference time-domain method. We used gallium ion (Ga+) focused ion beam to enable the formation of the self-organized nanoripples on the germanium (100) surface. During the fabrication, the overlap of the scanning beam is varied from zero to negative value and found to influence the orientation of the nanoripples. Evolution of nanostructures with the variation of beam overlap is investigated. Parallel, perpendicular, and randomly aligned nanoripples with respect to the scanning direction are obtained via manipulation of the scanning beam overlap. 95% broadband absorptance is measured in the visible electromagnetic region for the nanorippled germanium surface. The reported light absorption enhancement can significantly improve the efficiency of germanium-silicon based photovoltaic systems.

  5. Controlled localised melting in silicon by high dose germanium implantation and flash lamp annealing

    International Nuclear Information System (INIS)

    Voelskow, Matthias; Skorupa, Wolfgang; Pezoldt, Joerg; Kups, Thomas

    2009-01-01

    High intensity light pulse irradiation of monocrystalline silicon wafers is usually accompanied by inhomogeneous surface melting. The aim of the present work is to induce homogeneous buried melting in silicon by germanium implantation and subsequent flash lamp annealing. For this purpose high dose, high energy germanium implantation has been employed to lower the melting temperature of silicon in a predetermined depth region. Subsequent flash lamp irradiation at high energy densities leads to local melting of the germanium rich buried layer, whereby the thickness of the molten layer depends on the irradiation energy density. During the cooling down epitaxial crystallization takes place resulting in a largely defect-free layer. The combination of buried melting and dopant segregation has the potential to produce unusually buried doping profiles or to create strained silicon structures.

  6. Radiation-enhanced self- and boron diffusion in germanium

    DEFF Research Database (Denmark)

    Schneider, S.; Bracht, H.; Klug, J.N.

    2013-01-01

    We report experiments on proton radiation-enhanced self- and boron (B) diffusion in germanium (Ge) for temperatures between 515 ∘ C and 720 ∘ C. Modeling of the experimental diffusion profiles measured by means of secondary ion mass spectrometry is achieved on the basis of the Frenkel pair reaction...

  7. Study of the effect of neutron and electron irradiations on the low temperature thermal conductivity of germanium and silicon; Etude de l'effet des irradiations neutronique et electronique sur la conductibilite thermique aux basses temperatures du germanium et du silicium

    Energy Technology Data Exchange (ETDEWEB)

    Vandevyver, M [Commissariat a l' Energie Atomique, Saclay (France). Centre d' Etudes Nucleaires

    1967-06-15

    The main results obtained from this work are the following: 1 Neutron irradiation (at 300 deg. K) produces lattice defects in germanium and silicon, and a corresponding very large lowering of the thermal conductivity is observed in the low temperature region (4-300 ). The results obtained have been explained with the help of the following hypotheses: for silicon a scattering of phonons by the stress fields produced by the defects; for germanium, a supplementary scattering of the electron phonon type. 2 Annealing treatments carried out on these materials above 373 deg. K restored the thermal conductivity over the whole temperature range of the measurements (4-300 deg. K); in the case of both germanium and silicon there were two steps in the annealing process. 3 A study of the thermal conductivity of germanium (initially P or N) after an electronic irradiation showed that the scattering of phonons could depend on the state of charge of the defects thus produced. (author) [French] Les principaux resultats obtenus au cours de ce travail sont les suivants : 1 Les irradiations neutroniques (a 300 deg. K) introduisent des defauts de reseau dans le germanium et le silicium et l'on observe correlativement pour ces materiaux, une tres importante diminution de conductibilite thermique dans le domaine des basses temperatures (4-300 deg. K). Les resultats obtenus ont pu etre interpretes en admettant principalement: pour le silicium, une diffusion des phonons par les champs de contrainte dus aux defauts; pour le germanium, une diffusion additionnelle du type electron-phonon. 2 Des recuits effectues sur ces materiaux au-dessus de 373 deg. K ont montre une restauration de la conductibilite thermique dans tout l'intervalle de temperature de mesure (4-300 deg. K) et comportant pour le germanium et le silicium, deux etapes de recuit 3 L'etude de la conductibilite thermique de germanium (initialement N ou P) apres une irradiation electronique, a montre que la diffusion des phonons

  8. Photoluminescent polysaccharide-coated germanium(IV) oxide nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Lobaz, Volodymyr; Rabyk, Mariia; Pánek, Jiří; Doris, E.; Nallet, F.; Štěpánek, Petr; Hrubý, Martin

    2016-01-01

    Roč. 294, č. 7 (2016), s. 1225-1235 ISSN 0303-402X R&D Projects: GA MŠk(CZ) 7AMB14FR027; GA ČR(CZ) GA13-08336S; GA MZd(CZ) NV15-25781A Institutional support: RVO:61389013 Keywords : germanium oxide nanoparticles * polysaccharide coating * photoluminescent label Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.723, year: 2016

  9. Filtering microphonics in dark matter germanium experiments

    International Nuclear Information System (INIS)

    Morales, J.; Garcia, E.; Ortiz de Solorzano, A.; Morales, A.; Nunz-Lagos, R.; Puimedon, J.; Saenz, C.; Villar, J.A.

    1992-01-01

    A technique for reducing the microphonic noise in a germanium spectrometer used in dark matter particles searches is described. Filtered energy spectra, corresponding to 48.5 kg day of data in a running experiment in the Canfranc tunnel are presented. Improvements of this filtering procedure with respect to the method of rejecting those events not distributed evenly in time are also discussed. (orig.)

  10. 10 CFR Appendix L to Part 110 - Illustrative List of Byproduct Materials Under NRC Export/Import Licensing Authority a

    Science.gov (United States)

    2010-01-01

    ... material produced, extracted, or converted for use for a commercial, medical, or research activity...) Gallium 72 (Ga 72) Germanium 68 (Ge 68) Germanium 71 (Ge 71) Gold 198 (Au 198) Gold 199 (Au 199) Hafnium...

  11. Vacancy-acceptor complexes in germanium produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Feuser, U.; Vianden, R. (Inst. fuer Strahlen- und Kernphysik, Univ. Bonn (Germany)); Alves, E.; Silva, M.F. da (Dept. de Fisica, ICEN/LNETI, Sacavem (Portugal)); Szilagyi, E.; Paszti, F. (Central Research Inst. for Physics, Hungarian Academy of Sciences, Budapest (Hungary)); Soares, J.C. (Centro de Fisica Nuclear, Univ. Lisbon (Portugal))

    1991-07-01

    Combining results obtained by the {gamma}-{gamma} perturbed angular correlation method, Rutherford backscattering and elastic recoil detection of hydrogen, a defect complex formed in germanium by indium implantation is identified as a vacancy trapped by the indium probe. (orig.).

  12. Preclinical Study of 68Ga-DOTATOC: Biodistribution Assessment in Syrian Rats and Evaluation of Absorbed Dose in Human Organs.

    Science.gov (United States)

    Naderi, Mojdeh; Zolghadri, Samaneh; Yousefnia, Hassan; Ramazani, Ali; Jalilian, Amir Reza

    2016-01-01

    Gallium-68 DOTA-DPhe 1 -Tyr 3 -Octreotide ( 68 Ga-DOTATOC) has been applied by several European centers for the treatment of a variety of human malignancies. Nevertheless, definitive dosimetric data are yet unavailable. According to the Society of Nuclear Medicine and Molecular Imaging, researchers are investigating the safety and efficacy of this radiotracer to meet Food and Drug Administration requirements. The aim of this study was to introduce the optimized procedure for 68 Ga-DOTATOC preparation, using a novel germanium-68 ( 68 Ge)/ 68 Ga generator in Iran and evaluate the absorbed doses in numerous organs with high accuracy. The optimized conditions for preparing the radiolabeled complex were determined via several experiments by changing the ligand concentration, pH, temperature and incubation time. Radiochemical purity of the complex was assessed, using high-performance liquid chromatography and instant thin-layer chromatography. The absorbed dose of human organs was evaluated, based on biodistribution studies on Syrian rats via Radiation Absorbed Dose Assessment Resource Method. 68 Ga-DOTATOC was prepared with radiochemical purity of >98% and specific activity of 39.6 MBq/nmol. The complex demonstrated great stability at room temperature and in human serum at 37°C at least two hours after preparation. Significant uptake was observed in somatostatin receptor-positive tissues such as pancreatic and adrenal tissues (12.83 %ID/g and 0.91 %ID/g, respectively). Dose estimations in human organs showed that the pancreas, kidneys and adrenal glands received the maximum absorbed doses (0.105, 0.074 and 0.010 mGy/MBq, respectively). Also, the effective absorbed dose was estimated at 0.026 mSv/MBq for 68 Ga-DOTATOC. The obtained results showed that 68 Ga-DOTATOC can be considered as an effective agent for clinical PET imaging in Iran.

  13. Composite germanium monochromators - results for the TriCS

    Energy Technology Data Exchange (ETDEWEB)

    Schefer, J.; Fischer, S.; Boehm, M.; Keller, L.; Horisberger, M.; Medarde, M.; Fischer, P. [Paul Scherrer Inst. (PSI), Villigen (Switzerland)

    1997-09-01

    Composite germanium monochromators are in the beginning of their application in neutron diffraction. We show here the importance of the permanent quality control with neutrons on the example of the 311 wafers which will be used on the single crystal diffractometer TriCS at SINQ. (author) 2 figs., 3 refs.

  14. Segmented Monolithic Germanium Detector Arrays for X-ray Absorption Spectroscopy. Final Report

    International Nuclear Information System (INIS)

    Hull, Ethan L.

    2011-01-01

    The experimental results from the Phase I effort were extremely encouraging. During Phase I PHDs Co. made the first strides toward a new detector technology that could have great impact on synchrotron x-ray absorption (XAS) measurements, and x-ray detector technology in general. Detector hardware that allowed critical demonstration measurements of our technology was designed and fabricated. This new technology allows good charge collection from many pixels on a single side of a multi-element monolithic germanium planar detector. The detector technology provides 'dot-like' collection electrodes having very low capacitance. The detector technology appears to perform as anticipated in the Phase I proposal. In particular, the 7-pixel detector studied showed remarkable properties; making it an interesting example of detector physics. The technology is enabled by the use of amorphous germanium contact technology on germanium planar detectors. Because of the scalability associated with the fabrication of these technologies at PHDs Co., we anticipate being able to supply larger detector systems at significantly lower cost than systems made in the conventional manner.

  15. First-principles study of the diffusion mechanisms of the self-interstitial in germanium

    International Nuclear Information System (INIS)

    Carvalho, A; Jones, R; Janke, C; Goss, J P; Briddon, P R; Oeberg, S

    2008-01-01

    The self-interstitial in germanium can assume multiple configurations depending on the temperature and charge state. Here, we employ a first-principles density functional method to investigate the diffusion mechanisms of this defect. The energy barriers associated with the transformation between different structures are determined by the climbing nudged elastic band method, as a function of the charge state. The relation between the thermodynamic properties of the self-interstitial and the temperature evolution of electron radiation damage in germanium are discussed

  16. Experience from operating germanium detectors in GERDA

    International Nuclear Information System (INIS)

    Palioselitis, Dimitrios

    2015-01-01

    Phase I of the Germanium Detector Array (GERDA) experiment, searching for the neutrinoless double beta (0νββ) decay of 76 Ge, was completed in September 2013. The most competitive half-life lower limit for the 0νββ decay of 76 Ge was set (T- 0ν 1/2 > 2.1 · 10 25 yr at 90% C.L.). GERDA operates bare Ge diodes immersed in liquid argon. During Phase I, mainly refurbished semi-coaxial high purity Ge detectors from previous experiments were used. The experience gained with handling and operating bare Ge diodes in liquid argon, as well as the stability and performance of the detectors during GERDA Phase I are presented. Thirty additional new enriched BEGe-type detectors were produced and will be used in Phase II. A subgroup of these detectors has already been used successfully in GERDA Phase I. The present paper gives an overview of the production chain of the new germanium detectors, the steps taken to minimise the exposure to cosmic radiation during manufacturing, and the first results of characterisation measurements in vacuum cryostats. (paper)

  17. Lithium-Ion (de)insertion reaction of Germanium thin-film electrodes : an electrochemical and in situ XRD study

    NARCIS (Netherlands)

    Baggetto, L.; Notten, P.H.L.

    2009-01-01

    Germanium is a promising negative electrode candidate for lithium-ion thin-film batteries because of its very high theoretical storage capacity. When assuming full conversion of the material into the room-temperature equilibrium lithium saturated germanium phase, a theoretical capacity of or of

  18. Normal processes of phonon-phonon scattering and thermal conductivity of germanium crystals with isotopic disorder

    CERN Document Server

    Kuleev, I G

    2001-01-01

    The effect of normal processes of the phonon-phonon scattering on the thermal conductivity of the germanium crystals with various isotopic disorder degrees is considered. The phonon pulse redistribution in the normal scattering processes both inside each oscillatory branch (the Simons mechanism) and between various phonon oscillatory branches (the Herring mechanism) is accounted for. The contributions of the longitudinal and cross-sectional phonons drift motion into the thermal conductivity are analyzed. It is shown that the pulse redistribution in the Herring relaxation mechanism leads to essential suppression of the longitudinal phonons drift motion in the isotopically pure germanium crystals. The calculations results of thermal conductivity for the Herring relaxation mechanism agree well with experimental data on the germanium crystals with various isotopic disorder degrees

  19. Impurity engineering for germanium-doped Czochralski silicon wafer used for ultra large scale integrated circuit

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jiahe; Yang, Deren [State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Zhejiang University, Hangzhou (China)

    2009-07-01

    Internal gettering (IG) technology has been challenged by both the reduction of thermal budget during device fabrication and the enlargement of wafer diameter. Improving the properties of Czochralski (Cz) silicon wafers by intentional impurity doping, the so-called 'impurity engineering (IE)', is defined. Germanium has been found to be one of the important impurities for improving the internal gettering effect in Cz silicon wafer. In this paper, the investigations on IE involved with the conventional furnace anneal based denudation processing for germanium-doped Cz silicon wafer are reviewed. Meanwhile, the potential mechanisms of germanium effects for the IE of Cz silicon wafer are also interpreted based on the experimental facts. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Time-resolved spectroscopy of plasma resonances in highly excited silicon and germanium

    International Nuclear Information System (INIS)

    Huang, C.Y.; Malvezzi, A.M.; Bloembergen, N.; Kurz, H.

    1985-01-01

    The dynamics of the electron-hole plasma in silicon and germanium samples irradiated by 20 ps. 532 nm laser pulses has been investigated in the near infrared by the time-resolved picosecond optical spectroscopy. The experimental reflectivities and transmission are compared with the predictions of the thermal model for degenerate carrier distributions through the Drude formalism. Above a certain fluence, a significant deviation between measured and calculated values indicates a strong increase of the recombination rate as soon as the plasma resonances become comparable with the band gaps. These new plasmon-aided recombination channels are particularly pronounced in germanium. 15 refs., 8 figs

  1. Synthesis and Gas Phase Thermochemistry of Germanium-Containing Compounds

    Energy Technology Data Exchange (ETDEWEB)

    Classen, Nathan Robert [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    The driving force behind much of the work in this dissertation was to gain further understanding of the unique olefin to carbene isomerization observed in the thermolysis of 1,1-dimethyl-2-methylenesilacyclobutane by finding new examples of it in other silicon and germanium compounds. This lead to the examination of a novel phenylmethylenesilacyclobut-2-ene, which did not undergo olefin to carbene rearrangement. A synthetic route to methylenegermacyclobutanes was developed, but the methylenegermacyclobutane system exhibited kinetic instability, making the study of the system difficult. In any case the germanium system decomposed through a complex mechanism which may not include olefin to carbene isomerization. However, this work lead to the study of the gas phase thermochemistry of a series of dialkylgermylene precursors in order to better understand the mechanism of the thermal decomposition of dialkylgermylenes. The resulting dialkylgermylenes were found to undergo a reversible intramolecular β C-H insertion mechanism.

  2. Polarization-sensitive and broadband germanium sulfide photodetectors with excellent high-temperature performance.

    Science.gov (United States)

    Tan, Dezhi; Zhang, Wenjin; Wang, Xiaofan; Koirala, Sandhaya; Miyauchi, Yuhei; Matsuda, Kazunari

    2017-08-31

    Layered materials, such as graphene, transition metal dichalcogenides and black phosphorene, have been established rapidly as intriguing building blocks for optoelectronic devices. Here, we introduce highly polarization sensitive, broadband, and high-temperature-operation photodetectors based on multilayer germanium sulfide (GeS). The GeS photodetector shows a high photoresponsivity of about 6.8 × 10 3 A W -1 , an extremely high specific detectivity of 5.6 × 10 14 Jones, and broad spectral response in the wavelength range of 300-800 nm. More importantly, the GeS photodetector has high polarization sensitivity to incident linearly polarized light, which provides another degree of freedom for photodetectors. Tremendously enhanced photoresponsivity is observed with a temperature increase, and high responsivity is achievable at least up to 423 K. The establishment of larger photoinduced reduction of the Schottky barrier height will be significant for the investigation of the photoresponse mechanism of 2D layered material-based photodetectors. These attributes of high photocurrent generation in a wide temperature range, broad spectral response, and polarization sensitivity coupled with environmental stability indicate that the proposed GeS photodetector is very suitable for optoelectronic applications.

  3. Biallelic and Genome Wide Association Mapping of Germanium Tolerant Loci in Rice (Oryza sativa L..

    Directory of Open Access Journals (Sweden)

    Partha Talukdar

    Full Text Available Rice plants accumulate high concentrations of silicon. Silicon has been shown to be involved in plant growth, high yield, and mitigating biotic and abiotic stresses. However, it has been demonstrated that inorganic arsenic is taken up by rice through silicon transporters under anaerobic conditions, thus the ability to efficiently take up silicon may be considered either a positive or a negative trait in rice. Germanium is an analogue of silicon that produces brown lesions in shoots and leaves, and germanium toxicity has been used to identify mutants in silicon and arsenic transport. In this study, two different genetic mapping methods were performed to determine the loci involved in germanium sensitivity in rice. Genetic mapping in the biparental cross of Bala × Azucena (an F6 population and a genome wide association (GWA study with 350 accessions from the Rice Diversity Panel 1 were conducted using 15 μM of germanic acid. This identified a number of germanium sensitive loci: some co-localised with previously identified quantitative trait loci (QTL for tissue silicon or arsenic concentration, none co-localised with Lsi1 or Lsi6, while one single nucleotide polymorphism (SNP was detected within 200 kb of Lsi2 (these are genes known to transport silicon, whose identity was discovered using germanium toxicity. However, examining candidate genes that are within the genomic region of the loci detected above reveals genes homologous to both Lsi1 and Lsi2, as well as a number of other candidate genes, which are discussed.

  4. Geometrical and band-structure effects on phonon-limited hole mobility in rectangular cross-sectional germanium nanowires

    International Nuclear Information System (INIS)

    Tanaka, H.; Mori, S.; Morioka, N.; Suda, J.; Kimoto, T.

    2014-01-01

    We calculated the phonon-limited hole mobility in rectangular cross-sectional [001], [110], [111], and [112]-oriented germanium nanowires, and the hole transport characteristics were investigated. A tight-binding approximation was used for holes, and phonons were described by a valence force field model. Then, scattering probability of holes by phonons was calculated taking account of hole-phonon interaction atomistically, and the linearized Boltzmann's transport equation was solved to calculate the hole mobility at low longitudinal field. The dependence of the hole mobility on nanowire geometry was analyzed in terms of the valence band structure of germanium nanowires, and it was found that the dependence was qualitatively reproduced by considering an average effective mass and the density of states of holes. The calculation revealed that [110] germanium nanowires with large height along the [001] direction show high hole mobility. Germanium nanowires with this geometry are also expected to exhibit high electron mobility in our previous work, and thus they are promising for complementary metal-oxide-semiconductor (CMOS) applications

  5. Electrochemical characterization of irreversibly adsorbed germanium on platinum stepped surfaces vicinal to Pt(1 0 0)

    International Nuclear Information System (INIS)

    Rodriguez, P.; Herrero, E.; Solla-Gullon, J.; Vidal-Iglesias, F.J.; Aldaz, A.; Feliu, J.M.

    2005-01-01

    The electrochemical behavior of germanium irreversibly adsorbed at stepped surfaces vicinal to the Pt(1 0 0) pole is reported. The process taking part on the (1 0 0) terraces is evaluated from charge density measurements and calibration lines versus the terrace dimension are plotted. On the series Pt(2n - 1,1,1) having (1 1 1) monoatomic steps, the charge involved in the redox process undergone by the irreversibly adsorbed germanium is able to account for (n - 0.5) terrace atoms, thus suggesting some steric difficulties in the growth of the adlayer on the (1 0 0) terraces. Conversely, no steric problems are apparent in the series Pt(n,1,0) in which more open (1 0 0) steps are present on the (1 0 0) terraces. In this latter case the charge density under the germanium redox peaks is proportional to the number of terrace atoms. Some comparison is made with other stepped surfaces to understand the behavior and stability of germanium irreversibly adsorbed on the different platinum surface sites

  6. Performance of a Small Anode Germanium Well detector

    International Nuclear Information System (INIS)

    Adekola, A.S.; Colaresi, J.; Douwen, J.; Mueller, W.F.; Yocum, K.M.

    2015-01-01

    The performance of Small Anode Germanium (SAGe) Well detector [1] has been evaluated for a range of sample sizes and geometries counted inside the well, on the end cap or in Marinelli beakers. The SAGe Well is a new type of low capacitance germanium well detector manufactured using small anode technology. The detector has similar energy resolution performance to semi-planar detectors, and offers significant improvement over the Coaxial and existing Well detectors. Resolution performance of 0.75 keV Full Width at Half Maxiumum (FWHM) at 122 keV γ-ray energy and resolution of 2.0–2.3 keV FWHM at 1332 keV γ-ray energy are guaranteed. Such outstanding resolution performance will benefit environmental applications in revealing the detailed radionuclide content of samples, particularly at low energy, and will enhance the detection sensitivity resulting in reduced counting time. This paper reports the counting performance of SAGe Well detector for range of sample sizes and geometries and how it compares to other detector types

  7. Performance of a Small Anode Germanium Well detector

    Energy Technology Data Exchange (ETDEWEB)

    Adekola, A.S., E-mail: aderemi.adekola@canberra.com; Colaresi, J.; Douwen, J.; Mueller, W.F.; Yocum, K.M.

    2015-06-01

    The performance of Small Anode Germanium (SAGe) Well detector [1] has been evaluated for a range of sample sizes and geometries counted inside the well, on the end cap or in Marinelli beakers. The SAGe Well is a new type of low capacitance germanium well detector manufactured using small anode technology. The detector has similar energy resolution performance to semi-planar detectors, and offers significant improvement over the Coaxial and existing Well detectors. Resolution performance of 0.75 keV Full Width at Half Maxiumum (FWHM) at 122 keV γ-ray energy and resolution of 2.0–2.3 keV FWHM at 1332 keV γ-ray energy are guaranteed. Such outstanding resolution performance will benefit environmental applications in revealing the detailed radionuclide content of samples, particularly at low energy, and will enhance the detection sensitivity resulting in reduced counting time. This paper reports the counting performance of SAGe Well detector for range of sample sizes and geometries and how it compares to other detector types.

  8. Characterisation of the SmartPET planar Germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Boston, H.C. [Department of Physics, University of Liverpool, Oliver Lodge Laboratory, Liverpool L69 7ZE (United Kingdom)], E-mail: H.C.Boston@liverpool.ac.uk; Boston, A.J.; Cooper, R.J.; Cresswell, J.; Grint, A.N.; Mather, A.R.; Nolan, P.J.; Scraggs, D.P.; Turk, G. [Department of Physics, University of Liverpool, Oliver Lodge Laboratory, Liverpool L69 7ZE (United Kingdom); Hall, C.J.; Lazarus, I. [CCLRC Daresbury Laboratory, Warrington WA4 4AD (United Kingdom); Berry, A.; Beveridge, T.; Gillam, J.; Lewis, R. [School of Physics and Materials Engineering, Monash University, Melbourne (Australia)

    2007-08-21

    Small Animal Reconstruction PET (SmartPET) is a project funded by the UK medical research council (MRC) to demonstrate proof of principle that Germanium can be utilised in Positron Emission Tomography (PET). The SmartPET demonstrator consists of two orthogonal strip High Purity Germanium (HPGe) planar detectors manufactured by ORTEC. The aim of the project is to produce images of an internal source with sub mm{sup 3} spatial resolution. Before this image can be achieved the detectors have to be fully characterised to understand the response at any given location to a {gamma}-ray interaction. This has been achieved by probing the two detectors at a number of specified points with collimated sources of various energies and strengths. A 1 mm diameter collimated beam of photons was raster scanned in 1 mm steps across the detector. Digital pulse shape data were recorded from all the detector channels and the performance of the detector for energy and position determination has been assessed. Data will be presented for the first SmartPET detector.

  9. The low thermal gradient CZ technique as a way of growing of dislocation-free germanium crystals

    Science.gov (United States)

    Moskovskih, V. A.; Kasimkin, P. V.; Shlegel, V. N.; Vasiliev, Y. V.; Gridchin, V. A.; Podkopaev, O. I.

    2014-09-01

    This paper considers the possibility of growth of dislocation-free germanium single crystals. This is achieved by reducing the temperature gradients at the level of 1 K/cm and lower. Single germanium crystals 45-48 mm in diameter with a dislocation density of 102 cm-2 were grown by a Low Thermal Gradient Czochralski technique (LTG CZ).

  10. Far-Infrared Magneto-Optical Studies in Germanium and Indium-Antimonide at High Intensities

    Science.gov (United States)

    Leung, Michael

    Observations of nonlinear magneto-optical phenomena occurring in p-type Germanium and n-type Indium Antimonide are reported. These include multi-photon ionization of impurity states, and a new observation, the magneto-photon ionization of impurity states, and a new observation, the magneto-photon drag effect. A novel source of far-infrared radiation has been used. This source uses a pulsed CO(,2) LASER to optically pump a super-radiant cell, generating light with intensities up to 100 KW/cm('2) and wavelengths from 66 (mu)m to 496 (mu)m in a pulse of 150 nanoseconds duration. The Germanium samples were doped with Gallium, which is a shallow acceptor with an ionization potential of 11 meV. At liquid Helium temperature virtually all charge carriers are bound to acceptor sites. However, the high intensity radiation unexpectedly ionizes the acceptors. This is demonstrated through measurements of photoconductivity, transmission and the photo-Hall Effect. This observation is unexpected because the photon energy is one-fourth the ionization potential. Rate equations describing sequential multiphoton excitations are in agreement with the experimental results. The intermediate states are postulated to be acceptor exciton band states. Studies of the photoexcited mobility at 496 (mu)m suggest that at non-saturating levels of photoexcitation, the primary scattering mechanism of hot holes in Germanium is by neutral impurities. A new magneto-optical effect, the magneto-photon drag effect, has been studied in both Germanium and Indium Antimonide. This is simply the absorption of momentum by free carriers, from an incident photon field. It has been found that the mechanism for this effect is different in the two materials. In Germanium, the effect occurs when carriers make optical transitions from the heavy hole band to the light hole band. Thus, the magneto-optical behavior depends heavily upon the band structure. On the other hand, a modified Drude model (independent electron

  11. Germanium microstrip detectors with 50 and 100 μm pitch

    International Nuclear Information System (INIS)

    Amendolia, S.R.; Bedeschi, F.; Bertolucci, E.; Bettoni, D.; Bosisio, L.; Bottigli, U.; Bradaschia, C.; Dell'Orso, M.; Fidecaro, F.; Foa, L.; Focardi, E.; Giannetti, P.; Giorgi, M.A.; Marrocchesi, P.S.; Menzione, A.; Raso, G.; Ristori, L.; Scribano, A.; Stefanini, A.; Tenchini, R.; Tonelli, G.; Triggiani, G.; Haller, E.E.; Hansen, W.L.; Luke, P.N.

    1984-01-01

    Multi-electrode germanium detectors are being used as an active target for decay path measurements of charmed mesons. The procedure used to fabricate such detectors is described and a brief analysis of their performance is given. (orig.)

  12. Non-local electrical spin injection and detection in germanium at room temperature

    Science.gov (United States)

    Rortais, F.; Vergnaud, C.; Marty, A.; Vila, L.; Attané, J.-P.; Widiez, J.; Zucchetti, C.; Bottegoni, F.; Jaffrès, H.; George, J.-M.; Jamet, M.

    2017-10-01

    Non-local carrier injection/detection schemes lie at the very foundation of information manipulation in integrated systems. This paradigm consists in controlling with an external signal the channel where charge carriers flow between a "source" and a well separated "drain." The next generation electronics may operate on the spin of carriers in addition to their charge and germanium appears as the best hosting material to develop such a platform for its compatibility with mainstream silicon technology and the predicted long electron spin lifetime at room temperature. In this letter, we demonstrate injection of pure spin currents (i.e., with no associated transport of electric charges) in germanium, combined with non-local spin detection at 10 K and room temperature. For this purpose, we used a lateral spin valve with epitaxially grown magnetic tunnel junctions as spin injector and spin detector. The non-local magnetoresistance signal is clearly visible and reaches ≈15 mΩ at room temperature. The electron spin lifetime and diffusion length are 500 ps and 1 μm, respectively, the spin injection efficiency being as high as 27%. This result paves the way for the realization of full germanium spintronic devices at room temperature.

  13. Vanadocene reactions with mixed acylates of silicon, germanium and tin

    International Nuclear Information System (INIS)

    Latyaeva, V.N.; Lineva, A.N.; Zimina, S.V.; Gordetsov, A.S.; Dergunov, Yu.I.

    1981-01-01

    Vanadocene interaction with di-and tri-alkyl (aryl)-derivatives of silicon, tin and germanium is studied. Dibutyltin dibenzoate under mild conditions (20 deg C, toluene) oxidates vanadocene to [CpV(OCOC 6 H 5 ) 2 ] 2 , at that, the splitting off of one Cp group in the form of cyclopentadiene and formation of the products of tin-organic fragment disproportionation (tributyltin benzoate, dibutyltin, metallic tin) take place. Tributyltin benzoate oxidates vanadocene at the mole ratio 2:1 and during prolong heating (120 deg C) in the absence of the solvent, [CpV(OCOC 6 H 5 ) 2 ] 2 and hexabutyldistannate are the products of the reaction. Acetates R 3 SnOCOCH 3 react in the similar way. The reactivity of mono- and diacylates of germanium and silicon decreases in the series of derivatives Sn>Ge>Si [ru

  14. Position resolution simulations for the inverted-coaxial germanium detector, SIGMA

    Science.gov (United States)

    Wright, J. P.; Harkness-Brennan, L. J.; Boston, A. J.; Judson, D. S.; Labiche, M.; Nolan, P. J.; Page, R. D.; Pearce, F.; Radford, D. C.; Simpson, J.; Unsworth, C.

    2018-06-01

    The SIGMA Germanium detector has the potential to revolutionise γ-ray spectroscopy, providing superior energy and position resolving capabilities compared with current large volume state-of-the-art Germanium detectors. The theoretical position resolution of the detector as a function of γ-ray interaction position has been studied using simulated detector signals. A study of the effects of RMS noise at various energies has been presented with the position resolution ranging from 0.33 mm FWHM at Eγ = 1 MeV, to 0.41 mm at Eγ = 150 keV. An additional investigation into the effects pulse alignment have on pulse shape analysis and in turn, position resolution has been performed. The theoretical performance of SIGMA operating in an experimental setting is presented for use as a standalone detector and as part of an ancillary system.

  15. Bond particle model for semiconductor melts and its application to liquid structure germanium

    International Nuclear Information System (INIS)

    Ferrante, A.; Tosi, M.P.

    1988-08-01

    A simple type of liquid state model is proposed to describe on a primitive level the melt of an elemental group IV semiconductor as a mixture of atoms and bond particles. The latter, on increase of a coupling strength parameter becomes increasingly localized between pairs of atoms up to local tetrahedral coordination of atoms by bond particles. Angular interatomic correlations are built into the model as bond particle localization grows, even though the bare interactions between the components of the liquid are formally described solely in terms of central pair potentials. The model is solved for liquid structure by standard integral equation techniques of liquid state theory and by Monte Carlo simulation, for values of the parameters which are appropriate to liquid germanium down to strongly supercooled states. The calculated liquid structure is compared with the results of diffraction experiments on liquid germanium near freezing and discussed in relation to diffraction data on amorphous germanium. The model suggests simple melting criteria for elemental and polar semiconductors, which are empirically verified. (author). 25 refs, 9 figs, 3 tabs

  16. An ultralow background germanium gamma-ray spectrometer

    International Nuclear Information System (INIS)

    Reeves, R.H.; Brodzinski, R.L.; Hensley, W.K.; Ryge, P.

    1984-01-01

    The monitoring of minimum detectable activity is becoming increasingly important as environmental concerns and regulations require more sensitive measurement of the radioactivity levels in the workplace and the home. In measuring this activity, however, the background becomes one of the limiting factors. Anticoincidence systems utilizing both NaI(T1) and plastic scintillators have proven effective in reducing some components of the background, but radiocontaminants in the various regions of these systems have limited their effectiveness, and their cost is often prohibitive. In order to obtain a genuinely low background detector system, all components must be free of detectable radioactivity, and the cosmic ray produced contribution must be significantly reduced. Current efforts by the authors to measure the double beta decay of Germanium 76 as predicted by Grand Unified Theories have resulted in the development of a high resolution germanium diode gamma spectrometer with an exceptionally low background. This paper describes the development of this system, outlines the configuration and operation of its preamplifier, linear amplifier, analog-to-digital converter, 4096-channel analyzer, shielding consisting of lead-sandwiched plastic scintillators wrapped in cadmium foil, photomultiplier, and its pulse generator and discriminator, and then discusses how the system can be utilized to significantly reduce the background in high resolution photon spectrometers at only moderate cost

  17. Doping of germanium telluride with bismuth tellurides

    International Nuclear Information System (INIS)

    Abrikosov, N.Kh.; Karpinskij, O.G.; Makalatiya, T.Sh.; Shelimova, L.E.

    1981-01-01

    Effect of germanium telluride doping with bismuth fellurides (Bi 2 Te 3 ; BiTe; Bi 2 Te) on phase transition temperature, lattice parameters and electrophysical properties of alloys is studied. It is shown that in alloys of GeTe-Bi 2 Te 3 (BiTe)(Bi 2 Te) cross sections solid solution of GeTe with Bi 2 Te 3 , characterized by deviation from stoichiometry, and germanium in the second phase the quantity of which increases during the transition from GeTe-Bi 2 Te 3 cross section to GeTe-Bi 2 Te are in equilibrium. Lower values of holes concentration and of electric conductivity and higher values of thermo e.m.f. coefficient in comparison with alloys of GeTe-Bi 2 Te 3 cross section with the same bismuth content are characterized for GeTe-Bi 2 Te cross section alloys. It is shown that in the range of GeTe-base solid solution the α→γ phase transformation which runs trough the two-phase region (α→γ) is observed with tellurium content increase. Extension of α-phase existence region widens with the bismuth content increase. Peculiarities of interatomic interaction in GeTe-base solid solutions with isovalent and heterovalent cation substitution are considered [ru

  18. Cryogenic readout techniques for germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Benato, G. [University of Zurich, (Switzerland); Cattadori, C. [INFN - Milano Bicocca, (Italy); Di Vacri, A. [INFN LNGS, (Italy); Ferri, E. [Universita Milano Bicocca/INFN Milano Bicocca, (Italy); D' Andrea, V.; Macolino, C. [GSSI/INFN LNGS, (Italy); Riboldi, S. [Universita degli Studi di Milano/INFN Milano, (Italy); Salamida, F. [Universita Milano Bicocca/INFN Milano Bicocca, (Italy)

    2015-07-01

    High Purity Germanium detectors are used in many applications, from nuclear and astro-particle physics, to homeland security or environment protection. Although quite standard configurations are often used, with cryostats, charge sensitive amplifiers and analog or digital acquisition systems all commercially available, it might be the case that a few specific applications, e.g. satellites, portable devices, cryogenic physics experiments, etc. also require the development of a few additional or complementary techniques. An interesting case is for sure GERDA, the Germanium Detector Array experiment, searching for neutrino-less double beta decay of {sup 76}Ge at the Gran Sasso National Laboratory of INFN - Italy. In GERDA the entire detector array, composed of semi-coaxial and BEGe naked crystals, is operated suspended inside a cryostat filled with liquid argon, that acts not only as cooling medium and but also as an active shield, thanks to its scintillation properties. These peculiar circumstances, together with the additional requirement of a very low radioactive background from all the materials adjacent to the detectors, clearly introduce significant constraints on the design of the Ge front-end readout electronics. All the Ge readout solutions developed within the framework of the GERDA collaboration, for both Phase I and Phase II, will be briefly reviewed, with their relative strength and weakness compared together and with respect to ideal Ge readout. Finally, the digital processing techniques developed by the GERDA collaboration for energy estimation of Ge detector signals will be recalled. (authors)

  19. Development of revitalisation technique for impaired lithium doped germanium detector

    International Nuclear Information System (INIS)

    Singh, N.S.B.; Rafi Ahmed, A.G.; Balasubramanian, G.R.

    1994-01-01

    Semiconductor detectors play very significant role in photon detection and are important tools in the field of gamma spectroscopy. Lithium doped germanium detectors belong to this category. The development of revitalisation technique for these impaired detectors are discussed in this report

  20. Effect of normal processes on thermal conductivity of germanium ...

    Indian Academy of Sciences (India)

    Abstract. The effect of normal scattering processes is considered to redistribute the phonon momentum in (a) the same phonon branch – KK-S model and (b) between differ- ent phonon branches – KK-H model. Simplified thermal conductivity relations are used to estimate the thermal conductivity of germanium, silicon and ...

  1. Study of the effect of doping on the temperature stability of the optical properties of germanium single crystals

    Energy Technology Data Exchange (ETDEWEB)

    Podkopaev, O. I. [Joint-Stock Company “Germanium” (Russian Federation); Shimanskiy, A. F., E-mail: shimanaf@mail.ru [Siberian Federal University (Russian Federation); Kopytkova, S. A.; Filatov, R. A. [Joint-Stock Company “Germanium” (Russian Federation); Golubovskaya, N. O. [Siberian Federal University (Russian Federation)

    2016-10-15

    The effect of doping on the optical transmittance of germanium single crystals is studied by infrared Fourier spectroscopy. It is established that the introduction of silicon and tellurium additives into germanium doped with antimony provides a means for improving the temperature stability of the optical properties of the crystals.

  2. Study of the effect of doping on the temperature stability of the optical properties of germanium single crystals

    International Nuclear Information System (INIS)

    Podkopaev, O. I.; Shimanskiy, A. F.; Kopytkova, S. A.; Filatov, R. A.; Golubovskaya, N. O.

    2016-01-01

    The effect of doping on the optical transmittance of germanium single crystals is studied by infrared Fourier spectroscopy. It is established that the introduction of silicon and tellurium additives into germanium doped with antimony provides a means for improving the temperature stability of the optical properties of the crystals.

  3. Zeeman spectroscopy of Zn-H complex in germanium

    International Nuclear Information System (INIS)

    Prabakar, J.P.C.; Vickers, R.E.M.; Fisher, P.

    1998-01-01

    Full text: A divalent substitutional zinc atom in germanium complexed with an interstitial hydrogen atom gives rise to a monovalent acceptor of trigonal symmetry. The axial nature of this complex splits the four-fold degenerate states associated with substitutional point defects into two two-fold degenerate states. Zeeman spectra of the Zn-H complex have been observed for B along and crystallographic directions in the Voigt configuration using linearly polarised radiation. Spectra of the C and D lines for B ≤ 2 Tesla are essentially identical to those of these lines of group III impurities; here B is the field strength. At all fields, splitting of the excited state of the D lines is identical to that for group III acceptors in germanium. The magnetic field dependence of the D components for both E parallel B and E perpendicular B and the selection rules demand that only one of the two two-fold 1s-like energy levels is occupied at the temperatures used instead of both. The results confirm piezospectroscopic studies which demonstrated that the axes of the complexes are along the four covalent bond directions of the host

  4. Interaction between radiation-induced defects and lithium impurity atoms in germanium

    International Nuclear Information System (INIS)

    Vasil'eva, E.D.; Daluda, Yu.N.; Emtsev, V.V.; Kervalishvili, P.D.; Mashovets, T.V.

    1981-01-01

    The effect of gamma radiation on germanium doped with lithium in the course of extraction from a melt was studied. 60 Co γ-ray irradiation with the 6.2x10 12 cm -2 x1 -1 intensity was performed at 300 K. The temperature dependences of conductivity and Hall effect was studied in the 4.2-300 K range. It was shown that using this alloying technique lithium atoms in germanium were in a ''free'' state. It was found that on irradiation the lithium atom concentration decreases as a result of production of electrically inactive complexes with participation of lithium atoms. Besides this principal process secondary ones are observed: production of radiation donor-defects with the ionization energy Esub(c) of 80 MeV and compensating acceptors

  5. Characterization of a high-purity germanium detector for small-animal SPECT.

    Science.gov (United States)

    Johnson, Lindsay C; Campbell, Desmond L; Hull, Ethan L; Peterson, Todd E

    2011-09-21

    We present an initial evaluation of a mechanically cooled, high-purity germanium double-sided strip detector as a potential gamma camera for small-animal SPECT. It is 90 mm in diameter and 10 mm thick with two sets of 16 orthogonal strips that have a 4.5 mm width with a 5 mm pitch. We found an energy resolution of 0.96% at 140 keV, an intrinsic efficiency of 43.3% at 122 keV and a FWHM spatial resolution of approximately 1.5 mm. We demonstrated depth-of-interaction estimation capability through comparison of pinhole acquisitions with a point source on and off axes. Finally, a flood-corrected flood image exhibited a strip-level uniformity of less than 1%. This high-purity germanium offers many desirable properties for small-animal SPECT.

  6. Mapping the electromagnetic field confinement in the gap of germanium nanoantennas with plasma wavelength of 4.5 micrometers

    NARCIS (Netherlands)

    Calandrini, Eugenio; Venanzi, Tommaso; Appugliese, Felice; Badioli, Michela; Giliberti, Valeria; Baldassarre, Leonetta; Biagioni, Paolo; De Angelis, Francesco; Klesse, Wolfgang M.; Scappucci, G.; Ortolani, Michele

    2016-01-01

    We study plasmonic nanoantennas for molecular sensing in the mid-infrared made of heavily doped germanium, epitaxially grown with a bottom-up doping process and featuring free carrier density in excess of 1020 cm-3. The dielectric function of the 250 nm thick germanium film

  7. Electron, hole and exciton self-trapping in germanium doped silica glass from DFT calculations with self-interaction correction

    International Nuclear Information System (INIS)

    Du Jincheng; Rene Corrales, L.; Tsemekhman, Kiril; Bylaska, Eric J.

    2007-01-01

    Density functional theory (DFT) calculations were employed to understand the refractive index change in germanium doped silica glasses for the trapped states of electronic excitations induced by UV irradiation. Local structure relaxation and excess electron density distribution were calculated upon self-trapping of an excess electron, hole, and exciton in germanium doped silica glass. The results show that both the trapped exciton and excess electron are highly localized on germanium ion and, to some extent, on its oxygen neighbors. Exciton self-trapping is found to lead to the formation of a Ge E' center and a non-bridging hole center. Electron trapping changes the GeO 4 tetrahedron structure into trigonal bi-pyramid with the majority of the excess electron density located along the equatorial line. The self-trapped hole is localized on bridging oxygen ions that are not coordinated to germanium atoms that lead to elongation of the Si-O bonds and change of the Si-O-Si bond angles. We carried out a comparative study of standard DFT versus DFT with a hybrid PBE0 exchange and correlation functional. The results show that the two methods give qualitatively similar relaxed structure and charge distribution for electron and exciton trapping in germanium doped silica glass; however, only the PBE0 functional produces the self-trapped hole

  8. Synthesis and evaluation of germanic organometallic compounds as precursors for chemical vapor deposition (CVD) and for obtaining nanoparticles of elemental germanium

    International Nuclear Information System (INIS)

    Ballestero Martinez, Ernesto

    2014-01-01

    The interest in the development of materials that have applications in areas such as electronics or biomarkers has affected the synthesis of new compounds based on germanium. This element has two states of common oxidation, +4 and +2, of them, the +2 oxidation state is the least studied and more reactive. Additionally, compounds of germanium (II) have similarities to carbenes in terms Lewis'acid base chemistry. The preparation of compounds of germanium (II) with ligands β-diketiminates has made possible the stabilization of new chemical functionalities and, simultaneously, it has provided interesting thermal properties to develop new methods of preparation of materials with novel properties. The preparation of amides germanium (II) L'Ge (NHPh) [1, L'= {HC (CMeN-2,4,6-Me 3 C 6 H 2 ) 2 } - ], L'Ge (4-NHPy) [2], L'Ge (2-NHPy) [3] and LGe(2-NHPy) [4, L = {HC (CMeN-2,6- i Pr 2 C 6 H 3 ) 2 ] - ] are presented, the chemical and structural composition was determined by using techniques such as nuclear magnetic resonance ( 1 H, 13 C), elemental analysis, melting point, infrared spectroscopy, X-ray diffraction of single crystal and thermogravimetric analysis (TGA). The TGA has demonstrated that 1-4 experience a thermal decomposition, therefore, these compounds could be considered as potential starting materials for the obtaining of germanium nitride (GeN x ). Certainly, the availability of coordinating nitrogen atoms in the chemical composition in 2-4 have been interesting given that it could act as ligands in reactions with transition metal complexes. Thus, relevant information to molecular level could be obtained for some reactions and interactions that have used similar link sites in surface chemistry, for example, the chemical functionalization of silicon and germanium substrate. Additionally, the synthesis and structural characterization of germanium chloride compound (II) L G eCl [5, L' = HC{(CMe) (N-2,6-Me 2 C 6 H 3 )} 2 - ] is reported

  9. Dissolution chemistry and biocompatibility of silicon- and germanium-based semiconductors for transient electronics.

    Science.gov (United States)

    Kang, Seung-Kyun; Park, Gayoung; Kim, Kyungmin; Hwang, Suk-Won; Cheng, Huanyu; Shin, Jiho; Chung, Sangjin; Kim, Minjin; Yin, Lan; Lee, Jeong Chul; Lee, Kyung-Mi; Rogers, John A

    2015-05-06

    Semiconducting materials are central to the development of high-performance electronics that are capable of dissolving completely when immersed in aqueous solutions, groundwater, or biofluids, for applications in temporary biomedical implants, environmentally degradable sensors, and other systems. The results reported here include comprehensive studies of the dissolution by hydrolysis of polycrystalline silicon, amorphous silicon, silicon-germanium, and germanium in aqueous solutions of various pH values and temperatures. In vitro cellular toxicity evaluations demonstrate the biocompatibility of the materials and end products of dissolution, thereby supporting their potential for use in biodegradable electronics. A fully dissolvable thin-film solar cell illustrates the ability to integrate these semiconductors into functional systems.

  10. GIOVE: a new detector setup for high sensitivity germanium spectroscopy at shallow depth

    International Nuclear Information System (INIS)

    Heusser, G.; Weber, M.; Hakenmüller, J.; Laubenstein, M.; Lindner, M.; Maneschg, W.; Simgen, H.; Stolzenburg, D.; Strecker, H.

    2015-01-01

    We report on the development and construction of the high-purity germanium spectrometer setup GIOVE (Germanium Inner Outer VEto), recently built and now operated at the shallow underground laboratory of the Max-Planck-Institut für Kernphysik, Heidelberg. Particular attention was paid to the design of a novel passive and active shield, aiming at efficient rejection of environmental and muon induced radiation backgrounds. The achieved sensitivity level of ≤100 μBq kg -1 for primordial radionuclides from U and Th in typical γ ray sample screening measurements is unique among instruments located at comparably shallow depths and can compete with instruments at far deeper underground sites

  11. GIOVE: a new detector setup for high sensitivity germanium spectroscopy at shallow depth

    Energy Technology Data Exchange (ETDEWEB)

    Heusser, G., E-mail: gerd.heusser@mpi-hd.mpg.de; Weber, M., E-mail: marc.weber@mpi-hd.mpg.de; Hakenmüller, J. [Max-Planck-Institut für Kernphysik, Saupfercheckweg 1, 69117, Heidelberg (Germany); Laubenstein, M. [Laboratori Nazionali del Gran Sasso, Via G. Acitelli 22, 67100, Assergi, AQ (Italy); Lindner, M.; Maneschg, W.; Simgen, H.; Stolzenburg, D.; Strecker, H. [Max-Planck-Institut für Kernphysik, Saupfercheckweg 1, 69117, Heidelberg (Germany)

    2015-11-09

    We report on the development and construction of the high-purity germanium spectrometer setup GIOVE (Germanium Inner Outer VEto), recently built and now operated at the shallow underground laboratory of the Max-Planck-Institut für Kernphysik, Heidelberg. Particular attention was paid to the design of a novel passive and active shield, aiming at efficient rejection of environmental and muon induced radiation backgrounds. The achieved sensitivity level of ≤100 μBq kg{sup -1} for primordial radionuclides from U and Th in typical γ ray sample screening measurements is unique among instruments located at comparably shallow depths and can compete with instruments at far deeper underground sites.

  12. GIOVE: a new detector setup for high sensitivity germanium spectroscopy at shallow depth

    Energy Technology Data Exchange (ETDEWEB)

    Heusser, G.; Weber, M.; Hakenmueller, J.; Lindner, M.; Maneschg, W.; Simgen, H.; Stolzenburg, D.; Strecker, H. [Max-Planck-Institut fuer Kernphysik, Heidelberg (Germany); Laubenstein, M. [Laboratori Nazionali del Gran Sasso, Assergi (Italy)

    2015-11-15

    We report on the development and construction of the high-purity germanium spectrometer setup GIOVE (Germanium Inner Outer VEto), recently built and now operated at the shallow underground laboratory of the Max-Planck-Institut fuer Kernphysik, Heidelberg. Particular attention was paid to the design of a novel passive and active shield, aiming at efficient rejection of environmental and muon induced radiation backgrounds. The achieved sensitivity level of ≤ 100μBq kg{sup -1} for primordial radionuclides from U and Th in typical γ ray sample screening measurements is unique among instruments located at comparably shallow depths and can compete with instruments at far deeper underground sites. (orig.)

  13. CDEX-1 1 kg point-contact germanium detector for low mass dark matter searches

    International Nuclear Information System (INIS)

    Kang Kejun; Yue Qian; Wu Yucheng

    2013-01-01

    The CDEX collaboration has been established for direct detection of light dark matter particles, using ultra-low energy threshold point-contact p-type germanium detectors, in China JinPing underground Laboratory (CJPL). The first 1 kg point-contact germanium detector with a sub-keV energy threshold has been tested in a passive shielding system located in CJPL. The outputs from both the point-contact P + electrode and the outside N + electrode make it possible to scan the lower energy range of less than 1 keV and at the same time to detect the higher energy range up to 3 MeV. The outputs from both P + and N + electrode may also provide a more powerful method for signal discrimination for dark matter experiment. Some key parameters, including energy resolution, dead time, decay times of internal X-rays, and system stability, have been tested and measured. The results show that the 1 kg point-contact germanium detector, together with its shielding system and electronics, can run smoothly with good performances. This detector system will be deployed for dark matter search experiments. (authors)

  14. The electronic and optical properties of germanium tellurite glasses containing various transition metal oxides

    International Nuclear Information System (INIS)

    Khan, M.N.

    1988-01-01

    Various transition metal oxides, such as TiO 2 , V 2 O 5 , NiO, CuO, and ZnO are added to germanium-tellurite glass and measurements are reported of the electrical conductivity, density, optical absorption, infra-red absorption spectra, and electron spin resonance. It is found that the d.c. conductivity of glasses containing the same amount of V 2 O 5 is higher than that of germanium tellurite glasses containing a similar amount of other transition metal oxides, and is due to hopping between localized states. The optical absorption measurements show that the fundamental absorption edge is a function of glass composition and the optical absorption is due to forbidden indirect transitions. From the infra-red absorption spectra, it is found that the addition of transition metal oxides does not introduce any new absorption band in the infra-red spectrum of germanium tellurite glasses. A small shift of existing absorptions toward higher wave number is observed. The ESR measurements revealed that some transition metal ions are diamagnetic while others are paramagnetic in the glass network. (author)

  15. Electromechanically cooled germanium radiation detector system

    International Nuclear Information System (INIS)

    Lavietes, Anthony D.; Joseph Mauger, G.; Anderson, Eric H.

    1999-01-01

    We have successfully developed and fielded an electromechanically cooled germanium radiation detector (EMC-HPGe) at Lawrence Livermore National Laboratory (LLNL). This detector system was designed to provide optimum energy resolution, long lifetime, and extremely reliable operation for unattended and portable applications. For most analytical applications, high purity germanium (HPGe) detectors are the standard detectors of choice, providing an unsurpassed combination of high energy resolution performance and exceptional detection efficiency. Logistical difficulties associated with providing the required liquid nitrogen (LN) for cooling is the primary reason that these systems are found mainly in laboratories. The EMC-HPGe detector system described in this paper successfully provides HPGe detector performance in a portable instrument that allows for isotopic analysis in the field. It incorporates a unique active vibration control system that allows the use of a Sunpower Stirling cycle cryocooler unit without significant spectral degradation from microphonics. All standard isotopic analysis codes, including MGA and MGA++, GAMANL, GRPANL and MGAU, typically used with HPGe detectors can be used with this system with excellent results. Several national and international Safeguards organisations including the International Atomic Energy Agency (IAEA) and U.S. Department of Energy (DOE) have expressed interest in this system. The detector was combined with custom software and demonstrated as a rapid Field Radiometric Identification System (FRIS) for the U.S. Customs Service . The European Communities' Safeguards Directorate (EURATOM) is field-testing the first Safeguards prototype in their applications. The EMC-HPGe detector system design, recent applications, and results will be highlighted

  16. Study of the effect of neutron and electron irradiations on the low temperature thermal conductivity of germanium and silicon

    International Nuclear Information System (INIS)

    Vandevyver, M.

    1967-06-01

    The main results obtained from this work are the following: 1 Neutron irradiation (at 300 deg. K) produces lattice defects in germanium and silicon, and a corresponding very large lowering of the thermal conductivity is observed in the low temperature region (4-300 ). The results obtained have been explained with the help of the following hypotheses: for silicon a scattering of phonons by the stress fields produced by the defects; for germanium, a supplementary scattering of the electron phonon type. 2 Annealing treatments carried out on these materials above 373 deg. K restored the thermal conductivity over the whole temperature range of the measurements (4-300 deg. K); in the case of both germanium and silicon there were two steps in the annealing process. 3 A study of the thermal conductivity of germanium (initially P or N) after an electronic irradiation showed that the scattering of phonons could depend on the state of charge of the defects thus produced. (author) [fr

  17. Fabrication of diamond-coated germanium ATR prisms for IR-spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Babchenko, Oleg; Kozak, Halyna; Ižák, Tibor; Stuchlík, Jiří; Remeš, Zdeněk; Rezek, Bohuslav; Kromka, Alexander

    2016-01-01

    Roč. 87, May (2016), 67-73 ISSN 0924-2031 R&D Projects: GA ČR GA15-01687S Institutional support: RVO:68378271 Keywords : diamond * low temperature growth * linear antenna microwave plasma * germanium * SEM * FTIR Subject RIV: JI - Composite Materials Impact factor: 1.740, year: 2016

  18. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  19. Liquid-helium scintillation detection with germanium photodiodes

    International Nuclear Information System (INIS)

    Luke, P.N.; Haller, E.E.; Steiner, H.M.

    1982-05-01

    Special high-purity germanium photodiodes have been developed for the direct detection of vacuum ultraviolet scintillations in liquid helium. The photodiodes are immersed in the liquid helium, and scintillations are detected through one of the bare sides of the photodiodes. Test results with scintillation photons produced by 5.3-MeV α particles are presented. The use of these photodiodes as liquid-helium scintillation detectors may offer substantial improvements over the alternate detection method requiring the use of wavelength shifters and photomultiplier tubes

  20. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  1. The Future of Low Temperature Germanium as Dark Matter Detectors

    CERN Multimedia

    CERN. Geneva

    2009-01-01

    The Weakly Interactive Massive Particles (WIMPs) represent one of the most attractive candidates for the dark matter in the universe. With the combination of experiments attempting to detect WIMP scattering in the laboratory, of searches for their annihilation in the cosmos and of their potential production at the LHC, the next five years promise to be transformative. I will review the role played so far by low temperature germanium detectors in the direct detection of WIMPs. Because of its high signal to noise ratio, the simultaneous measurement of athermal phonons and ionization is so far the only demonstrated approach with zero-background. I will argue that this technology can be extrapolated to a target mass of the order of a tonne at reasonable cost and can keep playing a leading role, complementary to noble liquid technologies. I will describe in particular GEODM, the proposed Germanium Observatory for Dark Matter at the US Deep Underground Science and Engineering Laboratory (DUSEL).

  2. Research and Development Supporting a Next Generation Germanium Double Beta Decay Experiment

    Science.gov (United States)

    Rielage, Keith; Elliott, Steve; Chu, Pinghan; Goett, Johnny; Massarczyk, Ralph; Xu, Wenqin

    2015-10-01

    To improve the search for neutrinoless double beta decay, the next-generation experiments will increase in source mass and continue to reduce backgrounds in the region of interest. A promising technology for the next generation experiment is large arrays of Germanium p-type point contact detectors enriched in 76-Ge. The experience, expertise and lessons learned from the MAJORANA DEMONSTRATOR and GERDA experiments naturally lead to a number of research and development activities that will be useful in guiding a future experiment utilizing Germanium. We will discuss some R&D activities including a hybrid cryostat design, background reduction in cabling, connectors and electronics, and modifications to reduce assembly time. We acknowledge the support of the U.S. Department of Energy through the LANL/LDRD Program.

  3. Volume reflection and channeling of ultrarelativistic protons in germanium bent single crystals

    Directory of Open Access Journals (Sweden)

    S. Bellucci

    2016-12-01

    Full Text Available The paper is devoted to the investigation of volume reflection and channeling processes of ultrarelativistic positive charged particles moving in germanium single crystals. We demonstrate that the choice of atomic potential on the basis of the Hartree-Fock method and the correct choice of the Debye temperature allow us to describe the above mentioned processes in a good agreement with the recent experiments. Moreover, the universal form of equations for volume reflection presented in the paper gives a true description of the process at a wide range of particle energies. Standing on this study we make predictions for the mean angle reflection (as a function of the bending radius of positive and negative particles for germanium (110 and (111 crystallographic planes.

  4. Environmental applications for an intrinsic germanium well detector

    International Nuclear Information System (INIS)

    Stegnar, P.; Eldridge, J.S.; Teasley, N.A.; Oakes, T.W.

    1984-01-01

    The overall performance of an intrinsic germanium well detector for 125 I measurements was investigated in a program of environmental surveillance. Concentrations of 125 I and 131 I were determined in thyroids of road-killed deer showing the highest activities of 125 I in the animals from the near vicinity of Oak Ridge National Laboratory. This demonstrates the utility of road-killed deer as a bioindicator for radioiodine around nuclear facilities

  5. Melting point of high-purity germanium stable isotopes

    Science.gov (United States)

    Gavva, V. A.; Bulanov, A. D.; Kut'in, A. M.; Plekhovich, A. D.; Churbanov, M. F.

    2018-05-01

    The melting point (Tm) of germanium stable isotopes 72Ge, 73Ge, 74Ge, 76Ge was determined by differential scanning calorimetry. With the increase in atomic mass of isotope the decrease in Tm is observed. The decrease was equal to 0.15 °C per the unit of atomic mass which qualitatively agrees with the value calculated by Lindemann formula accounting for the effect of "isotopic compression" of elementary cell.

  6. Numerical evaluation of Auger recombination coefficients in relaxed and strained germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dominici, Stefano [Dipartimento di Elettronica e Telecomunicazioni, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy); Department of Electrical and Computer Engineering, Boston University, 8 Saint Mary' s Street, Boston, Massachusetts 02215 (United States); Wen, Hanqing; Bellotti, Enrico [Department of Electrical and Computer Engineering, Boston University, 8 Saint Mary' s Street, Boston, Massachusetts 02215 (United States); Bertazzi, Francesco; Goano, Michele [Dipartimento di Elettronica e Telecomunicazioni, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy); IEIIT-CNR, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Torino (Italy)

    2016-05-23

    The potential applications of germanium and its alloys in infrared silicon-based photonics have led to a renewed interest in their optical properties. In this letter, we report on the numerical determination of Auger coefficients at T = 300 K for relaxed and biaxially strained germanium. We use a Green's function based model that takes into account all relevant direct and phonon-assisted processes and perform calculations up to a strain level corresponding to the transition from indirect to direct energy gap. We have considered excess carrier concentrations ranging from 10{sup 16} cm{sup −3} to 5 × 10{sup 19} cm{sup −3}. For use in device level simulations, we also provide fitting formulas for the calculated electron and hole Auger coefficients as functions of carrier density.

  7. Active noise canceling system for mechanically cooled germanium radiation detectors

    Science.gov (United States)

    Nelson, Karl Einar; Burks, Morgan T

    2014-04-22

    A microphonics noise cancellation system and method for improving the energy resolution for mechanically cooled high-purity Germanium (HPGe) detector systems. A classical adaptive noise canceling digital processing system using an adaptive predictor is used in an MCA to attenuate the microphonics noise source making the system more deployable.

  8. Dislocation multiplication rate in the early stage of germanium plasticity

    Czech Academy of Sciences Publication Activity Database

    Fikar, J.; Dupas, Corinne; Kruml, Tomáš; Jacques, A.; Martin, J. L.

    400-401, - (2005), s. 431-434 ISSN 0921-5093. [Dislocations 2004. La Colle-sur-Loup, 13.09.2004-17.09.2004] Institutional research plan: CEZ:AV0Z2041904 Keywords : dislocation multiplication * germanium * constitutive modelling Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.347, year: 2005

  9. Studies of adsorber materials for preparing 68Ge/68Ga generators

    International Nuclear Information System (INIS)

    Brambilla, Tania de Paula

    2013-01-01

    The 68 Ga is a promising radionuclide for nuclear medicine, decaying by positron emission with an abundance of 89%, with physical half-life of 68 minutes, which is compatible with the pharmacokinetics of many biomolecules and low molecular weight substrates. Another important feature is its availability through a generator system, where the parent radionuclide, 68 Ge (t 1/2 = 270.95 days) is adsorbed on a column and the daughter, 68 Ga, is eluted in an ionic form 68Ga 3+ . The development of 68 Ge/ 68 Ga generators began in the 60s, but its clinical use began to be acceptable and relevant only recently. The method of separation of 68 Ge and 68 Ga most used is the ion-exchange chromatographic system, due to its practical operation, but other generator systems have been proposed, such as solvent extraction and evaporation technique. Currently, 68 Ge/ 68 Ga generators are commercially available using inorganic matrices columns prepared with TiO 2 or SnO 2 as well using organic resin. The efficiency of 68 Ga elution ranges from 70% to 80%, decreasing over time. The 68 Ge breakthrough varies from 10 -2 to10 -3 % or lower in a fresh generator, but there is an increase in the levels of contamination after long periods of use. Even with all the technological advances in the development of 68 Ge/ 68 Ga generators in the past decades, the 68 Ga eluted from commercial generators is not suitable for direct use in humans and some improvements in the systems need to be made to reduce the 68 Ge breakthrough and chemical impurities levels. The main objective of this work was to develop a 68 Ge/ 68 Ga generator system is which 68 Ga could be eluted with quality required for clinical use. The chemical behavior of Ge and Ga was evaluated on various inorganic adsorbents materials. Two types of 68 Ge/ 68 Ga generator systems were developed using TiO 2 as adsorbent material: elution system with manual pressure and vacuum controlled. The efficiencies of the generators were similar to

  10. Development of 68Ge/68Ga Generator using 30 MeV Cyclotron

    International Nuclear Information System (INIS)

    Goo, Hur Min; Dae, Yang Seung; Hoon, Park Jeong; Dae, Park Yong; Je, Lee Eun; Bae, Kong Young; Kim, In Jong; Lee, Jin Woo; Hyun, Yu Kook

    2012-05-01

    The purpose of this research is to develop the 68 Ge/ 68 Ga generator where daughter nuclide 68 Ga can be eluted according to the designated periods from the resin which holds mother nuclide 68 Ge absorbed and to develop the 68 Ga utilization technology. 1. Target development for 68 Ge target and production of 68 Ge - Target designed for 68 Ge production with 30 MeV cyclotron - Target body material evaluation and proton beam irradiation 2. Separation of 68 Ge and development of column material and extraction system for 68 Ge/ 68 Ga separation - Development of 68 Ge separation method from nat Ga target - Development of absorbents for generator using stable isotope 3. Development of 68 Ga labelled radiopharmaceutical - Development of 68 Ga labelled benzamide derivative for diagnosis of melanoma - Development of 68 Ga dendrimer complex using nano-technology 4. Development of shield case for 68 Ge/ 68 Ga generator

  11. The establishment of bed type germanium-based whole body counters

    International Nuclear Information System (INIS)

    Chen, M.C.; Sun, C.L.; Yeh, W.W.

    1996-01-01

    A coaxial germanium detector was installed in a shadow-shield counter for the in-vivo measurement of γ emitters in the body. It is divided into two subparts, automatic liquid nitrogen transfer system and the Ge-based counting system. The automatic liquid nitrogen transfer system and a complete gamma spectroscopy software package were manufactured by EG and G ORTEC company. Some experiments were finished to get the optimum three setting parameters for how to operate the auto liquid nitrogen transfer system in good conditions. The filling interval should be setting at eight hours, the filling time should be setting at ten minutes, and the pressure of dewar should operate in a range from 14 to 26 PSI. The RMC-II phantom that is designed by Canberra company is used as standard man for all kinds of calibrations. The detector has resolutions that are less than 2.5 keV with an average of 1.87 keV for the 60 Co 1.33-MeV γ-ray peak. The efficiency value of thyroid geometry for four different organs is highest in the phantom. The resolution of the Germanium detector for measuring radioactivity in the body that is better than the sodium iodide detector is used to measure the internal depositions of radionuclide mixtures. So, the advantage of the germanium counter can just compensate the disadvantage of the NaI(TI) detector. The qualitative and quantitative analysis for whole body counting can keep in the best conditions if both whole body counters are operated at the same time for routine measurement purpose in the laboratory

  12. Meningiomas: A Comparative Study of 68Ga-DOTATOC, 68Ga-DOTANOC and 68Ga-DOTATATE for Molecular Imaging in Mice

    Science.gov (United States)

    Soto-Montenegro, María Luisa; Peña-Zalbidea, Santiago; Mateos-Pérez, Jose María; Oteo, Marta; Romero, Eduardo; Morcillo, Miguel Ángel; Desco, Manuel

    2014-01-01

    Purpose The goal of this study was to compare the tumor uptake kinetics and diagnostic value of three 68Ga-DOTA-labeled somatostatin analogues (68Ga-DOTATOC, 68Ga-DOTANOC, and 68Ga-DOTATATE) using PET/CT in a murine model with subcutaneous meningioma xenografts. Methods The experiment was performed with 16 male NUDE NU/NU mice bearing xenografts of a human meningioma cell line (CH-157MN). 68Ga-DOTATOC, 68Ga-DOTANOC, and 68Ga-DOTATATE were produced in a FASTLab automated platform. Imaging was performed on an Argus small-animal PET/CT scanner. The SUVmax of the liver and muscle, and the tumor-to-liver (T/L) and tumor-to-muscle (T/M) SUV ratios were computed. Kinetic analysis was performed using Logan graphical analysis for a two-tissue reversible compartmental model, and the volume of distribution (Vt) was determined. Results Hepatic SUVmax and Vt were significantly higher with 68Ga-DOTANOC than with 68Ga-DOTATOC and 68Ga-DOTATATE. No significant differences between tracers were found for SUVmax in tumor or muscle. No differences were found in the T/L SUV ratio between 68Ga-DOTATATE and 68Ga-DOTATOC, both of which had a higher fraction than 68Ga-DOTANOC. The T/M SUV ratio was significantly higher with 68Ga-DOTATATE than with 68Ga-DOTATOC and 68Ga-DOTANOC. The Vt for tumor was higher with 68Ga-DOTATATE than with 68Ga-DOTANOC and relatively similar to that of 68Ga-DOTATOC. Conclusions This study demonstrates, for the first time, the ability of the three radiolabeled somatostatin analogues tested to image a human meningioma cell line. Although Vt was relatively similar with 68Ga-DOTATATE and 68Ga-DOTATOC, uptake was higher with 68Ga-DOTATATE in the tumor than with 68Ga-DOTANOC and 68Ga-DOTATOC, suggesting a higher diagnostic value of 68Ga-DOTATATE for detecting meningiomas. PMID:25369268

  13. Meningiomas: a comparative study of 68Ga-DOTATOC, 68Ga-DOTANOC and 68Ga-DOTATATE for molecular imaging in mice.

    Directory of Open Access Journals (Sweden)

    María Luisa Soto-Montenegro

    Full Text Available The goal of this study was to compare the tumor uptake kinetics and diagnostic value of three (68Ga-DOTA-labeled somatostatin analogues ((68Ga-DOTATOC, (68Ga-DOTANOC, and (68Ga-DOTATATE using PET/CT in a murine model with subcutaneous meningioma xenografts.The experiment was performed with 16 male NUDE NU/NU mice bearing xenografts of a human meningioma cell line (CH-157MN. (68Ga-DOTATOC, (68Ga-DOTANOC, and (68Ga-DOTATATE were produced in a FASTLab automated platform. Imaging was performed on an Argus small-animal PET/CT scanner. The SUVmax of the liver and muscle, and the tumor-to-liver (T/L and tumor-to-muscle (T/M SUV ratios were computed. Kinetic analysis was performed using Logan graphical analysis for a two-tissue reversible compartmental model, and the volume of distribution (Vt was determined.Hepatic SUVmax and Vt were significantly higher with (68Ga-DOTANOC than with (68Ga-DOTATOC and (68Ga-DOTATATE. No significant differences between tracers were found for SUVmax in tumor or muscle. No differences were found in the T/L SUV ratio between (68Ga-DOTATATE and (68Ga-DOTATOC, both of which had a higher fraction than (68Ga-DOTANOC. The T/M SUV ratio was significantly higher with (68Ga-DOTATATE than with (68Ga-DOTATOC and (68Ga-DOTANOC. The Vt for tumor was higher with (68Ga-DOTATATE than with (68Ga-DOTANOC and relatively similar to that of (68Ga-DOTATOC.This study demonstrates, for the first time, the ability of the three radiolabeled somatostatin analogues tested to image a human meningioma cell line. Although Vt was relatively similar with (68Ga-DOTATATE and (68Ga-DOTATOC, uptake was higher with (68Ga-DOTATATE in the tumor than with (68Ga-DOTANOC and (68Ga-DOTATOC, suggesting a higher diagnostic value of (68Ga-DOTATATE for detecting meningiomas.

  14. Quadrupole boson densities in the germanium region by inelastic electron scattering

    International Nuclear Information System (INIS)

    Goutte, D.

    1984-08-01

    The collective properties of four germanium isotopes have been explored through the measurement of the transition charge densities of the first two 2 + states. Their spatial features and their apparent anomalous behavior is readily explained in the frame of the Interacting Boson Model

  15. Effect of the microstructure on electrical properties of high-purity germanium

    Science.gov (United States)

    Podkopaev, O. I.; Shimanskii, A. F.; Molotkovskaya, N. O.; Kulakovskaya, T. V.

    2013-05-01

    The interrelation between the electrical properties and the microstructure of high-purity germanium crystals has been revealed. The electrical conductivity of polycrystalline samples increases and the life-time of nonequilibrium charge carriers in them decreases with a decrease in the crystallite sizes.

  16. Carbon in high-purity germanium

    International Nuclear Information System (INIS)

    Haller, E.E.; Hansen, W.L.; Luke, P.; McMurray, R.; Jarrett, B.

    1981-10-01

    Using 14 C-spiked pyrolytic graphite-coated quartz crucibles for the growth of nine ultra-pure germanium single crystals, we have determined the carbon content and distribution in these crystals. Using autoradiography, we observe a rapidly decreasing carbon cluster concentration in successively grown crystals. Nuclear radiation detectors made from the crystals measure the betas from the internally decaying 14 C nuclei with close to 100% efficiency. An average value for the total carbon concentration [ 14 C + 12 C] is approx. 2 x 10 14 cm -3 , a value substantially larger than expected from earlier metallurgical studies. Contrary to the most recent measurement, we find the shape of the beta spectrum to agree very well with the statistical shape predicted for allowed transitions

  17. Radiation-electromagnetic effect in germanium monocrystals

    International Nuclear Information System (INIS)

    Kikoin, I.K.; Kikoin, L.I.; Lazarev, S.D.

    1980-01-01

    Experimentally investigated is the radiation-electromagnetic effect (REM) in germanium monocrystals on excitation of excess current carriers by α particles, protons and X-rays in magnetic fields up to 8 kOe. A cyclotron was used as an α particle source, and a standard X-ray tube with a copper anode - as an X-ray source. The e.m.f. of the REM effect linearly increases with the increase of the magnetic field and is proportional to the charged particle flux at small flux values, saturation occurs at great flux values (approximately 5x10 11 part./cm 2 xs). In the 4-40 MeV energy range the e.m.f. of the REM effect practically does not depend on the α particle energy. On irradiation of the samples with a grinding front surface the REM e.m.f. changes its sign. The REM and Hall effect measurement on α particle irradiated samples has shown that during irradiation a p-n transition is formed in the samples, which must be taken into account while studying the REM effect. The e.m.f. measured for the even REM effect quadratically increases with the magnetic field increase. The barrier radiation-voltaic effect (the effect e.m.f. is measured between the irradiated and nonirradiated sample faces) is studied. Using special masks the samples with a set of consecutive p-n transitions are produced by irradiation of germanium crystals by α particles. Investigation of the photovoltaic and photoelectromagnetic effects on such samples has shown that using this method the efficiency of the REM devices can be increased

  18. GeMini: The Next-Generation Mechanically-Cooled Germanium Spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Burks, M

    2008-11-12

    The next-generation mechanically-cooled germanium spectrometer has been developed. GeMini (MINIature GErmanium spectrometer) has been designed to bring high-resolution gamma-ray spectroscopy to a range of demanding field environments. Intended applications include short-notice inspections, border patrol, port monitoring and emergency response, where positive nuclide identification of radioactive materials is required but power and liquid cryogen are not easily available. GeMini weighs 2.75 kg for the basic instrument and 4.5 kg for the full instrument including user interface and ruggedized hermetic packaging. It is very low power allowing it to operate for 10 hours on a single set of rechargeable batteries. This instrument employs technology adapted from the gamma-ray spectrometer currently flying on NASA's Mercury MESSENGER spacecraft. Specifically, infrared shielding techniques allow for a vast reduction of thermal load. This in turn allows for a smaller, lighter-weight design, well-suited for a hand-held instrument. Three working prototypes have been built and tested in the lab. The measured energy resolution is 3 keV fwhm at 662 keV gamma-rays. This paper will focus on the design and performance of the instrument.

  19. Study and characterization of porous germanium for radiometric measurements

    Energy Technology Data Exchange (ETDEWEB)

    Akkari, E.; Benachour, Z.; Touayar, O.; Benbrahim, J. [Activites de Recherche, Metrologie des Rayonnements, Institut National des Sciences Appliquees et de Technologie, INSAT, Tunis (Tunisia); Aouida, S.; Bessais, B. [Laboratoire de Nanomateriaux et des Systemes de l' Energie, LaNSE, Centre de Recherche et des Technologies de l' Energie, CRTEn, Hammam-Lif (Tunisia)

    2009-07-15

    The aim of this article is to study and realize a new detector based on a porous germanium (pGe) photodiode to be used as a standard for radiometric measurement in the wavelength region between 800 nm and 1700 nm. We present the development and characterization of a porous structure realized on a single-crystal substrate of p-type germanium (Ga doped) and of crystallographic orientation (100). The obtained structure allows, on the one hand, to trap the incident radiation, and on the other hand, to minimize the fluctuations of the front-face reflection coefficient of the photodiode. The first studies thus made show that it is possible to optimize, respectively, the electrical current density and the electrochemical operation time necessary for obtaining exploitable porous structures. The obtained results show that for 50 mA/cm{sup 2} and 5 min as operational parameters, we obtain a textured aspect of the porous samples that present a pyramidal form. The reflectivity study of the front surface shows a constant value of around 38% in a spectral range between 800 nm and 1700 nm approximately. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Germanium-76 Isotope Separation by Cryogenic Distillation. Final Report

    International Nuclear Information System (INIS)

    Stohler, Eric

    2007-01-01

    The current separation method for Germanium isotopes is electromagnetic separation using Calutrons. The Calutrons have the disadvantage of having a low separation capacity and a high energy cost to achieve the separation. Our proposed new distillation method has the advantage that larger quantities of Germanium isotopes can be separated at a significantly lower cost and in a much shorter time. After nine months of operating the column that is 1.5 meter in length, no significant separation of the isotopes has been measured. We conclude that the length of the column we have been using is too short. In addition, other packing material than the 0.16 inch Propak, 316 ss Protruded metal packing that we used in the column, should be evaluated which may have a better separation factor than the 0.16 inch Propak, 316 ss Protruded metal packing that has been used. We conclude that a much longer column - a minimum of 50 feet length - should be built and additional column packing should be tested to verify that isotopic separation can be achieved by cryogenic distillation. Even a longer column than 50 feet would be desirable.

  1. MOVPE growth and characterization of heteroepitaxial germanium on silicon using iBuGe as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Attolini, G. [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy); Ponraj, J.S. [University of Information Science and Technology, St Paul the Apostle, Ohrid 6000 (Macedonia, The Former Yugoslav Republic of); Frigeri, C.; Buffagni, E.; Ferrari, C. [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy); Musayeva, N.; Jabbarov, R. [Research and Development Center for Hi-Technologies, MCIT, Inshaatchilar ave., 2, AZ1073, Baku (Azerbaijan); Institute of Physics, ANAS, H. Javid ave., 33, AZ1143, Baku (Azerbaijan); Bosi, M., E-mail: bosi@imem.cnr.it [IMEM-CNR, Parco Area delle Scienze, 37 A, 43124 Parma (Italy)

    2016-01-01

    Graphical abstract: - Highlights: • Germanium layer were deposited on silicon substrates. • A novel metal organic precursor (isobutyl germane) was used. • MOVPE growth process was optimized. • Layers were characterized by TEM, XRD; SEM and AFM. - Abstract: Being an attractive and demanding candidate in the field of energy conversion, germanium has attained widespread applications. The present work is aimed at the study of metal organic vapour phase epitaxy of germanium thin films on (0 0 1) silicon at different growth temperatures using isobutyl germane as a precursor. The epilayers were characterized by X-ray diffraction, high resolution transmission electron microscopy, atomic force microscopy and scanning electron microscopy in order to understand the structural and morphological properties. The films were found to be epitaxially grown and single crystalline with slight misorientation (below 0.1 degrees). The interface between the film and substrate was analyzed in depth and different temperature dependent growth behaviours were evidenced. The major relevant lattice imperfections observed were attributed to planar defects and threading dislocations.

  2. Effects of Germanium Tetrabromide Addition to Zinc Tetraphenyl Porphyrin / Fullerene Bulk Heterojunction Solar Cells

    Directory of Open Access Journals (Sweden)

    Atsushi Suzuki

    2014-03-01

    Full Text Available The effects of germanium tetrabromide addition to tetraphenyl porphyrin zinc (Zn-TPP/fullerene (C60 bulk heterojunction solar cells were characterized. The light-induced charge separation and charge transfer were investigated by current density and optical absorption. Addition of germanium tetrabromide inserted into active layer of Zn-TPP/C60 as bulk heterojunction had a positive effect on the photovoltaic and optical properties. The photovoltaic mechanism of the solar cells was discussed by experimental results. The photovoltaic performance was due to light-induced exciton promoted by insert of GeBr4 and charge transfer from HOMO of Zn-TPP to LUMO of C60 in the active layer.

  3. Charge Spreading and Position Sensitivity in a Segmented Planar Germanium Detector (Preprint)

    National Research Council Canada - National Science Library

    Kroeger, R. A; Gehrels, N; Johnson, W. N; Kurfess, J. D; Phlips, B. P; Tueller, J

    1998-01-01

    The size of the charge cloud collected in a segmented germanium detector is limited by the size of the initial cloud, uniformity of the electric field, and the diffusion of electrons and holes through the detector...

  4. Self-interstitials and Frenkel pairs in electron-irradiated germanium

    International Nuclear Information System (INIS)

    Carvalho, A.; Jones, R.; Goss, J.; Janke, C.; Coutinho, J.; Oberg, S.; Briddon, P.R.

    2007-01-01

    First principles calculations were used to study the structures and electrical levels of the self-interstitial in Ge. We considered the possibility of structural changes consequent with change in charge state and show these have important implications in the mobility and electrical activity of the defect. The theoretical model is compared to the results of low temperature electron irradiation in germanium reported in the literature

  5. Continuation of comprehensive quality control of the itG 68Ge/68Ga generator and production of 68Ga-DOTATOC and 68Ga-PSMA-HBED-CC for clinical research studies.

    Science.gov (United States)

    Amor-Coarasa, Alejandro; Kelly, James M; Gruca, Monika; Nikolopoulou, Anastasia; Vallabhajosula, Shankar; Babich, John W

    2017-10-01

    Performance of a second itG 68 Ge/ 68 Ga generator system and production of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were tested over one year as an accompaniment to a previously published study (J Nucl Med. 2016;57:1402-1405). Performance of a 1951MBq 68 Ge/ 68 Ga generator was characterized and the eluate used for preparation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC. Weekly elution profiles of 68 Ga elution yield and 68 Ge breakthrough were determined. 68 Ga elution yields averaged 82% (61.8-98.4%) and 68 Ge breakthrough averaged 0.002% (0.0007% to 0.004%). The radiochemical purities of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC were determined by HPLC analysis to be >98% and specific activity was 12.6 and 42GBq/μmol, respectively. 68 Ge contamination in the product was under the detection limit (0.00001%). Final sterile, pyrogen-free formulation of 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC in physiologic saline with 5%-7% ethanol was achieved. Performance of a 68 Ge/ 68 Ga generator was studied over one year with satisfactory results. The generator eluate was used to synthesize 68 Ga-DOTATOC and 68 Ga-PSMA-HBED-CC on a routine basis in high purity. Copyright © 2017. Published by Elsevier Inc.

  6. Environmental applications for an intrinsic germanium well detector

    International Nuclear Information System (INIS)

    Stegnar, P.; Eldridge, J.S.; Teasley, N.A.; Oakes, T.W.

    1984-01-01

    The overall performance of an intrinsic germanium well detector for 125 I measurements was investigated in a program of environmental surveillance. Concentrations of 125 I and 131 I were determined in thyroids of road-killed deer showing the highest activities of 125 I in the animals from the near vicinity of Oak Ridge National Laboratory. This demonstrates the utility of road-killed deer as a bionindicator for radioiodine around nuclear facilities. 6 refs., 2 figs., 3 tabs

  7. Environmental applications for an intrinsic germanium well detector

    International Nuclear Information System (INIS)

    Stegnar, P.; Eldridge, J.S.; Teasley, N.A.; Oakes, T.W.

    1983-01-01

    The overall performance of an intrinsic germanium well detector for 125 I measurements was investigated in a program of environmental surveillance. Concentrations of 125 I and 131 I were determined in thyroids of road-killed deer showing the highest activities of 125 I in the animals from the near vicinity of Oak Ridge National Laboratory. This demonstrates the utility of road-killed deer as a bioindicator for radioiodine around nuclear facilities. 6 refs., 2 figs., 3 tabs

  8. Thermodynamic calculations of self- and hetero-diffusion parameters in germanium

    International Nuclear Information System (INIS)

    Saltas, V.; Vallianatos, F.

    2015-01-01

    In the present work, the diffusion coefficients of n- and p-type dopants (P, As, Sb, Al) and self-diffusion in crystalline germanium are calculated from the bulk elastic properties of the host material based on the cBΩ thermodynamic model. The calculated diffusion coefficients as a function of temperature and the activation enthalpies prove to be in full agreement with the reported experimental results. Additional point defect parameters such as activation entropy, activation volume and activation Gibbs free energy are also calculated for each diffusing element. The pressure dependence of self-diffusion coefficients in germanium is also verified at high temperatures (876 K–1086 K), in agreement with reported results ranging from ambient pressure up to 600 MPa and is further calculated at pressures up to 3 GPa, where the phase transition to Ge II occurs. - Highlights: • Calculation of diffusivities of n- and p-type dopants in Ge from elastic properties. • Calculation of point defect parameters according to the cBΩ thermodynamic model. • Prediction of the pressure dependence of self-diffusion coefficients in Ge

  9. Search for Pauli exclusion principle violating atomic transitions and electron decay with a p-type point contact germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Abgrall, N.; Bradley, A.W.; Chan, Y.D.; Mertens, S.; Poon, A.W.P. [Nuclear Science Division, Lawrence Berkeley National Laboratory, Berkeley, CA (United States); Arnquist, I.J.; Hoppe, E.W.; Kouzes, R.T.; LaFerriere, B.D.; Orrell, J.L. [Pacific Northwest National Laboratory, Richland, WA (United States); Avignone, F.T. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); University of South Carolina, Department of Physics and Astronomy, Columbia, SC (United States); Barabash, A.S.; Konovalov, S.I.; Yumatov, V. [National Research Center ' ' Kurchatov Institute' ' Institute for Theoretical and Experimental Physics, Moscow (Russian Federation); Bertrand, F.E.; Galindo-Uribarri, A.; Radford, D.C.; Varner, R.L.; White, B.R.; Yu, C.H. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Brudanin, V.; Shirchenko, M.; Vasilyev, S.; Yakushev, E.; Zhitnikov, I. [Joint Institute for Nuclear Research, Dubna (Russian Federation); Busch, M. [Duke University, Department of Physics, Durham, NC (United States); Triangle Universities Nuclear Laboratory, Durham, NC (United States); Buuck, M.; Cuesta, C.; Detwiler, J.A.; Gruszko, J.; Guinn, I.S.; Leon, J.; Robertson, R.G.H. [University of Washington, Department of Physics, Center for Experimental Nuclear Physics and Astrophysics, Seattle, WA (United States); Caldwell, A.S.; Christofferson, C.D.; Dunagan, C.; Howard, S.; Suriano, A.M. [South Dakota School of Mines and Technology, Rapid City, SD (United States); Chu, P.H.; Elliott, S.R.; Goett, J.; Massarczyk, R.; Rielage, K. [Los Alamos National Laboratory, Los Alamos, NM (United States); Efremenko, Yu. [University of Tennessee, Department of Physics and Astronomy, Knoxville, TN (United States); Ejiri, H. [Osaka University, Research Center for Nuclear Physics, Ibaraki, Osaka (Japan); Finnerty, P.S.; Gilliss, T.; Giovanetti, G.K.; Henning, R.; Howe, M.A.; MacMullin, J.; Meijer, S.J.; O' Shaughnessy, C.; Rager, J.; Shanks, B.; Trimble, J.E.; Vorren, K.; Xu, W. [Triangle Universities Nuclear Laboratory, Durham, NC (United States); University of North Carolina, Department of Physics and Astronomy, Chapel Hill, NC (United States); Green, M.P. [North Carolina State University, Department of Physics, Raleigh, NC (United States); Oak Ridge National Laboratory, Oak Ridge, TN (United States); Triangle Universities Nuclear Laboratory, Durham, NC (United States); Guiseppe, V.E.; Tedeschi, D.; Wiseman, C. [University of South Carolina, Department of Physics and Astronomy, Columbia, SC (United States); Jasinski, B.R. [University of South Dakota, Department of Physics, Vermillion, SD (United States); Keeter, K.J. [Black Hills State University, Department of Physics, Spearfish, SD (United States); Kidd, M.F. [Tennessee Tech University, Cookeville, TN (United States); Martin, R.D. [Queen' s University, Department of Physics, Engineering Physics and Astronomy, Kingston, ON (Canada); Romero-Romero, E. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); University of Tennessee, Department of Physics and Astronomy, Knoxville, TN (United States); Vetter, K. [Nuclear Science Division, Lawrence Berkeley National Laboratory, Berkeley, CA (United States); University of California, Department of Nuclear Engineering, Berkeley, CA (United States); Wilkerson, J.F. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Triangle Universities Nuclear Laboratory, Durham, NC (United States); University of North Carolina, Department of Physics and Astronomy, Chapel Hill, NC (United States)

    2016-11-15

    A search for Pauli-exclusion-principle-violating K{sub α} electron transitions was performed using 89.5 kg-d of data collected with a p-type point contact high-purity germanium detector operated at the Kimballton Underground Research Facility. A lower limit on the transition lifetime of 5.8 x 10{sup 30} s at 90% C.L. was set by looking for a peak at 10.6 keV resulting from the X-ray and Auger electrons present following the transition. A similar analysis was done to look for the decay of atomic K-shell electrons into neutrinos, resulting in a lower limit of 6.8 x 10{sup 30} s at 90% C.L. It is estimated that the Majorana Demonstrator, a 44 kg array of p-type point contact detectors that will search for the neutrinoless double-beta decay of {sup 76}Ge, could improve upon these exclusion limits by an order of magnitude after three years of operation. (orig.)

  10. Hall mobility of free charge carriers in highly compensated p-Germanium

    International Nuclear Information System (INIS)

    Gavrilyuk, V.Yi.; Kirnas, Yi.G.; Balakyin, V.D.

    2000-01-01

    Hall mobility of free charge carriers in initial detectors Ge (Ga) is studied. It is established that an increase in the compensation factor results in the enlargement of Hall mobility in germanium highly compensated by introduction of Li ions during their drift in an electrical field

  11. Fabrication of Hydrogenated Amorphous Germanium Thin Layer Film and ItsCharacterization

    International Nuclear Information System (INIS)

    Agus-Santoso; Lely-Susita RM; Tjipto-Sujitno

    2000-01-01

    Fabrication of hydrogenated amorphous Germanium thin film by vacuumevaporation method and then deposition with hydrogen atom by glow dischargeplasma radio frequency has been done. This germanium amorphous (a-Ge) thinfilm involves a lot of dangling bonds in the network due to the irregularityof the atomic structures and it will decrease is conductivity. To improve theband properties of (a-Ge) thin film layer a hydrogenated plasma isintroduced. Process of introducing of the hydrogen into the a-Ge film is meanto reduce the dangling bonds so that the best electric conductivity of a Ge:Hthin film will obtained. To identify the hydrogen atom in the sample acharacterization using infrared spectrometer has been done, as well as themeasurement of conductivity of the samples. From the characterization usinginfrared spectroscopy the existence of hydrogen atom was found at absorptionpeak with wave number 1637.5 cm -1 , while the optimum conductivity of thesample 1634.86 Ω -1 cm -1 was achieved at 343 o K. (author)

  12. Astroparticle physics with a customized low-background broad energy Germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Aalseth, Craig E.; Amman, M.; Avignone, Frank T.; Back, Henning O.; Barabash, Alexander S.; Barbeau, P. S.; Bergevin, M.; Bertrand, F.; Boswell, M.; Brudanin, V.; Bugg, William; Burritt, Tom H.; Busch, Matthew; Capps, Greg L.; Chan, Yuen-Dat; Collar, J. I.; Cooper, R. J.; Creswick, R.; Detwiler, Jason A.; Diaz, J.; Doe, Peter J.; Efremenko, Yuri; Egorov, Viatcheslav; Ejiri, H.; Elliott, Steven R.; Ely, James H.; Esterline, James H.; Farach, H. A.; Fast, James E.; Fields, N.; Finnerty, P.; Fujikawa, Brian; Fuller, Erin S.; Gehman, Victor M.; Giovanetti, G. K.; Guiseppe, Vincente; Gusey, K.; Hallin, A. L.; Harper, Gregory; Hazama, R.; Henning, Reyco; Hime, Andrew; Hoppe, Eric W.; Hossbach, Todd W.; Howe, M. A.; Johnson, R. A.; Keeter, K.; Keillor, Martin E.; Keller, C.; Kephart, Jeremy D.; Kidd, Mary; Knecht, A.; Kochetov, Oleg; Konovalov, S.; Kouzes, Richard T.; Leviner, L.; Loach, J. C.; Luke, P.; MacMullin, S.; Marino, Michael G.; Martin, R. D.; Mei, Dong-Ming; Miley, Harry S.; Miller, M. L.; Mizouni, Leila; Myers, Allan W.; Nomachi, Masaharu; Orrell, John L.; Peterson, David; Phillips, D.; Poon, Alan; Prior, Gersende; Qian, J.; Radford, D. C.; Rielage, Keith; Robertson, R. G. H.; Rodriguez, Larry; Rykaczewski, Krzysztof P.; Salazar, Harold; Schubert, Alexis G.; Shima, T.; Shirchenko, M.; Steele, David; Strain, J.; Swift, Gary; Thomas, K.; Timkin, V.; Tornow, W.; Van Wechel, T. D.; Vanyushin, I.; Varner, R. L.; Vetter, Kai; Wilkerson, J. F.; Wolfe, B. A.; Xiang, W.; Yakushev, E.; Yaver, Harold; Young, A.; Yu, Chang-Hong; Yumatov, Vladimir; Zhang, C.; Zimmerman, S.

    2011-10-01

    The Majorana Collaboration is building the Majorana Demonstrator, a 60 kg array of high purity germanium detectors housed in an ultra-low background shield at the Sanford Underground Laboratory in Lead, SD. The Majorana Demonstrator will search for neutrinoless double-beta decay of 76Ge while demonstrating the feasibility of a tonne-scale experiment. It may also carry out a dark matter search in the 1-10 GeV/c² mass range. We have found that customized Broad Energy Germanium (BEGe) detectors produced by Canberra have several desirable features for a neutrinoless double-beta decay experiment, including low electronic noise, excellent pulse shape analysis capabilities, and simple fabrication. We have deployed a customized BEGe, the Majorana Low-Background BEGe at Kimballton (MALBEK), in a low-background cryostat and shield at the Kimballton Underground Research Facility in Virginia. This paper will focus on the detector characteristics and measurements that can be performed with such a radiation detector in a low-background environment.

  13. Calibration of Single High Purity Germanium Detector for Whole Body Counter

    International Nuclear Information System (INIS)

    Taha, T.M.; Morsi, T.M.

    2009-01-01

    A new Accuscan II single germanium detector for whole body counter was installed in NRC (Egypt). The current paper concerned on calibration of single high purity germanium detector for whole body counter. Physical parameters affecting on performance of whole body counter such as linearity, minimum detectable activity and source detector distance, SDD were investigated. Counting efficiencies for the detector have been investigated in rear wall, fixed diagnostic position in air. Counting efficiencies for organ compartments such as thyroid, lung, upper and lower gastrointestinal tract have been investigated using transfer phantom in fixed diagnostic and screening positions respectively. The organ compartment efficiencies in screening geometry were higher than that value of diagnostic geometry by a factor of three. The committed dose equivalents of I-131 in thyroid were ranged from 0.073 ± 0.004 to 1.73±0.09 mSv and in lung was 0.02±0.001 mSv

  14. A high resolution germanium detector array for hypernuclear studies at PANDA

    Energy Technology Data Exchange (ETDEWEB)

    Bleser, Sebastian; Sanchez Lorente, Alicia; Steinen, Marcell [Helmholtz-Institut Mainz (Germany); Gerl, Juergen; Kojouharova, Jasmina; Kojouharov, Ivan [GSI Darmstadt (Germany); Iazzi, Felice [Politecnico, Torino (Italy); INFN, Torino (Italy); Pochodzalla, Josef; Rittgen, Kai; Sahin, Cihan [Institute for Nuclear Physics, JGU Mainz (Germany)

    2014-07-01

    The PANDA experiment, planned at the FAIR facility in Darmstadt, aims at the high resolution γ-spectroscopy of double Λ hypernuclei. For this purpose a devoted detector setup is required, consisting of a primary nuclear target, an active secondary target and a germanium detector array for the γ-spectroscopy. Due to the limited space within the PANDA detector a compact design is required. In particular the conventional LN{sub 2} cooling system must be replaced by an electro mechanical device and a new arrangement of the crystals is needed. This presentation shows the progress in the development of the germanium detectors. First results of in-beam measurements at COSY with a new electro mechanically cooled single crystal prototype are presented. Digital pulse shape analysis is used to disentangle pile up events due to the high event rate. This analysis technique also allows to recover the high original energy resolution in case of neutron damage. Finally the status of the new triple crystal detector prototype is given.

  15. Direct observations of the vacancy and its annealing in germanium

    DEFF Research Database (Denmark)

    Slotte, J.; Kilpeläinen, S.; Tuomisto, F.

    2011-01-01

    Weakly n-type doped germanium has been irradiated with protons up to a fluence of 3×1014 cm-2 at 35 K and 100 K in a unique experimental setup. Positron annihilation measurements show a defect lifetime component of 272±4 ps at 35 K in in situ positron lifetime measurements after irradiation at 100...

  16. Solution synthesis of germanium nanocrystals

    Science.gov (United States)

    Gerung, Henry [Albuquerque, NM; Boyle, Timothy J [Kensington, MD; Bunge, Scott D [Cuyahoga Falls, OH

    2009-09-22

    A method for providing a route for the synthesis of a Ge(0) nanometer-sized material from. A Ge(II) precursor is dissolved in a ligand heated to a temperature, generally between approximately 100.degree. C. and 400.degree. C., sufficient to thermally reduce the Ge(II) to Ge(0), where the ligand is a compound that can bond to the surface of the germanium nanomaterials to subsequently prevent agglomeration of the nanomaterials. The ligand encapsulates the surface of the Ge(0) material to prevent agglomeration. The resulting solution is cooled for handling, with the cooling characteristics useful in controlling the size and size distribution of the Ge(0) materials. The characteristics of the Ge(II) precursor determine whether the Ge(0) materials that result will be nanocrystals or nanowires.

  17. Thermophysical Properties of Molten Germanium Measured by the High Temperature Electrostatic Levitator

    Science.gov (United States)

    Rhim, W. K.; Ishikawa, T.

    1998-01-01

    Thermophysical properties of molten germanium such as the density, the thermal expansion coefficient, the hemisphereical total emissivity, the constant pressure specific heat capacity, the surface tension, and the electrical resistivity have been measured using the High Temperature Electrostatic Levitator at JPL.

  18. Determination of Shear Deformation Potentials from the Free-Carrier Piezobirefringence in Germanium and Silicon

    DEFF Research Database (Denmark)

    Riskaer, Sven

    1966-01-01

    The present investigations of the free-carrier piezobirefringence phenomenon verify that in n-type germanium and silicon as well as in p-type silicon this effect can be ascribed to intraband transitions of the carriers. It is demonstrated how a combined investigation of the low-stress and high......-stress piezobirefringence in these materials provides a direct and independent method for determining deformation-potential constants. For n-type germanium we obtain Ξu=18.0±0.5 eV, for n-type silicon Ξu=8.5±0.4 eV; for p-type silicon a rather crude analytical approximation yields b=-3.1 eV and d=-8.3 eV. Finally...

  19. Induced Radioactivity Measured in a Germanium Detector After a Long Duration Balloon Flight

    Science.gov (United States)

    Starr, R.; Evans, L. G.; Floyed, S. R.; Drake, D. M.; Feldman, W. C.; Squyres, S. W.; Rester, A. C.

    1997-01-01

    A 13-day long duration balloon flight carrying a germanium detector was flown from Williams Field, Antartica in December 1992. After recovery of the payload the activity induced in the detector was measured.

  20. Radiation-electromagnetic effect in germanium single crystals

    International Nuclear Information System (INIS)

    Kikoin, I.K.; Kikoin, L.I.; Lazarev, S.D.

    1980-01-01

    An experimental study was made of the radiation-electromagnetic effect in germanium single crystals when excess carriers were generated by bombardment with α particles, protons, or x rays in magnetic fields up to 8 kOe. The source of α particles and protons was a cyclotron and x rays were provided by a tube with a copper anode. The radiation-electromagnetic emf increased linearly on increase in the magnetic field and was directly proportional to the flux of charged particles at low values of the flux, reaching saturation at high values of the flux (approx.5 x 10 11 particles .cm -2 .sec -1 ). In the energy range 4--40 MeV the emf was practically independent of the α-particle energy. The sign of the emf was reversed when samples with a ground front surface were irradiated. Measurements of the photoelectromagnetic and Hall effects in the α-particle-irradiated samples showed that a p-n junction was produced by these particles and its presence should be allowed for in investigations of the radiation-electromagnetic effect. The measured even radiation-electromagnetic emf increased quadratically on increase in the magnetic field. An investigation was made of the barrier radiation-voltaic effect (when the emf was measured between the irradiated and unirradiated surfaces). Special masks were used to produce a set of consecutive p-n junctions in germanium crystals irradiated with α particles. A study of the photovoltaic and photoelectromagnetic effects in such samples showed that the method could be used to increase the efficiency of devices utilizing the photoelectromagnetic effect

  1. Comparison of the NaI-CsI phoswich and a hyperpure germanium array for in vivo detection of the actinides

    Energy Technology Data Exchange (ETDEWEB)

    Berger, C D; Goans, R E [Oak Ridge National Lab., TN (USA)

    1981-04-01

    An array of hyperpure germanium detectors has recently been employed at ORNL for the identification and quantification of internally deposited actinides. Its advantages over the phoswich detector - the current state-of-the-art for detection of the actinides - were found to be improved background reduction and superior energy resolution. The germanium system and the currently operating phoswich system are discussed and compared. The improvement in performance of the germanium system over the phoswich system (a factor of 2.5 for /sup 239/Pu and 15.3 for /sup 241/Am) appears to justify the financial investment, particularly when /sup 241/Am is used as an indirect means of detection and measurement of /sup 239/Pu.

  2. Porous germanium multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Garralaga Rojas, Enrique; Hensen, Jan; Brendel, Rolf [Institut fuer Solarenergieforschung Hameln (ISFH), Emmerthal (Germany); Carstensen, Juergen; Foell, Helmut [Chair for General Materials Science, Faculty of Engineering, Christian-Albrechts-University of Kiel (Germany)

    2011-06-15

    We present the reproducible fabrication of porous germanium (PGe) single- and multilayers. Mesoporous layers form on heavily doped 4'' p-type Ge wafers by electrochemical etching in highly concentrated HF-based electrolytes with concentrations in a range of 30-50 wt.%. Direct PGe formation is accompanied by a constant dissolution of the already-formed porous layer at the electrolyte/PGe interface, hence yielding a thinner substrate after etching. This effect inhibits multilayer formation as the starting layer is etched while forming the second layer. We avoid dissolution of the porous layer by alternating the etching bias from anodic to cathodic. PGe formation occurs during anodic etching whereas the cathodic step passivates pore walls with H-atoms and avoids electropolishing. The passivation lasts a limited time depending on the etching current density and electrolyte concentration, necessitating a repetition of the cathodic step at suitable intervals. With optimized alternating bias mesoporous multilayer production is possible. We control the porosity of each single layer by varying the etching current density and the electrolyte (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Study of new germanium bolometers with interleaved concentric electrodes for non-baryonic cold dark matter direct detection in the Edelweiss-II experiment

    International Nuclear Information System (INIS)

    Domange, J.

    2011-09-01

    EDELWEISS is a direct non-baryonic cold dark matter detection experiment in the form of weakly interacting massive particles (also known as WIMPs), which currently constitute the most popular candidates to account for the missing mass in the Universe. To this purpose, EDELWEISS uses germanium bolometers at cryogenic temperature (20 mK approximately) in the Underground Laboratory of Modane (LSM) at the French-Italian border. Since 2008, a new type of detector is operated, equipped with concentric electrodes to optimize the rejection of surface events (coplanar-grid detectors). This thesis work is divided into several research orientations. First, we carried out measurements concerning charge collection in the crystals. The velocity laws of the carriers (electrons and holes) have been determined in germanium at 20 mK in the orientation, and a complete study of charge sharing has been done, including an evaluation of the transport anisotropy and of the straggling of the carriers. These results lead to a better understanding of the inner properties of the EDELWEISS detectors. Then, studies relating to the improvement of the performances were carried out. In particular, we have optimized the space-charge cancellation procedure in the crystals and improved the passive rejection of surface events (β). The fiducial volume of the detectors has been evaluated using two X-ray lines from cosmically activated radionuclides: 68 Ge and 65 Zn. Finally, an exhaustive study of the low energy spectra has been carried out, which makes it possible to develop a systematic analysis method for the search of low-mass WIMPs in EDELWEISS. (author)

  4. Neutrino and dark matter physics with sub-keV germanium detectors

    Indian Academy of Sciences (India)

    2014-11-04

    Nov 4, 2014 ... Germanium detectors with sub-keV sensitivities open a window to study neutrino physics to search for light weakly interacting massive particle (WIMP) dark matter. We summarize the recent results on spin-independent couplings of light WIMPs from the TEXONO experiment at the Kuo-Sheng Reactor ...

  5. NTD germanium: a novel material for low-temperature bolometers

    International Nuclear Information System (INIS)

    Haller, E.E.; Palaio, N.P.; Rodder, M.; Hansen, W.L.; Kreysa, E.

    1982-06-01

    Six samples of ultra-pure (absolute value N/sub A/ - N/sub D/ absolute value less than or equal to 10 11 cm -3 ), single-crystal germanium have been neutron transmutation doped with neutron doses between 7.5 x 10 16 and 1.88 x 10 18 cm -2 . After thermal annealing at 400 0 C for six hours in a pure argon atmosphere, the samples have been characterized with Hall effect and resistivity measurements between 300 and 0.3 K. Our results show that the resistivity in the low temperature, hopping conduction regime can be approximated with rho = rho 0 exp(Δ/T). The three more heavily doped samples show values for rho 0 and Δ ranging from 430 to 3.3 Ω cm and from 4.9 to 2.8 K, respectively. The excellent reproducibility of neutron transmutation doping and the values of rho 0 and Δ make NTD Ge a prime candidate for the fabrication of low temperature, low noise bolometers. The large variation in the tabulated values of the thermal neutron cross sections for the different germanium isotopes makes it clear that accurate measurements of these cross-sections for well defined neutron energy spectra would be highly desirable

  6. Surface passivation of high-purity germanium gamma-ray detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Edmondson, M.; Lawson, E.M.

    1993-01-01

    The experimental work consists of two parts. The first involves fabrication of hyper-pure germanium gamma ray detectors using standard surface treatment, chemical etchings and containment in a suitable cryostat. Then, after cooling the detectors to 77 K, γ-ray emissions from radioisotopes are resolved, resolution, depletion depth, V R versus I R characteristics and /N A -N D / of the germanium are measured. The second part of the work involves investigation of surface states in an effort to achieve long-term stability of operating characteristics. Several methods are used: plasma hydrogenation, a-Si and a-Ge pinch-off effect and simple oxidation. A-Ge and a-Si thicknesses were measured using Rutherford backscattering techniques; surface states were measured with deep level transient spectroscopy and diode reverse current versus reverse voltage plots. Some scanning electron microscope measurements were used in determining major film contaminants during backscattering of a-Si and a-Ge films. Surface passivation studies revealed unexpected hole trapping defects generated when a-Ge:H film is applied. The a-Si:H films were found to be mechanically strong, no defect traps were found and preliminary results suggest that such films will be good passivants. 14 refs., 2 tabs., 7 figs., 13 ills

  7. Diffusion of tin in germanium: A GGA+U approach

    KAUST Repository

    Tahini, H. A.; Chroneos, Alexander; Grimes, R. W.; Schwingenschlö gl, Udo

    2011-01-01

    Density functional theory calculations are used to investigate the formation and diffusion of tin-vacancy pairs (SnV) in germanium(Ge). Depending upon the Fermi energy, SnV pairs can form in neutral, singly negative, or doubly negative charged states. The activation energies of diffusion, also as function of the Fermi energy, are calculated to lie between 2.48-3.65 eV, in agreement with and providing an interpretation of available experimental work.

  8. Determination of carbon and nitrogen in silicon and germanium

    International Nuclear Information System (INIS)

    Gebauhr, W.; Martin, J.

    1975-01-01

    The essential aim of this study is to examine the various technical and economic problems encountered in the determination of carbon and nitrogen in silicon and germanium, for this is in a way an extension of the discussion concerning the presence of oxygen in these two elements. The greater part of the study is aimed at drawing up a catalogue of the methods of analysis used and of the results obtained so far

  9. Diffusion of tin in germanium: A GGA+U approach

    KAUST Repository

    Tahini, H. A.

    2011-10-18

    Density functional theory calculations are used to investigate the formation and diffusion of tin-vacancy pairs (SnV) in germanium(Ge). Depending upon the Fermi energy, SnV pairs can form in neutral, singly negative, or doubly negative charged states. The activation energies of diffusion, also as function of the Fermi energy, are calculated to lie between 2.48-3.65 eV, in agreement with and providing an interpretation of available experimental work.

  10. Strain-induced changes to the electronic structure of germanium

    KAUST Repository

    Tahini, H. A.

    2012-04-17

    Density functional theory calculations (DFT) are used to investigate the strain-induced changes to the electronic structure of biaxially strained (parallel to the (001), (110) and (111) planes) and uniaxially strained (along the [001], [110] and [111] directions) germanium (Ge). It is calculated that a moderate uniaxial strain parallel to the [111] direction can efficiently transform Ge to a direct bandgap material with a bandgap energy useful for technological applications. © 2012 IOP Publishing Ltd.

  11. Strain-induced changes to the electronic structure of germanium

    KAUST Repository

    Tahini, H. A.; Chroneos, Alexander I.; Grimes, Robin W.; Schwingenschlö gl, Udo; Dimoulas, Athanasios Dimoulas

    2012-01-01

    Density functional theory calculations (DFT) are used to investigate the strain-induced changes to the electronic structure of biaxially strained (parallel to the (001), (110) and (111) planes) and uniaxially strained (along the [001], [110] and [111] directions) germanium (Ge). It is calculated that a moderate uniaxial strain parallel to the [111] direction can efficiently transform Ge to a direct bandgap material with a bandgap energy useful for technological applications. © 2012 IOP Publishing Ltd.

  12. Violet and visible up-conversion emission in Yb{sup 3+}-Ho{sup 3+} co-doped germanium-borate glasses

    Energy Technology Data Exchange (ETDEWEB)

    Yang Yanmin, E-mail: mihuyym@163.co [College of Physics Science and Technology, Hebei University, Baoding 071002 (China); Zhang Meixin [Forensic Science Lab, Hebei University, Baoding 071002 (China); Yang Zhiping [College of Physics Science and Technology, Hebei University, Baoding 071002 (China); Fu Zuoling [Key Laboratory of Coherent Light, Atomic and Molecular Spectroscopy, College of physics, Jilin University, Ministry of Education, Changchun 130023 (China)

    2010-10-15

    The up-conversion emission properties of Yb{sup 3+}-Ho{sup 3+} co-doped germanium-borate glasses have been investigated with 980 nm excitation. The violet, blue, green and red emission bands at about 350, 485, 544 and 653 nm can be identified, respectively. Experimental results indicated that the relative intensity ratios of the peaks I{sub Red}/I{sub Green} increased with increasing B{sub 2}O{sub 3} concentration, which led to changing color of up-conversion emission from green at x=0 to yellow at x=40, to red at x=60. The violet emission at 350 nm was first reported in germanium-borate glass host and up-conversion mechanisms of the emissions were discussed. The Yb{sup 3+}-Ho{sup 3+} co-doped germanium-borate glasses could be an alternative for the generation of violet and primary colors for application in solid-state displays.

  13. CT vs 68Ge attenuation correction in a combined PET/CT system: evaluation of the effect of lowering the CT tube current

    International Nuclear Information System (INIS)

    Kamel, Ehab; Hany, Thomas F.; Burger, Cyrill; Treyer, Valerie; Schulthess von, Gustav K.; Buck, Alfred; Lonn, Albert H.R.

    2002-01-01

    With the introduction of combined positron emission tomography/computed tomography (PET/CT) systems, several questions have to be answered. In this work we addressed two of these questions: (a) to what value can the CT tube current be reduced while still yielding adequate maps for the attenuation correction of PET emission scans and (b) how do quantified uptake values in tumours derived from CT and germanium-68 attenuation correction compare. In 26 tumour patients, multidetector CT scans were acquired with 10, 40, 80 and 120 mA (CT 10 , CT 40 , CT 80 and CT 120 ) and used for the attenuation correction of a single FDG PET emission scan, yielding four PET scans designated PET CT10 -PET CT120 . In 60 tumorous lesions, FDG uptake and lesion size were quantified on PET CT10 -PET CT120 . In another group of 18 patients, one CT scan acquired with 80 mA and a standard transmission scan acquired using 68 Ge sources were employed for the attenuation correction of the FDG emission scan (PET CT80 , PET 68Ge ). Uptake values and lesion size in 26 lesions were compared on PET CT80 and PET 68Ge . In the first group of patients, analysis of variance revealed no significant effect of CT current on tumour FDG uptake or lesion size. In the second group, tumour FDG uptake was slightly higher using CT compared with 68 Ge attenuation correction, especially in lesions with high FDG uptake. Lesion size was similar on PET CT80 and PET 68Ge . In conclusion, low CT currents yield adequate maps for the attenuation correction of PET emission scans. Although the discrepancy between CT- and 68 Ge-derived uptake values is probably not relevant in most cases, it should be kept in mind if standardised uptake values derived from CT and 68 Ge attenuation correction are compared. (orig.)

  14. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  15. A high resolution germanium detector array for hypernuclear studies at PANDA

    Energy Technology Data Exchange (ETDEWEB)

    Bleser, Sebastian; Sanchez Lorente, Alicia; Steinen, Marcell [Helmholtz-Institut Mainz (Germany); Gerl, Juergen; Kojouharov, Ivan [GSI, Darmstadt (Germany); Iazzi, Felice [Politecnico, Torino, Turin (Italy); INFN, Torino, Turin (Italy); Pochodzalla, Josef; Rittgen, Kai; Sahin, Cihan [Institute for Nuclear Physics, JGU Mainz (Germany); Collaboration: PANDA-Collaboration

    2013-07-01

    The PANDA experiment, planned at the FAIR facility in Darmstadt, aims at the high resolution γ-spectroscopy of double Λ hypernuclei. For this purpose a devoted detector setup is required, consisting of a primary nuclear target, an active secondary target and a germanium detector array for the γ-spectroscopy. Due to the limited space within the PANDA detector a compact design is required. In particular the conventional LN{sub 2} cooling system must be replaced by an electro-mechanical device and a new arrangement of the crystals is needed. This poster shows the ongoing development of the germanium detectors. Test measurements of a single crystal prototype with an improved cooling concept are shown. Thermal simulations for a triple crystal detector are presented. Aditionally studies of the optimization of the detector arrangement inside the PANDA barrel spectrometer are shown. Finally the status on digital pulse shape analysis is presented which will be necessary to deal with high counting rates and to recover the high original energy resolution in case of neutron damage.

  16. Split Bull's eye shaped aluminum antenna for plasmon-enhanced nanometer scale germanium photodetector.

    Science.gov (United States)

    Ren, Fang-Fang; Ang, Kah-Wee; Ye, Jiandong; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee

    2011-03-09

    Bull's eye antennas are capable of efficiently collecting and concentrating optical signals into an ultrasmall area, offering an excellent solution to break the bottleneck between speed and photoresponse in subwavelength photodetectors. Here, we exploit the idea of split bull's eye antenna for a nanometer germanium photodetector operating at a standard communication wavelength of 1310 nm. The nontraditional plasmonic metal aluminum has been implemented in the resonant antenna structure fabricated by standard complementary metal-oxide-semiconductor (CMOS) processing. A significant enhancement in photoresponse could be achieved over the conventional bull's eye scheme due to an increased optical near-field in the active region. Moreover, with this novel antenna design the effective grating area could be significantly reduced without sacrificing device performance. This work paves the way for the future development of low-cost, high-density, and high-speed CMOS-compatible germanium-based optoelectronic devices.

  17. Empirical correction of crosstalk in a low-background germanium γ-γ analysis system

    International Nuclear Information System (INIS)

    Keillor, M.E.; Erikson, L.E.; Aalseth, C.E.; Day, A.R.; Fuller, E.S.; Glasgow, B.D.; Hoppe, E.W.; Hossbach, T.W.; Mizouni, L.K.; Myers, A.W.

    2013-01-01

    The Pacific Northwest National Laboratory (PNNL) is currently developing a custom software suite capable of automating many of the tasks required to accurately analyze coincident signals within gamma spectrometer arrays. During the course of this work, significant crosstalk was identified in the energy determination for spectra collected with a new low-background intrinsic germanium (HPGe) array at PNNL. The HPGe array is designed for high detection efficiency, ultra-low-background performance, and sensitive γ-γ coincidence detection. The first half of the array, a single cryostat containing seven HPGe crystals, was recently installed into a new shallow underground laboratory facility. This update will present a brief review of the germanium array, describe the observed crosstalk, and present a straight-forward empirical correction that significantly reduces the impact of this crosstalk on the spectroscopic performance of the system. (author)

  18. Current experiments in germanium 0 ν β β search -- GERDA and MAJORANA

    Science.gov (United States)

    von Sturm, K.

    2015-01-01

    There are unanswered questions regarding neutrino physics that are of great interest for the scientific community. For example the absolute masses, the mass hierarchy and the nature of neutrinos are unknown up to now. The discovery of neutrinoless double beta decay (0νββ) would prove the existence of a Majorana mass, which would be linked to the half-life of the decay, and would in addition provide an elegant solution for the small mass of the neutrinos via the seesaw mechanism. Because of an existing discovery claim of 0νββ of 76Ge and the excellent energy resolution achievable, germanium is of special interest in the search for 0νββ . In this article the state of the art of germanium 0νββ search, namely the GERDA experiment and MAJORANA demonstrator, is presented. In particular, recent results of the GERDA collaboration, which strongly disfavour the above mentioned claim, are discussed.

  19. Thermoluminescence study of aluminium oxide doped germanium prepared by combustion synthesis method

    Directory of Open Access Journals (Sweden)

    Saharin Nurul Syazlin Binti

    2017-01-01

    Full Text Available The present paper reports the optimum concentration of germanium (Ge dopant in aluminium oxide (AhO3 samples prepared by combustion synthesis (CS method for thermoluminescence (TL studies. The samples were prepared at various Ge concentration i.e. 1 to 5% mol. The phase formation of un-doped and Ge-doped Al2O3 samples was determined using X-ray Diffraction (XRD. The sharp peaks present in the XRD pattern confirms the crystallinity of the samples. The samples were then exposed to 50 Gy Cobalt-60 sources (Gamma cell 220. TL glow curves were measured and recorded using a Harshaw Model 3500 TLD reader. Comparison of TL peaks were observed to obtain the best composition of Ge dopants. A simple glow curves TL peak at around 175̊C for all composition samples was observed. It was also found that the composition of aluminium oxide doped with 3.0% of germanium exhibits the highest thermoluminescence (TL intensity which is 349747.04 (a.u.

  20. An Implant-Passivated Blocked Impurity Band Germanium Detector for the Far Infrared, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose to investigate the feasibility of fabricating a germanium blocked-impurity-band (BIB) detector using a novel process which will enable us to: 1- fabricate...

  1. Tensile strain mapping in flat germanium membranes

    Energy Technology Data Exchange (ETDEWEB)

    Rhead, S. D., E-mail: S.Rhead@warwick.ac.uk; Halpin, J. E.; Myronov, M.; Patchett, D. H.; Allred, P. S.; Wilson, N. R.; Leadley, D. R. [Department of Physics, University of Warwick, Coventry, CV4 7AL (United Kingdom); Shah, V. A. [Department of Physics, University of Warwick, Coventry, CV4 7AL (United Kingdom); Department of Engineering, University of Warwick, Coventry, CV4 7AL (United Kingdom); Kachkanov, V.; Dolbnya, I. P. [Diamond Light Source, Harwell Science and Innovation Campus, Didcot, Oxfordshire, OX11 0DE (United Kingdom); Reparaz, J. S. [ICN2 - Institut Catala de Nanociencia i Nanotecnologia, Campus UAB, 08193 Bellaterra (Barcelona) (Spain); Sotomayor Torres, C. M. [ICN2 - Institut Catala de Nanociencia i Nanotecnologia, Campus UAB, 08193 Bellaterra (Barcelona) (Spain)

    2014-04-28

    Scanning X-ray micro-diffraction has been used as a non-destructive probe of the local crystalline quality of a thin suspended germanium (Ge) membrane. A series of reciprocal space maps were obtained with ∼4 μm spatial resolution, from which detailed information on the strain distribution, thickness, and crystalline tilt of the membrane was obtained. We are able to detect a systematic strain variation across the membranes, but show that this is negligible in the context of using the membranes as platforms for further growth. In addition, we show evidence that the interface and surface quality is improved by suspending the Ge.

  2. Array of germanium detectors for nuclear safeguards

    International Nuclear Information System (INIS)

    Moss, C.E.; Bernard, W.; Dowdy, E.J.; Garcia, C.; Lucas, M.C.; Pratt, J.C.

    1983-01-01

    Our gamma-ray spectrometer system, designed for field use, offers high efficiency and high resolution for safeguards applications. The system consists of three 40% high-purity germanium detectors and a LeCroy 3500 data-acquisition system that calculates a composite spectrum for the three detectors. The LeCroy 3500 mainframe can be operated remotely from the detector array with control exercised through moderns and the telephone system. System performance with a mixed source of 125 Sb, 154 Eu, and 155 Eu confirms the expected efficiency of 120% with an overall resolution that is between the resolution of the best detector and that of the worst

  3. Tensile strain mapping in flat germanium membranes

    International Nuclear Information System (INIS)

    Rhead, S. D.; Halpin, J. E.; Myronov, M.; Patchett, D. H.; Allred, P. S.; Wilson, N. R.; Leadley, D. R.; Shah, V. A.; Kachkanov, V.; Dolbnya, I. P.; Reparaz, J. S.; Sotomayor Torres, C. M.

    2014-01-01

    Scanning X-ray micro-diffraction has been used as a non-destructive probe of the local crystalline quality of a thin suspended germanium (Ge) membrane. A series of reciprocal space maps were obtained with ∼4 μm spatial resolution, from which detailed information on the strain distribution, thickness, and crystalline tilt of the membrane was obtained. We are able to detect a systematic strain variation across the membranes, but show that this is negligible in the context of using the membranes as platforms for further growth. In addition, we show evidence that the interface and surface quality is improved by suspending the Ge

  4. Dosimetric properties of germanium doped calcium borate glass subjected to 6 MV and 10 MV X-ray irradiations

    Science.gov (United States)

    Tengku Kamarul Bahri, T. N. H.; Wagiran, H.; Hussin, R.; Saeed, M. A.; Hossain, I.; Ali, H.

    2014-10-01

    Germanium doped calcium borate glasses are investigated in term of thermoluminescence properties to seek their possibility to use as glass radiation dosimeter. The samples were exposed to 6 MV, and 10 MV photon beams in a dose range of 0.5-4.0 Gy. There is a single and broad thermoluminescence glow curve that exhibits its maximum intensity at about 300 °C. Linear dose response behavior has been found in this dose range for the both photon energies. Effective atomic number, TL sensitivity, and reproducibility have also been studied. It is found that the sensitivity of germanium doped sample at 6 MV is only 1.28% and it is superior to the sensitivity at 10 MV. The reproducibility of germanium doped sample is good with a percentage of relative error less than 10%. The results indicate that this glass has a potential to be used as a radiation dosimetry, especially for application in radiotherapy.

  5. An Implant-Passivated Blocked Impurity Band Germanium Detector for the Far Infrared, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — We propose to fabricate a germanium blocked-impurity-band (BIB) detector using a novel process which will enable us to: 1- fabricate a suitably-doped active layer...

  6. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Delgadillo, N. [Universidad Autónoma de Tlaxcala, Av. Universidad No. 1, Z. P. 90006 Tlaxcala (Mexico); Torres, A. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Ambrosio, R. [Technology and Engineering Institute, Ciudad Juarez University UACJ, Av. Del Charro 450N, Z. P. 32310 Chihuahua (Mexico); Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico)

    2013-12-02

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E{sub a}) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ{sub RT}), E{sub a} and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E{sub a}, TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors.

  7. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    International Nuclear Information System (INIS)

    Moreno, M.; Delgadillo, N.; Torres, A.; Ambrosio, R.; Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W.

    2013-01-01

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E a ) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ RT ), E a and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E a , TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors

  8. Low temperature synthesis and electrical characterization of germanium doped Ti-based nanocrystals for nonvolatile memory

    International Nuclear Information System (INIS)

    Feng, Li-Wei; Chang, Chun-Yen; Chang, Ting-Chang; Tu, Chun-Hao; Wang, Pai-Syuan; Lin, Chao-Cheng; Chen, Min-Chen; Huang, Hui-Chun; Gan, Der-Shin; Ho, New-Jin; Chen, Shih-Ching; Chen, Shih-Cheng

    2011-01-01

    Chemical and electrical characteristics of Ti-based nanocrystals containing germanium, fabricated by annealing the co-sputtered thin film with titanium silicide and germanium targets, were demonstrated for low temperature applications of nonvolatile memory. Formation and composition characteristics of nanocrystals (NCs) at various annealing temperatures were examined by transmission electron microscopy and X-ray photon-emission spectroscopy, respectively. It was observed that the addition of germanium (Ge) significantly reduces the proposed thermal budget necessary for Ti-based NC formation due to the rise of morphological instability and agglomeration properties during annealing. NC structures formed after annealing at 500 °C, and separated well at 600 °C annealing. However, it was also observed that significant thermal desorption of Ge atoms occurs at 600 °C due to the sublimation of formatted GeO phase and results in a serious decrease of memory window. Therefore, an approach to effectively restrain Ge thermal desorption is proposed by encapsulating the Ti-based trapping layer with a thick silicon oxide layer before 600 °C annealing. The electrical characteristics of data retention in the sample with the 600 °C annealing exhibited better performance than the 500 °C-annealed sample, a result associated with the better separation and better crystallization of the NC structures.

  9. Validation of 68Ge/68Ga generator processing by chemical purification for routine clinical application of 68Ga-DOTATOC

    International Nuclear Information System (INIS)

    Asti, Mattia; De Pietri, Giovanni; Fraternali, Alessandro; Grassi, Elisa; Sghedoni, Roberto; Fioroni, Federica; Roesch, Frank; Versari, Annibale; Salvo, Diana

    2008-01-01

    Introduction: Imaging of somatostatin receptor expressing tumours has been greatly enhanced by the use of 68 Ga-DOTATOC and PET/CT. Methods: In this work, a purification method for the 68 Ge/ 68 Ga generator eluate and a method to produce 68 Ga-DOTATOC suitable for clinical use were evaluated. The generator eluate was purified and concentrated on a cation-exchange cartridge in HCl/acetone media. The efficacy of this procedure in eliminating metal impurities from the 68 Ga solution was investigated by ICP-MS. The radiotracer quality was evaluated by radio-TLC, GC and γ-ray spectrometry. Results: 68 Ga-DOTATOC preparations (n=33) were carried out with a mean synthesis yield of 59.3±2.8% (not corrected for decay) and a batch activity ranging from 555 to 296 MBq. The radiochemical and radionuclidic purity were >98% and 99.9999%, respectively. With this purification process, >95% of the Fe(III), Zn(II) and Mn(II) were eliminated from the solution. Conclusions: 68 Ga-DOTATOC produced with this method can be efficiently used in nuclear medicine departments for PET evaluations

  10. Averaged cross sections for the reactions 68Zn(n,p)68gCu and 68Zn(n,p)68mCu for a 235U fission neutron spectrum

    International Nuclear Information System (INIS)

    Kestelman, A.J.; Ribeiro Guevara, S.; Arribere, M.A.; Cohen, I.M.

    2007-01-01

    Making use of the method developed in our laboratory for the simultaneous determination of cross sections leading to both the ground and metastable states, we have measured the 68 Zn(n,p) 68g Cu and 68 Zn(n,p) 68m Cu reactions, using Zn enriched to 99.4% in its isotope 68 Zn. The measured cross sections are (15.04±0.35) and (3.69±0.30) μb for the ground and metastable state, respectively. However, a direct determination of the cross section leading to the metastable state gives a value of (4.75±0.38) μb. A possible reason for this discrepancy-which is outside experimental uncertainties-is that some tabulated values used in our calculations for the decay parameters of 68g Cu and 68m Cu, have either larger than quoted, or unknown systematic, uncertainties

  11. GeMini: The Next Generation Mechanically-Cooled Germanium Spectrometer

    International Nuclear Information System (INIS)

    Burks, M.

    2008-01-01

    The next-generation mechanically-cooled germanium spectrometer has been developed. GeMini (GErmanium MINIature spectrometer) has been designed to bring high-resolution gamma-ray spectroscopy to a range of demanding field environments. Intended applications include short-notice and surprise inspections where positive nuclide identification of radioactive materials is required. GeMini weighs 2.75 kg (6 lbs) total including the detector, cryostat, cryocooler, batteries, electronics and readout. It is very low power allowing it to operate for 10 hours on a single set of rechargeable batteries. This instrument employs technology adapted from the gamma-ray spectrometer currently flying on NASA's Mercury MESSENGER spacecraft. Specifically, infrared shielding techniques allow for a vast reduction of thermal load. This in turn allows for a smaller, lighter-weight design, well-suited for a hand-held instrument. Two working prototypes have been built and tested in the lab. The target energy resolution is 3 keV fwhm or better for 1332 keV gamma-rays. The detectors currently achieve around 4.5 keV resolution, which is slightly higher than our goal due to microphonic noise. Our present work focuses on improving the resolution through mechanical and electronic means of reducing the microphonic noise. This paper will focus on the performance of the instrument and its applicability for inspectors in the field

  12. Crystallization of Electrodeposited Germanium Thin Film on Silicon (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Matsumura, Ryo; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Muta, Shunpei; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2013-11-06

    We report the crystallization of electrodeposited germanium (Ge) thin films on n-silicon (Si) (100) by rapid melting process. The electrodeposition was carried out in germanium (IV) chloride: propylene glycol (GeCl₄:C₃H₈O₂) electrolyte with constant current of 50 mA for 30 min. The measured Raman spectra and electron backscattering diffraction (EBSD) images show that the as-deposited Ge thin film was amorphous. The crystallization of deposited Ge was achieved by rapid thermal annealing (RTA) at 980 °C for 1 s. The EBSD images confirm that the orientations of the annealed Ge are similar to that of the Si substrate. The highly intense peak of Raman spectra at 300 cm -1 corresponding to Ge-Ge vibration mode was observed, indicating good crystal quality of Ge. An additional sub peak near to 390 cm -1 corresponding to the Si-Ge vibration mode was also observed, indicating the Ge-Si mixing at Ge/Si interface. Auger electron spectroscopy (AES) reveals that the intermixing depth was around 60 nm. The calculated Si fraction from Raman spectra was found to be in good agreement with the value estimated from Ge-Si equilibrium phase diagram. The proposed technique is expected to be an effective way to crystallize Ge films for various device applications as well as to create strain at the Ge-Si interface for enhancement of mobility.

  13. Deformation potentials for band-to-band tunneling in silicon and germanium from first principles

    Science.gov (United States)

    Vandenberghe, William G.; Fischetti, Massimo V.

    2015-01-01

    The deformation potentials for phonon-assisted band-to-band tunneling (BTBT) in silicon and germanium are calculated using a plane-wave density functional theory code. Using hybrid functionals, we obtain: DTA = 4.1 × 108 eV/cm, DTO = 1.2 × 109 eV/cm, and DLO = 2.2 × 109 eV/cm for BTBT in silicon and DTA = 7.8 × 108 eV/cm and DLO = 1.3 × 109 eV/cm for BTBT in germanium. These values agree with experimentally measured values and we explain why in diodes, the TA/TO phonon-assisted BTBT dominates over LO phonon-assisted BTBT despite the larger deformation potential for the latter. We also explain why LO phonon-assisted BTBT can nevertheless dominate in many practical applications.

  14. The germanium wall of the GEM detector system GEM Collaboration

    International Nuclear Information System (INIS)

    Betigeri, M.; Biakowski, E.; Bojowald, H.; Budzanowski, A.; Chatterjee, A.; Drochner, M.; Ernst, J.; Foertsch, S.; Freindl, L.; Frekers, D.; Garske, W.; Grewer, K.; Hamacher, A.; Igel, S.; Ilieva, J.; Jarczyk, L.; Jochmann, M.; Kemmerling, G.; Kilian, K.; Kliczewski, S.; Klimala, W.; Kolev, D.; Kutsarova, T.; Lieb, J.; Lippert, G.; Machner, H.; Magiera, A.; Nann, H.; Pentchev, L.; Plendl, H.S.; Protic, D.; Razen, B.; Rossen, P. von; Roy, B.J.; Siudak, R.; Smyrski, J.; Srikantiah, R.V.; Strzakowski, A.; Tsenov, R.; Zolnierczuk, P.A.; Zwoll, K.

    1999-01-01

    A stack of annular detectors made of high-purity germanium was developed. The detectors are position sensitive with radial structures. The first one ('Quirl') is double-sided position sensitive defining 40,000 pixels, the following three (E1, E2 and E3) have 32 wedges each. The Quirl acts as tracker while the other three act as calorimeter. The stack was successfully operated in meson production reactions close to threshold

  15. Fundamental aspects of nucleation and growth in the solution-phase synthesis of germanium nanocrystals

    KAUST Repository

    Codoluto, Stephen C.; Baumgardner, William J.; Hanrath, Tobias

    2010-01-01

    Colloidal Ge nanocrystals (NCs) were synthesized via the solution phase reduction of germanium(ii) iodide. We report a systematic investigation of the nanocrystal nucleation and growth as a function of synthesis conditions including the nature

  16. Energy levels of germanium, Ge I through Ge XXXII

    International Nuclear Information System (INIS)

    Sugar, J.; Musgrove, A.

    1993-01-01

    Atomic energy levels of germanium have been compiled for all stages of ionization for which experimental data are available. No data have yet been published for Ge VIII through Ge XIII and Ge XXXII. Very accurate calculated values are compiled for Ge XXXI and XXXII. Experimental g-factors and leading percentages from calculated eigenvectors of levels are given. A value for the ionization energy, either experimental when available or theoretical, is included for the neutral atom and each ion. section

  17. Silicon and Germanium (111) Surface Reconstruction

    Science.gov (United States)

    Hao, You Gong

    Silicon (111) surface (7 x 7) reconstruction has been a long standing puzzle. For the last twenty years, various models were put forward to explain this reconstruction, but so far the problem still remains unsolved. Recent ion scattering and channeling (ISC), scanning tunneling microscopy (STM) and transmission electron diffraction (TED) experiments reveal some new results about the surface which greatly help investigators to establish better models. This work proposes a silicon (111) surface reconstruction mechanism, the raising and lowering mechanism which leads to benzene -like ring and flower (raised atom) building units. Based on these building units a (7 x 7) model is proposed, which is capable of explaining the STM and ISC experiment and several others. Furthermore the building units of the model can be used naturally to account for the germanium (111) surface c(2 x 8) reconstruction and other observed structures including (2 x 2), (5 x 5) and (7 x 7) for germanium as well as the (/3 x /3)R30 and (/19 x /19)R23.5 impurity induced structures for silicon, and the higher temperature disordered (1 x 1) structure for silicon. The model is closely related to the silicon (111) surface (2 x 1) reconstruction pi-bonded chain model, which is the most successful model for the reconstruction now. This provides an explanation for the rather low conversion temperature (560K) of the (2 x 1) to the (7 x 7). The model seems to meet some problems in the explanation of the TED result, which is explained very well by the dimer, adatom and stacking fault (DAS) model proposed by Takayanagi. In order to explain the TED result, a variation of the atomic scattering factor is proposed. Comparing the benzene-like ring model with the DAS model, the former needs more work to explain the TED result and the later has to find a way to explain the silicon (111) surface (1 x 1) disorder experiment.

  18. Reduction of Defects in Germanium-Silicon

    Science.gov (United States)

    2003-01-01

    Crystals grown without contact with a container have far superior quality to otherwise similar crystals grown in direct contact with a container. In addition to float-zone processing, detached- Bridgman growth is a promising tool to improve crystal quality, without the limitations of float zoning or the defects introduced by normal Bridgman growth. Goals of this project include the development of the detached Bridgman process to be reproducible and well understood and to quantitatively compare the defect and impurity levels in crystals grown by these three methods. Germanium (Ge) and germanium-silicon (Ge-Si) alloys are being used. At MSFC, we are responsible for the detached Bridgman experiments intended to differentiate among proposed mechanisms of detachment, and to confirm or refine our understanding of detachment. Because the contact angle is critical to determining the conditions for detachment, the sessile drop method was used to measure the contact angles as a function of temperature and composition for a large number of substrates made of potential ampoule materials. Growth experiments have used pyrolytic boron nitride (pBN) and fused silica ampoules with the majority of the detached results occurring predictably in the pBN. Etch pit density (EPD) measurements of normal and detached Bridgman-grown Ge samples show a two order of magnitude improvement in the detached-grown samples. The nature and extent of detachment is determined by using profilometry in conjunction with optical and electron microscopy. The stability of detachment has been analyzed, and an empirical model for the conditions necessary to achieve sufficient stability to maintain detached growth for extended periods has been developed. We have investigated the effects on detachment of ampoule material, pressure difference above and below the melt, and Si concentration; samples that are nearly completely detached can be grown repeatedly in pBN. Current work is concentrated on developing a

  19. Evaluation Of The Impact Of The Defense Waste Processing Facility (DWPF) Laboratory Germanium Oxide Use On Recycle Transfers To The H-Tank Farm

    International Nuclear Information System (INIS)

    Jantzen, C.; Laurinat, J.

    2011-01-01

    When processing High Level Waste (HLW) glass, the Defense Waste Processing Facility (DWPF) cannot wait until the melt or waste glass has been made to assess its acceptability, since by then no further changes to the glass composition and acceptability are possible. Therefore, the acceptability decision is made on the upstream feed stream, rather than on the downstream melt or glass product. This strategy is known as 'feed forward statistical process control.' The DWPF depends on chemical analysis of the feed streams from the Sludge Receipt and Adjustment Tank (SRAT) and the Slurry Mix Evaporator (SME) where the frit plus adjusted sludge from the SRAT are mixed. The SME is the last vessel in which any chemical adjustments or frit additions can be made. Once the analyses of the SME product are deemed acceptable, the SME product is transferred to the Melter Feed Tank (MFT) and onto the melter. The SRAT and SME analyses have been analyzed by the DWPF laboratory using a 'Cold Chemical' method but this dissolution did not adequately dissolve all the elemental components. A new dissolution method which fuses the SRAT or SME product with cesium nitrate (CsNO 3 ), germanium (IV) oxide (GeO 2 ) and cesium carbonate (Cs 2 CO 3 ) into a cesium germanate glass at 1050 C in platinum crucibles has been developed. Once the germanium glass is formed in that fusion, it is readily dissolved by concentrated nitric acid (about 1M) to solubilize all the elements in the SRAT and/or SME product for elemental analysis. When the chemical analyses are completed the acidic cesium-germanate solution is transferred from the DWPF analytic laboratory to the Recycle Collection Tank (RCT) where the pH is increased to ∼12 M to be released back to the tank farm and the 2H evaporator. Therefore, about 2.5 kg/yr of GeO 2 /year will be diluted into 1.4 million gallons of recycle. This 2.5 kg/yr of GeO 2 may increase to 4 kg/yr when improvements are implemented to attain an annual canister production

  20. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  1. Optical properties of highly n-doped germanium obtained by in situ doping and laser annealing

    Science.gov (United States)

    Frigerio, J.; Ballabio, A.; Gallacher, K.; Giliberti, V.; Baldassarre, L.; Millar, R.; Milazzo, R.; Maiolo, L.; Minotti, A.; Bottegoni, F.; Biagioni, P.; Paul, D.; Ortolani, M.; Pecora, A.; Napolitani, E.; Isella, G.

    2017-11-01

    High n-type doping in germanium is essential for many electronic and optoelectronic applications especially for high performance Ohmic contacts, lasing and mid-infrared plasmonics. We report on the combination of in situ doping and excimer laser annealing to improve the activation of phosphorous in germanium. An activated n-doping concentration of 8.8  ×  1019 cm-3 has been achieved starting from an incorporated phosphorous concentration of 1.1  ×  1020 cm-3. Infrared reflectivity data fitted with a multi-layer Drude model indicate good uniformity over a 350 nm thick layer. Photoluminescence demonstrates clear bandgap narrowing and an increased ratio of direct to indirect bandgap emission confirming the high doping densities achieved.

  2. Bibliographical study on the high-purity germanium radiation detectors used in gamma and X spectrometry

    International Nuclear Information System (INIS)

    Bornand, Bernard; Friant, Alain

    1979-03-01

    The germanium or silicon lithium-drifted detectors, Ge(Li) or Si(Li), and high-purity germanium detectors, HP Ge (impurity concentration approximately 10 10 cm -3 ), are the most commonly used at the present time as gamma and X-ray spectrometers. The HP Ge detectors for which room temperature storage is the main characteristic can be obtained with a large volume and a thin window, and are used as the Ge(Li) in γ ray spectrometry or the Si(Li) in X-ray spectrometry. This publication reviews issues from 1974 to 1978 on the state of the art and applications of the HP Ge semiconductor detectors. 101 bibliographical notices with French summaries are presented. An index for authors, documents and periodicals, and subjects is included [fr

  3. Self-absorption corrections for well-type germanium detectors

    International Nuclear Information System (INIS)

    Appleby, P.G.; Richardson, N.; Nolan, P.J.

    1992-01-01

    Corrections for self-absorption are of vital importance to accurate determination by gamma spectrometry of radionuclides such as 210 Pb, 241 Am and 234 Th which emit low energy gamma radiation. A simple theoretical model for determining the necessary corrections for well-type germanium detectors is presented. In this model, self-absorption factors are expressed in terms of the mass attenuation coefficient of the sample and a parameter characterising the well geometry. Experimental measurements of self-absorption are used to evaluate the model and to determine a semi-empirical algorithm for improved estimates of the geometrical parameter. (orig.)

  4. Effect of pressure on arsenic diffusion in germanium

    International Nuclear Information System (INIS)

    Mitha, S.; Theiss, S.D.; Aziz, M.J.; Schiferl, D.; Poker, D.B.

    1994-01-01

    We report preliminary results of a study of the activation volume for diffusion of arsenic in germanium. High-temperature high-pressure anneals were performed in a liquid argon pressure medium in a diamond anvil cell capable of reaching 5 GPa and 750 C,l which is externally heated for uniform and repeatable temperature profiles. Broadening of an ion-implanted arsenic profile was measured by Secondary Ion Mass Spectrometry. Hydrostatic pressure retards the diffusivity at 575 C, characterized by an activation volume that is +15% of the atomic volume of Ge. Implications for diffusion mechanisms are discussed

  5. Young’s modulus of [111] germanium nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Maksud, M.; Palapati, N. K. R.; Subramanian, A., E-mail: asubramanian@vcu.edu [Department of Mechanical and Nuclear Engineering, Virginia Commonwealth University, Richmond, Virginia 23284 (United States); Yoo, J. [Center for Integrated Nanotechnologies, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Harris, C. T. [Center for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2015-11-01

    This paper reports a diameter-independent Young’s modulus of 91.9 ± 8.2 GPa for [111] Germanium nanowires (Ge NWs). When the surface oxide layer is accounted for using a core-shell NW approximation, the YM of the Ge core approaches a near theoretical value of 147.6 ± 23.4 GPa. The ultimate strength of a NW device was measured at 10.9 GPa, which represents a very high experimental-to-theoretical strength ratio of ∼75%. With increasing interest in this material system as a high-capacity lithium-ion battery anode, the presented data provide inputs that are essential in predicting its lithiation-induced stress fields and fracture behavior.

  6. A small diameter, flexible, all attitude, self-contained germanium spectrometer. Operator's manual

    International Nuclear Information System (INIS)

    Bordzindki, R.L.; Lepel, E.A.; Reeves, J.H.; Kohli, R.

    1997-05-01

    The end of the Cold War has brought about tremendous changes in the nuclear complex of the Department of Energy. One of the many changes has been the shutdown or decommissioning of many facilities that performed nuclear work. One of the steps in the process of decommissioning a facility involves the decontamination or removal of drain lines or pipes that may have carried radioactive materials at one time. The removal of all these pipes and drain lines to a nuclear disposal facility could be quite costly. It was suggested by Pacific Northwest National Laboratory (PNNL) that a germanium spectrometer could be built that could fit through straight pipes with a diameter as small as 5.08 cm (2 inches) and pass through curved pipes with a diameter as small as 7.6 cm (3 inches) such as that of a 3-inch p-trap in a drain line. The germanium spectrometer could then be used to simultaneously determine all gamma-ray emitting radionuclides in or surrounding the pipe. By showing the absence of any gamma-ray emitting radionuclides, the pipes could then be reused in place or disposed of as non-radioactive material, thus saving significantly in disposal costs. A germanium spectrometer system has been designed by PNNL and fabricated by Princeton Gamma Tech (PGT) that consists of three segments, each 4.84 cm in diameter and about 10 cm in length. Flexible stainless steel bellows were used to connect the segments. Segment 1 is a small liquid nitrogen reservoir. The reservoir is filled with a sponge-like material which enables the detector to be used in any orientation. A Stirling cycle refrigerator is under development which can replace the liquid nitrogen reservoir to provide continuous cooling and operation

  7. Theoretical study of the localization-delocalization transition in amorphous molybdenum-germanium alloys

    International Nuclear Information System (INIS)

    Ding, K.; Andersen, H.C.

    1987-01-01

    Electronic structure calculations were performed for amorphous germanium and amorphous alloys of molybdenum and germanium. The calculations used Harrison's universal linear-combination-of-atomic-orbitals parameters to generate one-electron Hamiltonians for structural configurations obtained from molecular-dynamics simulations. The density of states calculated for a model of a-Ge showed a distinct pseudogap, although with an appreciable density of states at the minimum. The states in the pseudogap are localized. As the concentration of Mo atoms increases, the pseudogap of the density of states is gradually filled up. The density of states at the Fermi energy calculated for our model of the alloys agrees quite well with that experimentally determined by Yoshizumi, Geballe, and co-workers. The localization index for the states at the Fermi energy is a decreasing function of Mo concentration in the range of 2--14 at. % Mo and the localization length is an increasing function of molybdenum concentration. These results are consistent with the experimental observation of an insulator-metal transition at about 10 at. % Mo

  8. Current experiments in germanium 0νββ search — GERDA and MAJORANA

    International Nuclear Information System (INIS)

    Von Sturm, K.

    2015-01-01

    There are unanswered questions regarding neutrino physics that are of great interest for the scientific community. For example the absolute masses, the mass hierarchy and the nature of neutrinos are unknown up to now. The discovery of neutrinoless double beta decay (0νββ) would prove the existence of a Majorana mass, which would be linked to the half-life of the decay, and would in addition provide an elegant solution for the small mass of the neutrinos via the seesaw mechanism. Because of an existing discovery claim of 0νββ of 76 Ge and the excellent energy resolution achievable, germanium is of special interest in the search for 0νββ. In this article the state of the art of germanium 0νββ search, namely the Gerda experiment and Majorana demonstrator, is presented. In particular, recent results of the Gerda collaboration, which strongly disfavour the above mentioned claim, are discussed.

  9. Continuously tunable photonic fractional Hilbert transformer using a high-contrast germanium-doped silica-on-silicon microring resonator.

    Science.gov (United States)

    Shahoei, Hiva; Dumais, Patrick; Yao, Jianping

    2014-05-01

    We propose and experimentally demonstrate a continuously tunable fractional Hilbert transformer (FHT) based on a high-contrast germanium-doped silica-on-silicon (SOS) microring resonator (MRR). The propagation loss of a high-contrast germanium-doped SOS waveguide can be very small (0.02 dB/cm) while the lossless bend radius can be less than 1 mm. These characteristics lead to the fabrication of an MRR with a high Q-factor and a large free-spectral range (FSR), which is needed to implement a Hilbert transformer (HT). The SOS MRR is strongly polarization dependent. By changing the polarization direction of the input signal, the phase shift introduced at the center of the resonance spectrum is changed. The tunable phase shift at the resonance wavelength can be used to implement a tunable FHT. A germanium-doped SOS MRR with a high-index contrast of 3.8% is fabricated. The use of the fabricated MRR for the implementation of a tunable FHT with tunable orders at 1, 0.85, 0.95, 1.05, and 1.13 for a Gaussian pulse with the temporal full width at half-maximum of 80 ps is experimentally demonstrated.

  10. Crystallization of Electrodeposited Germanium Thin Film on Silicon (100

    Directory of Open Access Journals (Sweden)

    Abdul Manaf Hashim

    2013-11-01

    Full Text Available We report the crystallization of electrodeposited germanium (Ge thin films on n-silicon (Si (100 by rapid melting process. The electrodeposition was carried out in germanium (IV chloride: propylene glycol (GeCl4:C3H8O2 electrolyte with constant current of 50 mA for 30 min. The measured Raman spectra and electron backscattering diffraction (EBSD images show that the as-deposited Ge thin film was amorphous. The crystallization of deposited Ge was achieved by rapid thermal annealing (RTA at 980 °C for 1 s. The EBSD images confirm that the orientations of the annealed Ge are similar to that of the Si substrate. The highly intense peak of Raman spectra at 300 cm−1 corresponding to Ge-Ge vibration mode was observed, indicating good crystal quality of Ge. An additional sub peak near to 390 cm−1 corresponding to the Si-Ge vibration mode was also observed, indicating the Ge-Si mixing at Ge/Si interface. Auger electron spectroscopy (AES reveals that the intermixing depth was around 60 nm. The calculated Si fraction from Raman spectra was found to be in good agreement with the value estimated from Ge-Si equilibrium phase diagram. The proposed technique is expected to be an effective way to crystallize Ge films for various device applications as well as to create strain at the Ge-Si interface for enhancement of mobility.

  11. Germanium detectors for nuclear spectroscopy: Current research and development activity at LNL

    Energy Technology Data Exchange (ETDEWEB)

    Napoli, D. R., E-mail: daniel.r.napoli@lnl.infn.it [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali di Legnaro, Viale dell’Università 2, 35020 Legnaro, Padova (Italy); Maggioni, G., E-mail: maggioni@lnl.infn.it; Carturan, S.; Gelain, M. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali di Legnaro, Viale dell’Università 2, 35020 Legnaro, Padova (Italy); Department of Physics and Astronomy “G. Galilei”, University of Padova, Via Marzolo 8, 35121 Padova (Italy); Eberth, J. [Institut für Kernphysik, Universität zu Köln, Zülpicher Straße 77, D-50937 Köln (Germany); Grimaldi, M. G.; Tatí, S. [Department of Physics and Astronomy, University of Catania (Italy); Riccetto, S. [University of Camerino and INFN of Perugia (Italy); Mea, G. Della [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali di Legnaro, Viale dell’Università 2, 35020 Legnaro, Padova (Italy); University of Trento (Italy)

    2016-07-07

    High-purity Germanium (HPGe) detectors have reached an unprecedented level of sophistication and are still the best solution for high-resolution gamma spectroscopy. In the present work, we will show the results of the characterization of new surface treatments for the production of these detectors, studied in the framework of our multidisciplinary research program in HPGe detector technologies.

  12. Optical properties of highly n-doped germanium obtained by in situ doping and laser annealing

    International Nuclear Information System (INIS)

    Frigerio, J; Ballabio, A; Isella, G; Gallacher, K; Millar, R; Paul, D; Gilberti, V; Baldassarre, L; Ortolani, M; Milazzo, R; Napolitani, E; Maiolo, L; Minotti, A; Pecora, A; Bottegoni, F; Biagioni, P

    2017-01-01

    High n-type doping in germanium is essential for many electronic and optoelectronic applications especially for high performance Ohmic contacts, lasing and mid-infrared plasmonics. We report on the combination of in situ doping and excimer laser annealing to improve the activation of phosphorous in germanium. An activated n-doping concentration of 8.8  ×  10 19 cm −3 has been achieved starting from an incorporated phosphorous concentration of 1.1  ×  10 20 cm −3 . Infrared reflectivity data fitted with a multi-layer Drude model indicate good uniformity over a 350 nm thick layer. Photoluminescence demonstrates clear bandgap narrowing and an increased ratio of direct to indirect bandgap emission confirming the high doping densities achieved. (paper)

  13. Photoconductivity of Germanium Nanowire Arrays Incorporated in Anodic Aluminum Oxide

    International Nuclear Information System (INIS)

    Polyakov, B; Prikulis, J; Grigorjeva, L; Millers, D; Daly, B; Holmes, J D; Erts, D

    2007-01-01

    Photoconductivity of germanium nanowire arrays of 50 and 100 nm diameter incorporated into Anodic Aluminum Oxide (AAO) membranes illuminated with visible light is investigated. Photocurrent response to excitation radiation with time constants faster than 10 -4 s were governed by absorption of incident light by nanowires, while photokinetics with time constants of the order of 10 -3 s originates from the photoluminescence of the AAO matrix. Possible applications of nanowire arrays inside AAO as photoresistors are discussed

  14. Radiation defects produced by neutron irradiation in germanium single crystals

    International Nuclear Information System (INIS)

    Fukuoka, Noboru; Honda, Makoto; Atobe, Kozo; Yamaji, Hiromichi; Ide, Mutsutoshi; Okada, Moritami.

    1992-01-01

    The nature of defects produced in germanium single crystals by neutron irradiation at 25 K was studied by measuring the electrical resistivity. It was found that two levels located at E c -0.06 eV and E c -0.13 eV were introduced in an arsenic-doped sample. Electron traps at E c -0.10eV were observed in an indium-doped sample. The change in electrical resistivity during irradiation was also studied. (author)

  15. High-capacity nanostructured germanium-containing materials and lithium alloys thereof

    Energy Technology Data Exchange (ETDEWEB)

    Graetz, Jason A. (Upton, NY); Fultz, Brent T. (Pasadena, CA); Ahn, Channing (Pasadena, CA); Yazami, Rachid (Los Angeles, CA)

    2010-08-24

    Electrodes comprising an alkali metal, for example, lithium, alloyed with nanostructured materials of formula Si.sub.zGe.sub.(z-1), where 0germanium exhibit a combination of improved capacities, cycle lives, and/or cycling rates compared with similar electrodes made from graphite. These electrodes are useful as anodes for secondary electrochemical cells, for example, batteries and electrochemical supercapacitors.

  16. Mapping the electromagnetic field confinement in the gap of germanium nanoantennas with plasma wavelength of 4.5 micrometers

    Science.gov (United States)

    Calandrini, Eugenio; Venanzi, Tommaso; Appugliese, Felice; Badioli, Michela; Giliberti, Valeria; Baldassarre, Leonetta; Biagioni, Paolo; De Angelis, Francesco; Klesse, Wolfgang M.; Scappucci, Giordano; Ortolani, Michele

    2016-09-01

    We study plasmonic nanoantennas for molecular sensing in the mid-infrared made of heavily doped germanium, epitaxially grown with a bottom-up doping process and featuring free carrier density in excess of 1020 cm-3. The dielectric function of the 250 nm thick germanium film is determined, and bow-tie antennas are designed, fabricated, and embedded in a polymer. By using a near-field photoexpansion mapping technique at λ = 5.8 μm, we demonstrate the existence in the antenna gap of an electromagnetic energy density hotspot of diameter below 100 nm and confinement volume 105 times smaller than λ3.

  17. Germanium content and base doping level influence on extrinsic base resistance and dynamic performances of SiGe:C heterojunction bipolar transistors

    International Nuclear Information System (INIS)

    Ramirez-Garcia, E; Valdez-Monroy, L A; Rodriguez-Mendez, L M; Valdez-Perez, D; Galaz-Larios, M C; Enciso-Aguilar, M A; Zerounian, N; Aniel, F

    2014-01-01

    We describe a reliable technique to separate the different contributions to the apparent base resistance (R B  = R Bx  + X R Bi ) of silicon germanium carbon (SiGe:C) heterojunction bipolar transistors (HBTs). The extrinsic base resistance (R Bx ) is quantified using small-signal measurements. The base-collector junction distribution factor (X) and the intrinsic base resistance (R Bi ) are extracted from high frequency noise (MWN) measurements. This method is applied to five different SiGe:C HBTs varying in base doping level and germanium content. The results show that high doping levels improve high frequency noise performances while germanium gradient helps to maintain outstanding dynamic performances. This method could be used to elucidate the base technological configuration that ensures low noise together with remarkable dynamic performances in state-of-the-art SiGe:C HBTs. (paper)

  18. Synthesis, Structure and Investigation of Germanium(IV and Copper(II Complexes with Malic Acid and 1,10ʹ-phenanthroline

    Directory of Open Access Journals (Sweden)

    Inna Seifullina

    2017-12-01

    Full Text Available Two crystalline compounds of germanium(IV with malic acid (HMal and 1,10ʹ-phenanthroline (phen - [Ge(HMal2(phen]•pheh•2H2O (I and [CuCl(phen2][Ge(OH(HMal2] (II were synthesized for the first time and characterized by elemental analysis, IR-spectroscopy and thermogravimetric analysis. There was elucidated from single-crystal X-ray diffraction that two different forms of Germanium are implemented: Ge4+ (I and hydrolyzed GeOH3+ (II to form distorted octahedron and pyramid respectively.

  19. Clinical applications of Gallium-68

    International Nuclear Information System (INIS)

    Banerjee, Sangeeta Ray; Pomper, Martin G.

    2013-01-01

    Gallium-68 is a positron-emitting radioisotope that is produced from a 68 Ge/ 68 Ga generator. As such it is conveniently used, decoupling radiopharmacies from the need for a cyclotron on site. Gallium-68-labeled peptides have been recognized as a new class of radiopharmaceuticals showing fast target localization and blood clearance. 68 Ga-DOTATOC, 8 Ga-DOTATATE, 68 Ga-DOTANOC, are the most prominent radiopharmaceuticals currently in use for imaging and differentiating lesions of various somatostatin receptor subtypes, overexpressed in many neuroendocrine tumors. There has been a tremendous increase in the number of clinical studies with 68 Ga over the past few years around the world, including within the United States. An estimated ∼10,000 scans are being performed yearly in Europe at about 100 centers utilizing 68 Ga-labeled somatostatin analogs within clinical trials. Two academic sites within the US have also begun to undertake human studies. This review will focus on the clinical experience of selected, well-established and recently applied 68 Ga-labeled imaging agents used in nuclear medicine. - Highlights: ► A summary of the emerging clinical uses of 68 Ga-based radiopharmaceuticals is provided. ► 68 Ga-PET may prove as or more clinically robust than the corresponding 18 F-labeled agents. ► 68 Ga-radiopeptides were studied for targeting of somatostatin receptors subtypes. ► 68 Ga-DOTATOC, 68 Ga-DOTATATE, 68 Ga-DOTANOC, are currently in clinical trials

  20. The isotope composition of inorganic germanium in seawater and deep sea sponges

    Science.gov (United States)

    Guillermic, Maxence; Lalonde, Stefan V.; Hendry, Katharine R.; Rouxel, Olivier J.

    2017-09-01

    Although dissolved concentrations of germanium (Ge) and silicon (Si) in modern seawater are tightly correlated, uncertainties still exist in the modern marine Ge cycle. Germanium stable isotope systematics in marine systems should provide additional constraints on marine Ge sources and sinks, however the low concentration of Ge in seawater presents an analytical challenge for isotopic measurement. Here, we present a new method of pre-concentration of inorganic Ge from seawater which was applied to measure three Ge isotope profiles in the Southern Ocean and deep seawater from the Atlantic and Pacific Oceans. Germanium isotopic measurements were performed on Ge amounts as low as 2.6 ng using a double-spike approach and a hydride generation system coupled to a MC-ICP-MS. Germanium was co-precipitated with iron hydroxide and then purified through anion-exchange chromatography. Results for the deep (i.e. >1000 m depth) Pacific Ocean off Hawaii (nearby Loihi Seamount) and the deep Atlantic off Bermuda (BATS station) showed nearly identical δ74/70Ge values at 3.19 ± 0.31‰ (2SD, n = 9) and 2.93 ± 0.10‰ (2SD, n = 2), respectively. Vertical distributions of Ge concentration and isotope composition in the deep Southern Ocean for water depth > 1300 m yielded an average δ74/70Ge = 3.13 ± 0.25‰ (2SD, n = 14) and Ge/Si = 0.80 ± 0.09 μmol/mol (2SD, n = 12). Significant variations in δ74/70Ge, from 2.62 to 3.71‰, were measured in the first 1000 m in one station of the Southern Ocean near Sars Seamount in the Drake Passage, with the heaviest values measured in surface waters. Isotope fractionation by diatoms during opal biomineralization may explain the enrichment in heavy isotopes for both Ge and Si in surface seawater. However, examination of both oceanographic parameters and δ74/70Ge values suggest also that water mass mixing and potential contribution of shelf-derived Ge also could contribute to the variations. Combining these results with new Ge isotope data

  1. Silicon germanium (SiGe) radioisotope thermoelectric generator (RTG) program for space missions. Nineteenth technical progress report, December 1980-January 1981

    International Nuclear Information System (INIS)

    1981-01-01

    Work accomplished during the reporting period on the DOE Silicon Germanium RTG Program, Contract DE-AC01-79ET-32043 is described. This program consists of the following three tasks: multi-hundred watt RTG for the Galileo probe mission; reestablishment of silicon germanium unicouple capability; and general purpose heat source RTG for the international solar polar and Galileo orbiter missions. Details of program progress for each task, including a milestone schedule and a discussion of current problem areas (if any) are presented

  2. Modelling the structure factors and pair distribution functions of amorphous germanium, silicon and carbon

    International Nuclear Information System (INIS)

    Dalgic, Seyfettin; Gonzalez, Luis Enrique; Baer, Shalom; Silbert, Moises

    2002-01-01

    We present the results of calculations of the static structure factor S(k) and the pair distribution function g(r) of the tetrahedral amorphous semiconductors germanium, silicon and carbon using the structural diffusion model (SDM). The results obtained with the SDM for S(k) and g(r) are of comparable quality with those obtained by the unconstrained Reverse Monte Carlo simulations and existing ab initio molecular dynamics simulations for these systems. We have found that g(r) exhibits a small peak, or shoulder, a weak remnant of the prominent third neighbour peak present in the crystalline phase of these systems. This feature has been experimentally found to be present in recently reported high energy X-ray experiments of amorphous silicon (Phys. Rev. B 60 (1999) 13520), as well as in the previous X-ray diffraction of as-evaporated amorphous germanium (Phys. Rev. B 50 (1994) 539)

  3. Modelling the structure factors and pair distribution functions of amorphous germanium, silicon and carbon

    Energy Technology Data Exchange (ETDEWEB)

    Dalgic, Seyfettin; Gonzalez, Luis Enrique; Baer, Shalom; Silbert, Moises

    2002-12-01

    We present the results of calculations of the static structure factor S(k) and the pair distribution function g(r) of the tetrahedral amorphous semiconductors germanium, silicon and carbon using the structural diffusion model (SDM). The results obtained with the SDM for S(k) and g(r) are of comparable quality with those obtained by the unconstrained Reverse Monte Carlo simulations and existing ab initio molecular dynamics simulations for these systems. We have found that g(r) exhibits a small peak, or shoulder, a weak remnant of the prominent third neighbour peak present in the crystalline phase of these systems. This feature has been experimentally found to be present in recently reported high energy X-ray experiments of amorphous silicon (Phys. Rev. B 60 (1999) 13520), as well as in the previous X-ray diffraction of as-evaporated amorphous germanium (Phys. Rev. B 50 (1994) 539)

  4. TIGRESS highly-segmented high-purity germanium clover detector

    Science.gov (United States)

    Scraggs, H. C.; Pearson, C. J.; Hackman, G.; Smith, M. B.; Austin, R. A. E.; Ball, G. C.; Boston, A. J.; Bricault, P.; Chakrawarthy, R. S.; Churchman, R.; Cowan, N.; Cronkhite, G.; Cunningham, E. S.; Drake, T. E.; Finlay, P.; Garrett, P. E.; Grinyer, G. F.; Hyland, B.; Jones, B.; Leslie, J. R.; Martin, J.-P.; Morris, D.; Morton, A. C.; Phillips, A. A.; Sarazin, F.; Schumaker, M. A.; Svensson, C. E.; Valiente-Dobón, J. J.; Waddington, J. C.; Watters, L. M.; Zimmerman, L.

    2005-05-01

    The TRIUMF-ISAC Gamma-Ray Escape-Suppressed Spectrometer (TIGRESS) will consist of twelve units of four high-purity germanium (HPGe) crystals in a common cryostat. The outer contacts of each crystal will be divided into four quadrants and two lateral segments for a total of eight outer contacts. The performance of a prototype HPGe four-crystal unit has been investigated. Integrated noise spectra for all contacts were measured. Energy resolutions, relative efficiencies for both individual crystals and for the entire unit, and peak-to-total ratios were measured with point-like sources. Position-dependent performance was measured by moving a collimated source across the face of the detector.

  5. Bioavailable concentrations of germanium and rare earth elements in soil as affected by low molecular weight organic acids and root exudates

    Science.gov (United States)

    Wiche, Oliver; Székely, Balázs; Kummer, Nicolai-Alexeji; Heinemann, Ute; Tesch, Silke; Heilmeier, Hermann

    2014-05-01

    Availability of elements in soil to plant is generally dependent on the solubility and mobility of elements in soil solution which is controlled by soil, elemental properties and plant-soil interactions. Low molecular organic acids or other root exudates may increase mobility and availability of certain elements for plants as an effect of lowering pH in the rhizosphere and complexation. However, these processes take place in a larger volume in soil, therefore to understand their nature, it is also important to know in which layers of the soil what factors modify these processes. In this work the influence of citric acid and root exudates of white lupin (Lupinus albus L.) on bioavailable concentrations of germanium, lanthan, neodymium, gadolinium and erbium in soil solution and uptake in root and shoot of rape (Brassica napus L.), comfrey (Symphytum officinale L.), common millet (Panicum milliaceum L.) and oat (Avena sativa L.) was investigated. Two different pot experiments were conducted: (1) the mentioned plant species were treated with nutrient solutions containing various amount of citric acid; (2) white lupin was cultivated in mixed culture (0 % lupin, 33 % lupin) with oat (Avena sativa L.) and soil solution was obtained by plastic suction cups placed at various depths. As a result, addition of citric acid significantly increased germanium concentrations in plant tissue of comfrey and rape and increased translocation of germanium, lanthan, neodymium, gadolinium and erbium from root to shoot. The cultivation of white lupin in mixed culture with oat led to significantly higher concentrations of germanium and increasing concentrations of lanthan, neodymium, gadolinium and erbium in soil solution and aboveground plant tissue. In these pots concentrations of citric acid in soil solution were significantly higher than in the control. The results show, that low molecular organic acids exuded by plant roots are of great importance for the mobilization of germanium

  6. Thickness, Doping Accuracy, and Roughness Control in Graded Germanium Doped Ch{sub x} Micro-shells for Lmj

    Energy Technology Data Exchange (ETDEWEB)

    Legay, G.; Theobald, M.; Barnouin, J.; Peche, E.; Bednarczyk, S.; Hermerel, C. [CEA Valduc, Dept Rech Mat Nucl, Serv Microcibles, 21 - Is-sur-Tille (France)

    2009-05-15

    In the Commissariat a l'Energie Atomique Laser Megajoule (LMJ) facility, amorphous hydrogenated carbon (a-C: H or CH{sub x}) is the nominal ablator used to achieve inertial confinement fusion experiments. These targets are filled with of fusible mixture of deuterium-tritium in order to perform ignition. The a-C: H shell is deposited on a poly-alpha-methylstyrene (PAMS) mandrel by glow discharge polymerization with trans-2-butene, hydrogen, and helium. Graded germanium doped CH{sub x} micro-shells are supposed to be more stable regarding hydrodynamic instabilities. The shells are composed of four layers for a total thickness of 180 {mu}m. The germanium gradient is obtained by doping the different a-C: H layers with the addition of tetra-methylgermanium in the gas mixture. As the achievement of ignition greatly depends on the physical properties of the shell, the thicknesses, doping concentration, and roughness must be precisely controlled. Quartz microbalances were used to perform an in situ and real-time measurement of the thickness in order to reduce the variations and so our fabrication tolerances on each layer thickness. Ex situ control of the thickness of each layer was carried out, with both optical coherent tomography and interferometry, (wall-mapper). High-quality, PAMS and a rolling system have been used to lower the low-mode roughness [root-mean-square (rms) (mode 2) {<=} 70 nm]. High modes were clearly, reduced by, coating the pan containing the shells with polyvinyl alcohol + CH{sub x} instead of polystyrene + CH{sub x} resulting in an rms ({>=}mode 10) {<=} 20 nm, which can be {<=}15 nm for the best micro-shells. The germanium concentration (0. 4 and 0. 75 at. %) in the a-CH layer is obtained by regulating the tetramethyl-germanium flow. Low range mass flow controllers have been used to improve the doping accuracy. (authors)

  7. [Accuracy of attenuation coefficient obtained by 137Cs single-transmission scanning in PET: comparison with conventional germanium line source].

    Science.gov (United States)

    Matsumoto, Keiichi; Kitamura, Keishi; Mizuta, Tetsuro; Shimizu, Keiji; Murase, Kenya; Senda, Michio

    2006-02-20

    Transmission scanning can be successfully performed with a Cs-137 single-photon-emitting point source for three-dimensional PET imaging. This method was effective for postinjection transmission scanning because of differences in physical energy. However, scatter contamination in the transmission data lowers measured attenuation coefficients. The purpose of this study was to investigate the accuracy of the influence of object scattering by measuring the attenuation coefficients on the transmission images. We also compared the results with the conventional germanium line source method. Two different types of PET scanner, the SET-3000 G/X (Shimadzu Corp.) and ECAT EXACT HR(+) (Siemens/CTI) , were used. For the transmission scanning, the SET-3000 G/X and ECAT HR(+) were the Cs-137 point source and Ge-68/Ga-68 line source, respectively. With the SET-3000 G/X, we performed transmission measurement at two energy gate settings, the standard 600-800 keV as well as 500-800 keV. The energy gate setting of the ECAT HR(+) was 350-650 keV. The effects of scattering in a uniform phantom with different cross-sectional areas ranging from 201 cm(2) to 314 cm(2) to 628 cm(2) (apposition of the two 20 cm diameter phantoms) and 943 cm(2) (stacking of the three 20 cm diameter phantoms) were acquired without emission activity. First, we evaluated the attenuation coefficients of the two different types of transmission scanning using region of interest (ROI) analysis. In addition, we evaluated the attenuation coefficients with and without segmentation for Cs-137 transmission images using the same analysis. The segmentation method was a histogram-based soft-tissue segmentation process that can also be applied to reconstructed transmission images. In the Cs-137 experiment, the maximum underestimation was 3% without segmentation, which was reduced to less than 1% with segmentation at the center of the largest phantom. In the Ge-68/Ga-68 experiment, the difference in mean attenuation

  8. Accuracy of attenuation coefficient obtained by 137Cs single-transmission scanning in PET. Comparison with conventional germanium line source

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Shimizu, Keiji; Senda, Michio; Kitamura, Keishi; Mizuta, Tetsuro; Murase, Kenya

    2006-01-01

    Transmission scanning can be successfully performed with a Cs-137 single-photon-emitting point source for three-dimensional PET imaging. This method was effective for postinjection transmission scanning because of differences in physical energy. However, scatter contamination in the transmission data lowers measured attenuation coefficients. The purpose of this study was to investigate the accuracy of the influence of object scattering by measuring the attenuation coefficients on the transmission images. We also compared the results with the conventional germanium line source method. Two different types of PET scanner, the SET-3000 G/X (Shimadzu Corp.) and ECAT EXACT HR + (Siemens/CTI), were used. For the transmission scanning, the SET-3000 G/X and ECAT HR + were the Cs-137 point source and Ge-68/Ga-68 line source, respectively. With the SET-3000 G/X, we performed transmission measurement at two energy gate settings, the standard 600-800 keV as well as 500-800 keV. The energy gate setting of the ECAT HR 2 + was 350-650 keV. The effects of scattering in a uniform phantom with different cross-sectional areas ranging from 201 cm 2 to 314 cm 2 to 628 cm 2 (apposition of the two 20 cm diameter phantoms) and 943 cm 2 (stacking of the three 20 cm diameter phantoms) were acquired without emission activity. First, we evaluated the attenuation coefficients of the two different types of transmission scanning using region of interest (ROI) analysis. In addition, we evaluated the attenuation coefficients with and without segmentation for Cs-137 transmission images using the same analysis. The segmentation method was a histogram-based soft-tissue segmentation process that can also be applied to reconstructed transmission images. In the Cs-137 experiment, the maximum underestimation was 3% without segmentation, which was reduced to less than 1% with segmentation at the center of the largest phantom. In the Ge-68/Ga-68 experiment, the difference in mean attenuation coefficients

  9. Advanced characterization of carrier profiles in germanium using micro-machined contact probes

    DEFF Research Database (Denmark)

    Clarysse, T.; Konttinen, M.; Parmentier, B.

    2012-01-01

    of new concepts based on micro machined, closely spaced contact probes (10 μm pitch). When using four probes to perform sheet resistance measurements, a quantitative carrier profile extraction based on the evolution of the sheet resistance versus depth along a beveled surface is obtained. Considering...... the properties of both approaches on Al+ implants in germanium with different anneal treatments....

  10. Calibration curve for germanium spectrometers from solutions calibrated by liquid scintillation counting

    International Nuclear Information System (INIS)

    Grau, A.; Navarro, N.; Rodriguez, L.; Alvarez, A.; Salvador, S.; Diaz, C.

    1996-01-01

    The beta-gamma emitters ''60Co, ''137 Cs, ''131 I, ''210 Pb y ''129 Iare radionuclides for which the calibration by the CIEMAT/NIST method ispossible with uncertainties less than 1%. We prepared, from standardized solutions of these radionuclides, samples in vials of 20 ml. We obtained the calibration curves, efficiency as a function of energy, for two germanium detectors. (Author) 5 refs

  11. Formation probabilities and relaxation rates of muon states in germanium

    International Nuclear Information System (INIS)

    Clawson, C.W.; Haller, E.E.; Crowe, K.M.; Rosenblum, S.S.; Brewer, J.H.; British Columbia Univ., Vancouver

    1981-01-01

    We report the first results of a study of the muonium states in ultra-pure germanium crystals grown under a variety of conditions at Lawrence Berkeley Laboratory. Among the variations studied are: 1) Hydrogen, deuterium, or nitrogen atmosphere during growth; 2) Dislocation-free vs. dislocated crystals; 3) Grown from quartz, graphite, and pyrolytic graphite coated quartz crucibles; 4) n-type vs. p-type. We report a significant difference in the muonium relaxation rate between the dislocated and non-dislocated crystals. (orig.)

  12. Direct band gap electroluminescence from bulk germanium at room temperature using an asymmetric fin type metal/germanium/metal structure

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dong, E-mail: wang.dong.539@m.kyushu-u.ac.jp; Maekura, Takayuki; Kamezawa, Sho [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Yamamoto, Keisuke; Nakashima, Hiroshi [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2015-02-16

    We demonstrated direct band gap (DBG) electroluminescence (EL) at room temperature from n-type bulk germanium (Ge) using a fin type asymmetric lateral metal/Ge/metal structure with TiN/Ge and HfGe/Ge contacts, which was fabricated using a low temperature (<400 °C) process. Small electron and hole barrier heights were obtained for TiN/Ge and HfGe/Ge contacts, respectively. DBG EL spectrum peaked at 1.55 μm was clearly observed even at a small current density of 2.2 μA/μm. Superlinear increase in EL intensity was also observed with increasing current density, due to superlinear increase in population of elections in direct conduction band. The efficiency of hole injection was also clarified.

  13. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  14. Grafting of Oligo(ethylene glycol) Functionalized Calix[4]arene-tetra-diazonium Salts for Antifouling Germanium and Gold Surfaces.

    Science.gov (United States)

    Blond, Pascale; Mattiuzzi, Alice; Valkenier, Hennie; Troian-Gautier, Ludovic; Bergamini, Jean-François; Doneux, Thomas; Goormaghtigh, Erik; Raussens, Vincent; Jabin, Ivan

    2018-05-03

    Biosensors that can determine protein concentration and structure are highly desired for biomedical applications. For the development of such biosensors, the use of Fourier transformed infra-red (FTIR) spectroscopy with the attenuated internal total reflection (ATR) configuration is particularly attractive but it requires appropriate surface functionalization of the ATR optical element. Indeed, the surface has to specifically interact with a target protein in close contact with the optical element and must display antifouling properties to prevent nonspecific adsorption of other proteins. We here report robust monolayers of calix[4]arenes bearing oEGs chains, which were grafted on germanium and gold surfaces via their tetra-diazonium salts. The formation of monolayers of oEGylated calix[4]arenes was confirmed by AFM, IR and contact angle measurements. The antifouling properties of these modified surfaces were studied by ATR-FTIR spectroscopy and fluorescence microscopy and the non-specific absorption of BSA was found to be reduced by 85% compared to non-modified germanium. In other words, the organic coating by oEGylated calix[4]arenes provides remarkable antifouling properties, opening the way to the design of germanium- and gold-based biosensors.

  15. Enterovirus D68

    Science.gov (United States)

    Non-polio enterovirus ... Centers for Disease Control and Prevention website. Enterovirus D68. www.cdc.gov/non-polio-enterovirus/about/ev-d68.html#us . Updated October 20, 2017. Accessed October 26, 2017. Romero JR, Modlin ...

  16. Irradiation induced defects containing oxygen atoms in germanium crystal as studied by deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Fukuoka, Noboru; Kambe, Yoshiyuki; Saito, Haruo; Matsuda, Koji.

    1984-05-01

    Deep level transient spectroscopy was applied to the electron trapping levels which are associated with the irradiation induced lattice defects in germanium crystals. The germanium crystals used in the study were doped with oxygen, antimony or arsenic and the defects were formed by electron irradiation of 1.5MeV or 10MeV. The nature of so called ''thermal defect'' formed by heat treatment at about 670K was also studied. The trapping levels at Esub(c)-0.13eV, Esub(c)-0.25eV and Esub(c)-0.29eV were found to be associated with defects containing oxygen atoms. From the experimental results the Esub(c)-0.25eV level was attributed to the germanium A-center (interstitial oxygen atom-vacancy pair). Another defect associated with the 715cm -1 infrared absorption band was found to have a trapping level at the same position at Esub(c)-0.25eV. The Esub(c)-0.23eV and Esub(c)-0.1eV levels were revealed to be associated with thermal donors formed by heat treatment at about 670K. Additional two peaks (levels) were observed in the DLTS spectrum. The annealing behavior of the levels suggests that the thermal donors originate from not a single type but several types of defects. (author)

  17. Effect of germanium concentrations on tunnelling current calculation of Si/Si1-xGex/Si heterojunction bipolar transistor

    Science.gov (United States)

    Hasanah, L.; Suhendi, E.; Khairrurijal

    2018-05-01

    Tunelling current calculation on Si/Si1-xGex/Si heterojunction bipolar transistor was carried out by including the coupling between transversal and longitudinal components of electron motion. The calculation results indicated that the coupling between kinetic energy in parallel and perpendicular to S1-xGex barrier surface affected tunneling current significantly when electron velocity was faster than 1x105 m/s. This analytical tunneling current model was then used to study how the germanium concentration in base to Si/Si1-xGex/Si heterojunction bipolar transistor influenced the tunneling current. It is obtained that tunneling current increased as the germanium concentration given in base decreased.

  18. Measurement of the quantum conductance of germanium by an electrochemical scanning tunneling microscope break junction based on a jump-to-contact mechanism.

    Science.gov (United States)

    Xie, Xufen; Yan, Jiawei; Liang, Jinghong; Li, Jijun; Zhang, Meng; Mao, Bingwei

    2013-10-01

    We present quantum conductance measurements of germanium by means of an electrochemical scanning tunneling microscope (STM) break junction based on a jump-to-contact mechanism. Germanium nanowires between a platinum/iridium tip and different substrates were constructed to measure the quantum conductance. By applying appropriate potentials to the substrate and the tip, the process of heterogeneous contact and homogeneous breakage was realized. Typical conductance traces exhibit steps at 0.025 and 0.05 G0. The conductance histogram indicates that the conductance of germanium nanowires is located between 0.02 and 0.15 G0 in the low-conductance region and is free from the influence of substrate materials. However, the distribution of conductance plateaus is too discrete to display distinct peaks in the conductance histogram of the high-conductance region. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Preliminary PET/CT Imaging with Somatostatin Analogs [68Ga]DOTAGA-TATE and [68Ga]DOTAGA-TOC.

    Science.gov (United States)

    Satpati, Drishty; Shinto, Ajit; Kamaleshwaran, K K; Sarma, Haladhar Dev; Dash, Ashutosh

    2017-12-01

    Somatostatin receptor positron emission tomography/X-ray computed tomography (SSTR-PET/CT) is a well-established technique for staging and detection of neuroendocrine tumors (NETs). Ga-68-labeled DOTA-conjugated octreotide analogs are the privileged radiotracers for diagnosis and therapeutic monitoring of NETs. Hence, we were interested in assessing the influence of promising, newer variant DOTAGA on the hydrophilicity, pharmacokinetics, and lesion pick-up of somatostatin analogs. Herein, the potential of ([ 68 Ga]DOTAGA, Tyr 3 , Thr 8 ) octreotide ([ 68 Ga]DOTAGA-TATE) and ([ 68 Ga]DOTAGA, Tyr 3 ) octreotide ([ 68 Ga]DOTAGA-TOC) as NET imaging agents has been investigated. Amenability of [ 68 Ga]DOTAGA-(TATE/TOC) to kit-type formulation has been demonstrated. Biodistribution studies were carried out in normal rats at 1 h post-injection (p.i.). [ 68 Ga]DOTAGA-(TATE/TOC) PET/CT scans were carried out in patients (70-170 MBq, 1 h p.i.) with histologically confirmed well-differentiated NETs. [ 68 Ga]DOTAGA-TATE exhibited hydrophilicity similar to [ 68 Ga]DOTA-TATE (log P = -3.51 vs -3.69) whereas [ 68 Ga]DOTAGA-TOC was more hydrophilic than [ 68 Ga]DOTA-TOC (log P = -3.27 vs -2.93). [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTA-TATE showed almost identical blood and kidney uptake in normal rats whereas significantly fast clearance (p TOC also demonstrated rapid clearance from blood and kidneys (p TOC. The metastatic lesions in NET patients were well identified by [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTAGA-TOC. The phenomenal analogy was observed between [ 68 Ga]DOTAGA-TATE and [ 68 Ga]DOTA-TATE as well as between [ 68 Ga]DOTAGA-TOC and [ 68 Ga]DOTA-TOC in biodistribution studies in rats. The good lesion detection ability of the two radiotracers indicates their potential as NET imaging radiotracers.

  20. Optical Activation of Germanium Plasmonic Antennas in the Mid-Infrared

    Science.gov (United States)

    Fischer, Marco P.; Schmidt, Christian; Sakat, Emilie; Stock, Johannes; Samarelli, Antonio; Frigerio, Jacopo; Ortolani, Michele; Paul, Douglas J.; Isella, Giovanni; Leitenstorfer, Alfred; Biagioni, Paolo; Brida, Daniele

    2016-07-01

    Impulsive interband excitation with femtosecond near-infrared pulses establishes a plasma response in intrinsic germanium structures fabricated on a silicon substrate. This direct approach activates the plasmonic resonance of the Ge structures and enables their use as optical antennas up to the mid-infrared spectral range. The optical switching lasts for hundreds of picoseconds until charge recombination redshifts the plasma frequency. The full behavior of the structures is modeled by the electrodynamic response established by an electron-hole plasma in a regular array of antennas.

  1. Germanium detectors and natural radioactivity in food

    Energy Technology Data Exchange (ETDEWEB)

    Garbini, Lucia [Max-Planck-Institut fuer Physik, Muenchen (Germany); Collaboration: GeDet-Collaboration

    2013-07-01

    Potassium is a very important mineral for many physiological processes, like fluid balance, protein synthesis and signal transmission in nerves. Many aliments like raisins, bananas or chocolate contain potassium. Natural potassium contains 0.012% of the radioactive isotope Potassium 40. This isotope decays via β{sup +} decay into a metastable state of Argon 40, which reaches its ground state emitting a gamma of 1460 keV. A commercially produced Germanium detector has been used to measure the energy spectra of different selected food samples. It was calibrated with KCl and potassium contents were extracted. Results verify the high potassium content of commonly recommended food samples. However, the measurement quantitatively differ from the expectations in several cases. One of the most interesting results concerns chocolate bars with different percentages of cacao.

  2. Averaged cross sections for the reactions {sup 68}Zn(n,p){sup 68g}Cu and {sup 68}Zn(n,p){sup 68m}Cu for a {sup 235}U fission neutron spectrum

    Energy Technology Data Exchange (ETDEWEB)

    Kestelman, A.J. [Laboratorio de Analisis por Activacion Neutronica, Centro Atomico Bariloche e Instituto Balseiro, Comision Nacional de Energia Atomica y Universidad Nacional de Cuyo, 8400 Bariloche (Argentina)]. E-mail: kestelma@cab.cnea.gov.ar; Ribeiro Guevara, S. [Laboratorio de Analisis por Activacion Neutronica, Centro Atomico Bariloche e Instituto Balseiro, Comision Nacional de Energia Atomica y Universidad Nacional de Cuyo, 8400 Bariloche (Argentina); Arribere, M.A. [Laboratorio de Analisis por Activacion Neutronica, Centro Atomico Bariloche e Instituto Balseiro, Comision Nacional de Energia Atomica y Universidad Nacional de Cuyo, 8400 Bariloche (Argentina); Cohen, I.M. [Universidad Tecnologica Nacional, Facultad Regional Buenos Aires, Medrano 951 (C1179AAQ) Buenos Aires (Argentina)

    2007-07-15

    Making use of the method developed in our laboratory for the simultaneous determination of cross sections leading to both the ground and metastable states, we have measured the {sup 68}Zn(n,p){sup 68g}Cu and {sup 68}Zn(n,p){sup 68m}Cu reactions, using Zn enriched to 99.4% in its isotope {sup 68}Zn. The measured cross sections are (15.04{+-}0.35) and (3.69{+-}0.30) {mu}b for the ground and metastable state, respectively. However, a direct determination of the cross section leading to the metastable state gives a value of (4.75{+-}0.38) {mu}b. A possible reason for this discrepancy-which is outside experimental uncertainties-is that some tabulated values used in our calculations for the decay parameters of {sup 68g}Cu and {sup 68m}Cu, have either larger than quoted, or unknown systematic, uncertainties.

  3. Phase transformations in chalkogenides of germanium subgroup elements and in alloys on their base at high pressures

    International Nuclear Information System (INIS)

    Skums, V.F.; Skoropanov, A.S.; Vecher, A.A.

    1990-01-01

    An attempt was made to systematize and analyze the available data on behaviour of chalkogenides of germanium subgroup elements and their alloys at high pressures, as applied to the problem of their use as reference materials for pressure determination. It is shown that phase transformations, accompanied by sharp change of electric resistance, are observed in chalkogenides of cubic and rhombohedral structures (lead, tin (SnTe) and germanium (GeTe)) under the effect of high pressures. It was established that electric resistance in the region of phase transformation (electric signal) depended on the type and concentration of current carriers: electric sigual grew with decrease of current carrier concentration; substances with p-type of conductivity were characterized by lower electric signal, as compared to substances with n-conductivety

  4. Influence of reductant and germanium concentration on the growth and stress development of germanium nanocrystals in silicon oxide matrix

    International Nuclear Information System (INIS)

    Chew, H G; Zheng, F; Choi, W K; Chim, W K; Foo, Y L; Fitzgerald, E A

    2007-01-01

    Germanium (Ge) nanocrystals have been synthesized by annealing co-sputtered SiO 2 -Ge samples in N 2 or forming gas (90% N 2 +10% H 2 ) at temperatures ranging from 700 to 1000 deg. C. We concluded that the annealing ambient, temperature and Ge concentration have a significant influence on the formation and evolution of the nanocrystals. We showed that a careful selective etching of the annealed samples in hydrofluoric acid solution enabled the embedded Ge nanocrystals to be liberated from the SiO 2 matrix. From the Raman results of the as-grown and the liberated nanocrystals, we established that the nanocrystals generally experienced compressive stress in the oxide matrix and the evolution of these stress states was intimately linked to the distribution, density, size and quality of the Ge nanocrystals

  5. Nanorods of Silicon and Germanium with Well-Defined Shapes and Sizes

    Energy Technology Data Exchange (ETDEWEB)

    Slavi C. Sevov

    2012-05-03

    We have made number of important discoveries along the major goals of the project, namely i) electrodeposition of germanium thin films from clusters, ii) synthesis of cluster-based surfactants with long hydrocarbon chains and micelles made of them, iii) grafting of Ge{sub 9}-clusters onto self assembled films of siloxanes attached to glass substrates, iv) doping of Ge{sub 9}-clusters, and v) expanding the clusters to ten-atom cages of Ge{sub 10}{sup 2-}.

  6. Fabrication and research of high purity germanium detectors with abrupt and thin diffusion layer

    International Nuclear Information System (INIS)

    Rodriguez Cabal, A. E.; Diaz Garcia, A.

    1997-01-01

    A different high purity germanium detector's fabrication method is described. A very thin diffusion film with an abrupt change of the type of conductivity is obtained. The fine diffusion layer thickness makes possibly their utilization in experimental systems in which all the data are elaborated directly on the computer. (author) [es

  7. Quantitative spectrographic determination of traces of germanium in lignite; Determinacion Espectrografica Cuantitativa de trazas de Germanio en Lignitos

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M; Roca, M

    1972-07-01

    A burning technique in a d.c. arc at 10 amp has been employed. The standards have been prepared from a natural lignite with a low germanium content. In order to enhance sensitivity, AgCl, K{sub 2}SO{sub 4}, CuF{sub 2}, Sb{sub 2}S{sub 3} and Bi{sub 2}S{sub 3} have been tested as sweeping materials. Using 2% CuF{sub 2} a detection limit of 1 ppm germanium is attainable. Bi, Cu, Sb and Sn have been studied as internal standards: the former leads to the, highest precision (1 6%). Results show good agreement with those obtained by the addition method. (Author) 6 refs.

  8. Tunable band gap emission and surface passivation of germanium nanocrystals synthesized in the gas phase

    NARCIS (Netherlands)

    Wheeler, LM; Levij, L.M.; Kortshagen, U.R.

    2013-01-01

    The narrow bulk band gap and large exciton Bohr radius of germanium (Ge) make it an attractive material for optoelectronics utilizing band-gap-tunable photoluminescence (PL). However, realization of PL due to quantum confinement remains scarcely reported. Instead, PL is often observed from surface

  9. The distribution of gallium, germanium and indium in conventional and non-conventional resources. Implications for global availability

    Energy Technology Data Exchange (ETDEWEB)

    Frenzel, Max

    2016-10-25

    Over the past 10 years, increased interest in the supply security of metal and mineral raw materials has resulted in the compilation of many lists of materials of particular concern. These materials are generally referred to as 'critical'. They are perceived to be both of high economic importance, as well as subject to high supply risks. Of particular relevance with respect to supply risk is the assessment of geological risk factors. However, this aspect is not considered in sufficient detail in most studies. In particular, the specific features of elements won as by-products are not adequately represented in any assessment. Yet many of these elements are often classified as critical, mostly due to their apparent importance in high-tech applications, the intransparency of their respective markets and resulting price volatility, and the concentration of their production in China. Gallium, germanium and indium are all good examples of such elements. All three are similar in many respects, and commonly have a similar rating in both the economic importance and supply risk dimensions. The aim of this work was to use these three elements as examples, and investigate whether they are truly as similar as current assessments suggest, or whether there are large underlying differences in their specific supply situations. In particular, the focus was on physical supply limitations: Since by-products can only be extracted with other main-product raw materials, their rate of extraction is limited by the extraction rate of these main products. This means that the relevant quantities for an assessment of their physical supply limitations are not reserves and/or resources, but supply potentials. The supply potential is the quantity of a given by-product which could theoretically be extracted under current market conditions (price, technology) per year if all suitable raw materials were processed accordingly. To assess the supply potentials of gallium, germanium and indium

  10. Characteristic features of the behaviour of deep centers in especially pure germanium

    International Nuclear Information System (INIS)

    Gloriozova, R.I.; Kolesnik, L.I.

    1993-01-01

    Method of capacitive relaxation spectroscopy was used to study spectrum of deep centers in germanium crystals of p-type conductivity with 10 11 -10 13 cm -3 charge carrier concentration, depending on dislocation density and thermal treatment. Existence of two types of centers with 0.24 and 0.32 eV ionization energies, dictating the maximum near 140 K, was established. Change of deep center concentration with time was revealed

  11. Lithium effects on the mechanical and electronic properties of germanium nanowires

    Science.gov (United States)

    González-Macías, A.; Salazar, F.; Miranda, A.; Trejo-Baños, A.; Pérez, L. A.; Carvajal, E.; Cruz-Irisson, M.

    2018-04-01

    Semiconductor nanowire arrays promise rapid development of a new generation of lithium (Li) batteries because they can store more Li atoms than conventional crystals due to their large surface areas. During the charge-discharge process, the electrodes experience internal stresses that fatigue the material and limit the useful life of the battery. The theoretical study of electronic and mechanical properties of lithiated nanowire arrays allows the designing of electrode materials that could improve battery performance. In this work, we present a density functional theory study of the electronic band structure, formation energy, binding energy, and Young’s modulus (Y) of hydrogen passivated germanium nanowires (H-GeNWs) grown along the [111] and [001] crystallographic directions with surface and interstitial Li atoms. The results show that the germanium nanowires (GeNWs) with surface Li atoms maintain their semiconducting behavior but their energy gap size decreases when the Li concentration grows. In contrast, the GeNWs can have semiconductor or metallic behavior depending on the concentration of the interstitial Li atoms. On the other hand, Y is an indicator of the structural changes that GeNWs suffer due to the concentration of Li atoms. For surface Li atoms, Y stays almost constant, whereas for interstitial Li atoms, the Y values indicate important structural changes in the GeNWs.

  12. Development of a nano-zirconia based {sup 68}Ge/{sup 68}Ga generator for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Chakravarty, Rubel [Radiopharmaceuticals Division, Bhabha Atomic Research Centre, Mumbai-400085 (India); Shukla, Rakesh [Chemistry Division, Bhabha Atomic Research Centre, Mumbai-400085 (India); Ram, Ramu [Radiopharmaceuticals Division, Bhabha Atomic Research Centre, Mumbai-400085 (India); Tyagi, Avesh Kumar [Chemistry Division, Bhabha Atomic Research Centre, Mumbai-400085 (India); Dash, Ashutosh, E-mail: adash@barc.gov.i [Radiopharmaceuticals Division, Bhabha Atomic Research Centre, Mumbai-400085 (India); Venkatesh, Meera [Radiopharmaceuticals Division, Bhabha Atomic Research Centre, Mumbai-400085 (India)

    2011-05-15

    Introduction: Most of the commercially available {sup 68}Ge/{sup 68}Ga generator systems are not optimally designed for direct applications in a clinical context. We have developed a nano-zirconia based {sup 68}Ge/{sup 68}Ga generator system for accessing {sup 68}Ga amenable for the preparation of radiopharmaceuticals. Methods: Nano-zirconia was synthesized by the in situ reaction of zirconyl chloride with ammonium hydroxide in alkaline medium. The physical characteristics of the material were studied by various analytical techniques. A 740 MBq (20 mCi) {sup 68}Ge/{sup 68}Ga generator was developed using this sorbent and its performance was evaluated for a period of 1 year. The suitability of {sup 68}Ga for labeling biomolecules was ascertained by labeling DOTA-TATE with {sup 68}Ga. Results: The material synthesized was nanocrystalline with average particle size of {approx}7 nm, pore-size of {approx}4 A and a high surface area of 340{+-}10 m{sup 2} g{sup -1}. {sup 68}Ga could be regularly eluted from this generator in 0.01N HCl medium with an overall radiochemical yield >80% and with high radionuclidic (<10{sup -5}% of {sup 68}Ge impurity) and chemical purity (<0.1 ppm of Zr, Fe and Mn ions). The compatibility of the product for preparation of {sup 68}Ga-labeled DOTA-TATE under the optimized reaction conditions was found to be satisfactory in terms of high labeling yields (>99%). The generator gave a consistent performance with respect to the elution yield and purity of {sup 68}Ga over a period of 1 year. Conclusions: The feasibility of preparing an efficient {sup 68}Ge/{sup 68}Ga generator which can directly be used for biomedical applications has been demonstrated.

  13. Long-wavelength germanium photodetectors by ion implantation

    International Nuclear Information System (INIS)

    Wu, I.C.; Beeman, J.W.; Luke, P.N.; Hansen, W.L.; Haller, E.E.

    1990-11-01

    Extrinsic far-infrared photoconductivity in thin high-purity germanium wafers implanted with multiple-energy boron ions has been investigated. Initial results from Fourier transform spectrometer(FTS) measurements have demonstrated that photodetectors fabricated from this material have an extended long-wavelength threshold near 192μm. Due to the high-purity substrate, the ability to block the hopping conduction in the implanted IR-active layer yields dark currents of less than 100 electrons/sec at temperatures below 1.3 K under an operating bias of up to 70 mV. Optimum peak responsivity and noise equivalent power (NEP) for these sensitive detectors are 0.9 A/W and 5 x 10 -16 W/Hz 1/2 at 99 μm, respectively. The dependence of the performance of devices on the residual donor concentration in the implanted layer will be discussed. 12 refs., 4 figs

  14. Interactions of germanium atoms with silica surfaces

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Coffee, Shawn S.; Ekerdt, John G.

    2005-01-01

    GeH 4 is thermally cracked over a hot filament depositing 0.7-15 ML Ge onto 2-7 nm SiO 2 /Si(1 0 0) at substrate temperatures of 300-970 K. Ge bonding changes are analyzed during annealing with X-ray photoelectron spectroscopy. Ge, GeH x , GeO, and GeO 2 desorption is monitored through temperature programmed desorption in the temperature range 300-1000 K. Low temperature desorption features are attributed to GeO and GeH 4 . No GeO 2 desorption is observed, but GeO 2 decomposition to Ge through high temperature pathways is seen above 750 K. Germanium oxidization results from Ge etching of the oxide substrate. With these results, explanations for the failure of conventional chemical vapor deposition to produce Ge nanocrystals on SiO 2 surfaces are proposed

  15. Gamma ray polarimetry using a position sensitive germanium detector

    CERN Document Server

    Kroeger, R A; Kurfess, J D; Phlips, B F

    1999-01-01

    Imaging gamma-ray detectors make sensitive polarimeters in the Compton energy regime by measuring the scatter direction of gamma rays. The principle is to capitalize on the angular dependence of the Compton scattering cross section to polarized gamma rays and measure the distribution of scatter directions within the detector. This technique is effective in a double-sided germanium detector between roughly 50 keV and 1 MeV. This paper reviews device characteristics important to the optimization of a Compton polarimeter, and summarizes measurements we have made using a device with a 5x5 cm active area, 1 cm thickness, and strip-electrodes on a 2 mm pitch.

  16. Multiple pulse traveling wave excitation of neon-like germanium

    International Nuclear Information System (INIS)

    Moreno, J. C.; Nilsen, J.; Silva, L. B. da

    1995-01-01

    Traveling wave excitation has been shown to significantly increase the output intensity of the neon-like germanium x-ray laser. The driving laser pulse consisted of three 100 ps Gaussian laser pulses separated by 400 ps. Traveling wave excitation was employed by tilting the wave front of the driving laser by 45 degrees to match the propagation speed of the x-ray laser photons along the length of the target. We show results of experiments with the traveling wave, with no traveling wave, and against the traveling wave and comparisons to a numerical model. Gain was inferred from line intensity measurements at two lengths

  17. Charge collection performance of a segmented planar high-purity germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Cooper, R.J. [Department of Physics, The University of Liverpool, Oliver Lodge Laboratory, Liverpool Merseyside L69 7ZE (United Kingdom)], E-mail: R.Cooper@liverpool.ac.uk; Boston, A.J.; Boston, H.C.; Cresswell, J.R.; Grint, A.N.; Harkness, L.J.; Nolan, P.J.; Oxley, D.C.; Scraggs, D.P. [Department of Physics, The University of Liverpool, Oliver Lodge Laboratory, Liverpool Merseyside L69 7ZE (United Kingdom); Lazarus, I.; Simpson, J. [STFC Daresbury Laboratory, Warrington, Cheshire WA4 4AD (United Kingdom); Dobson, J. [Rosemere Cancer Centre, Royal Preston Hospital, Preston PR2 9HT (United Kingdom)

    2008-10-01

    High-precision scans of a segmented planar high-purity germanium (HPGe) detector have been performed with a range of finely collimated gamma ray beams allowing the response as a function of gamma ray interaction position to be quantified. This has allowed the development of parametric pulse shape analysis (PSA) techniques and algorithms for the correction of imperfections in performance. In this paper we report on the performance of this detector, designed for use in a positron emission tomography (PET) development system.

  18. Neutron Transmutation Doped (NTD) germanium thermistors for sub-mm bolometer applications

    Science.gov (United States)

    Haller, E. E.; Itoh, K. M.; Beeman, J. W.

    1996-01-01

    Recent advances in the development of neutron transmutation doped (NTD) semiconductor thermistors fabricated from natural and controlled isotopic composition germanium are reported. The near ideal doping uniformity that can be achieved with the NTD process, the device simplicity of NTD Ge thermistors and the high performance of cooled junction field effect transistor preamplifiers led to the widespread acceptance of these thermal sensors in ground-based, airborne and spaceborne radio telescopes. These features made possible the development of efficient bolometer arrays.

  19. Study of the creep of germanium bi-crystals by X ray topography and electronic microscopy

    International Nuclear Information System (INIS)

    Gay, Marie-Odile

    1981-01-01

    This research thesis addresses the study of the microscopic as well as macroscopic aspect of the role of grain boundary during deformation, by studying the creep of Germanium bi-crystals. The objective was to observe interactions of network dislocations with the boundary as well as the evolution of dislocations in each grain. During the first stages of deformation, samples have been examined by X ray topography, a technique which suits well the observation of low deformed samples, provided their initial dislocation density is very low. At higher deformation, more conventional techniques of observation of sliding systems and electronic microscopy have been used. After some general recalls, the definition of twin boundaries and of their structure in terms of dislocation, a look at germanium deformation, and an overview of works performed on bi-crystals deformation, the author presents the experimental methods and apparatuses. He reports and discusses the obtained results at the beginning of deformation as well as during next phases

  20. Annealing effect on spin density of broken bonds and on the structure of amorphous germanium

    International Nuclear Information System (INIS)

    Bukhan'ko, F.N.; Okunev, V.D.; Samojlenko, Z.A.

    1989-01-01

    Dependence of volumetric spin density of broken bonds in a-Ge films, produced by cathode sputtering in argon, on the annealing temperature is investigated by ESR method. The film structure is controlled by the X-ray method. Two ESR lines with g=2.019 and g=2.003, their intensities changing non-monotonously with annealing temperature are observed. The line with g=2.019 is typical of only amorphous germanium state, and the line with g=2.003 is preserved after film crystallization. Under comparison of results with structural data a conclusion is made that the observed lines in ESR spectra are linked with broken bonds in peripheral regions of two types of clusters. The line with g=2.003 is conditioned by broken bonds in the peripheral cluster regions with standard cubic atom packing and the line with g=2.019 is linked with clusters of hexagonal type which is not typical of crystalline germanium standard structure

  1. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  2. Molybdenum blue reaction and determination of phosphorus in waters containing arsenic, silicon, and germanium

    Science.gov (United States)

    Levine, H.; Rowe, J.J.; Grimaldi, F.S.

    1955-01-01

    Microgram amounts of phosphate are usually determined by the molybdenum blue reaction, but this reaction is not specific for phosphorus. The research established the range of conditions under which phosphate, arsenate, silicate, and germanate give the molybdenum blue reaction for differentiating these elements, and developed a method for the determination of phosphate in waters containing up to 10 p.p.m. of the oxides of germanium, arsenic(V), and silicon. With stannous chloride or 1-amino-2-naphthol-4-sulfonic acid as the reducing agent no conditions were found for distinguishing silicate from germanate and phosphate from arsenate. In the recommended procedure the phosphate is concentrated by coprecipitation on aluminum hydroxide, and coprecipitated arsenic, germanium, and silicon are volatilized by a mixture of hydrofluoric, hydrochloric, and hydrobromic acids prior to the determination of phosphate. The authors are able to report that the total phosphorus content of several samples of sea water from the Gulf of Mexico ranged from 0.018 to 0.059 mg. of phosphorus pentoxide per liter of water.

  3. Ultralow background germanium gamma-ray spectrometer using superclean materials and cosmic-ray anticoincidence

    International Nuclear Information System (INIS)

    Reeves, J.H.; Hensley, W.K.; Brodzinski, R.L.; Ryge, P.

    1983-10-01

    Efforts to measure the double beta decay of 76 Ge as predicted by Grand Unified Theories have resulted in the development of a high resolution germanium diode gamma-ray spectrometer with an exceptionally low background. This paper describes the development of this system and how these techniques can be utilized to significantly reduce the background in high resolution photon spectrometers at only a moderate cost

  4. Millimeter-Wave Receiver Concepts for 77 GHz Automotive Radar in Silicon-Germanium Technology

    CERN Document Server

    Kissinger, Dietmar

    2012-01-01

    The book presents the analysis and design of integrated automotive radar receivers in Silicon-Germanium technology, for use in complex multi-channel radar transceiver front-ends in the 77GHz frequency band. The main emphasis of the work is the realization of high-linearity and low-power modular receiver channels as well as the investigation of millimeter-wave integrated test concepts for the receiver front-end.

  5. Studies on the preparation of {sup 68}Ge-{sup 68}Ga generator with inorganic materials

    Energy Technology Data Exchange (ETDEWEB)

    Brambilla, Tania P.; Osso Junior, Joao A., E-mail: jaosso@ipen.b [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2011-07-01

    {sup 68}Ga as a positron emitter is of great interest because of some important advantages. It has a physical half-life of 67.71 min, which is compatible with the pharmacokinetics of many radiopharmaceuticals of low molecular weight. Other important characteristic is its cyclotron-independent availability via the {sup 68}Ge-{sup 68}Ga radionuclide generator system. In Brazil only one positron emitter radionuclide is produced, {sup 18}F, and the medical class has a great interest in using {sup 68}Ga labeled molecules, in particular peptides such as DOTA-octriotide. A project for developing a home made {sup 68}Ge-{sup 68}Ga is under way at IPEN-CNEN/SP. The aim of this work is to develop an efficient and simplified generator system of {sup 68}Ge-{sup 68}Ga that offers {sup 68}Ga{sup 3+} adequate for clinical use. Initial results will be reported concerning the behavior of Ge and Ga in adsorbers such as calcined acid and basic Al{sub 2}O{sub 3}, HZO (hydrous zirconium oxide), TiO{sub 2}, microspheres of Zr (Zr mic) and microspheres of Al (Al mic). Adsorption studies were carried out using {gamma}-emitting tracers, {sup 67}Ga and {sup 68}Ga and chemical tracer, GeO{sub 2}. The samples containing {sup 67}/{sup 68}Ga were analysed using a dose calibrator CRC-15R from Capintec and the samples containing Ge were evaluated by the Optical Emission Spectrometry using Inductively Coupled Plasma (ICP-OES). The ICP-OES equipment used was a Varian Vista-MPX from Varian and calibration curves for Ge were constructed in the range of 0.2 to 1.0 {mu}g.mL{sup -1}. The use of basic Al{sub 2}O{sub 3}, TiO{sub 2}, HZO and Zr mic showed the more promising results. (author)

  6. Comparison of 68Ga-OPS202 (68Ga-NODAGA-JR11) and 68Ga-DOTATOC (68Ga-Edotreotide) PET/CT in Patients with Gastroenteropancreatic Neuroendocrine Tumors: Evaluation of Sensitivity in a Prospective Phase II Imaging Study.

    Science.gov (United States)

    Nicolas, Guillaume P; Schreiter, Nils; Kaul, Felix; Uiters, John; Bouterfa, Hakim; Kaufmann, Jens; Erlanger, Tobias E; Cathomas, Richard; Christ, Emanuel; Fani, Melpomeni; Wild, Damian

    2017-11-30

    Radiolabeled somatostatin receptor (sst) agonists are integral to the diagnosis of gastroenteropancreatic neuroendocrine tumors (GEP-NETs), but detection rates, especially of liver metastases, remain disappointing even with PET/CT. 68 Ga-OPS202 ( 68 Ga-NODAGA-JR11), a novel radiolabeled sst antagonist with a high affinity for sst 2 , has the potential to perform better than sst agonists. Here we present the results of the Phase II component of a Phase I/II study, which evaluated the sensitivity of 68 Ga-OPS202 PET/CT compared with the reference compound, 68 Ga-DOTATOC (sst agonist). Methods: Patients received a single intravenous administration of 68 Ga-DOTATOC (15 µg peptide) and 68 Ga-OPS202 (15 µg peptide at visit 1; 50 µg peptide at visit 2) with an activity of 150 MBq. Whole-body PET/CT acquisitions were performed 1 h post injection on the same calibrated PET/CT scanner. Diagnostic efficacy measures were compared against contrast medium-enhanced CT or MRI as gold standard. Two independent blinded experts read the scans and both outcomes were combined for analysis. Results: Twelve consecutive patients with G1 or G2 GEP-NETs took part in this prospective study. Image contrast for matched malignant liver lesions was significantly higher for 68 Ga-OPS202 scans than for the 68 Ga-DOTATOC scan: median of the mean [interquartile] tumor-to-normal-liver SUV max ratios for 15 µg and 50 µg 68 Ga-OPS202 (5.3 [2.9 - 5.7] and 4.3 [3.4 - 6.3], respectively) were significantly higher than for 68 Ga-DOTATOC (1.9 [1.4 - 2.9]; P = 0.004 and P = 0.008, respectively). The higher tumor-to-background ratio of 68 Ga-OPS202 resulted not only in a higher detection rate of liver metastases, but also in a significantly higher lesion-based overall sensitivity with the antagonist than with 68 Ga-DOTATOC PET/CT: 94% and 88% for 50 µg and 15 µg 68 Ga-OPS202 and 59% for 15 µg 68 Ga-DOTATOC, respectively (pPET/CT and 68 Ga DOTATOC PET/CT were similar (approximately 98%). There were no

  7. Point defect engineering strategies to retard phosphorous diffusion in germanium

    KAUST Repository

    Tahini, H. A.; Chroneos, Alexander I.; Grimes, Robin W.; Schwingenschlö gl, Udo; Bracht, Hartmut A.

    2013-01-01

    The diffusion of phosphorous in germanium is very fast, requiring point defect engineering strategies to retard it in support of technological application. Density functional theory corroborated with hybrid density functional calculations are used to investigate the influence of the isovalent codopants tin and hafnium in the migration of phosphorous via the vacancy-mediated diffusion process. The migration energy barriers for phosphorous are increased significantly in the presence of oversized isovalent codopants. Therefore, it is proposed that tin and in particular hafnium codoping are efficient point defect engineering strategies to retard phosphorous migration. © the Owner Societies 2013.

  8. Dark Matter Search with sub-keV Germanium Detectors at the China Jinping Underground Laboratory

    International Nuclear Information System (INIS)

    Yue Qian; Wong, Henry T

    2012-01-01

    Germanium detectors with sub-keV sensitivities open a window to search for low-mass WIMP dark matter. The CDEX-TEXONO Collaboration is conducting the first research program at the new China Jinping Underground Laboratory with this approach. The status and plans of the laboratory and the experiment are discussed.

  9. Program LEP to addition of gamma spectra from germanium detectors; Programa LEPS para suma de espectros gammas de detectores de germanio

    Energy Technology Data Exchange (ETDEWEB)

    Romero, L

    1986-07-01

    The LEP program, written in FORTRAN IV, performs the addition of two spectra, collected with different detectors, from the same sample. This application, adds the two gamma spectra obtained from two opposite LEPS Germanium Detectors (Low Energy Photon Spectrometer), correcting the differences (channel/energy) between both two spectra, and fitting them before adding. The total-spectrum is recorded at the computer memory as a single spectrum. The necessary equipment, to run this program is: - Two opposite germanium detectors, with their associate electronics. - Multichannel analyzer (2048 memory channel minimum) - Computer on-line interfacing to multichannel analyzer. (Author) 4 refs.

  10. Insights into thermal diffusion of germanium and oxygen atoms in HfO2/GeO2/Ge gate stacks and their suppressed reaction with atomically thin AlOx interlayers

    International Nuclear Information System (INIS)

    Ogawa, Shingo; Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji; Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi

    2015-01-01

    The thermal diffusion of germanium and oxygen atoms in HfO 2 /GeO 2 /Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that 18 O-tracers composing the GeO 2 underlayers diffuse within the HfO 2 overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO 2 also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO 2 surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO x interlayers between the HfO 2 and GeO 2 layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks

  11. Dosimetric properties of germanium doped calcium borate glass subjected to 6 MV and 10 MV X-ray irradiations

    Energy Technology Data Exchange (ETDEWEB)

    Tengku Kamarul Bahri, T.N.H., E-mail: tnhidayah2@gmail.com [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, 81310 UTM Johor Bahru (Malaysia); Wagiran, H.; Hussin, R.; Saeed, M.A. [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, 81310 UTM Johor Bahru (Malaysia); Hossain, I. [Department of Physics, College of Science and Arts, King Abdul Aziz University, 21911 Rabigh (Saudi Arabia); Ali, H. [Department of Radiotherapy and Oncology, Hospital Sultan Ismail, 81100 Johor Bahru (Malaysia)

    2014-10-01

    Highlights: •The TL properties of 29.9CaO–70B{sub 2}O{sub 3}: 0.1GeO{sub 2} glass has been investigated. •We exposed glass samples to 6 MV and 10 MV in a dose range of 0.5–4.0 Gy. •This glass has a potential material to be used for application in radiotherapy. -- Abstract: Germanium doped calcium borate glasses are investigated in term of thermoluminescence properties to seek their possibility to use as glass radiation dosimeter. The samples were exposed to 6 MV, and 10 MV photon beams in a dose range of 0.5–4.0 Gy. There is a single and broad thermoluminescence glow curve that exhibits its maximum intensity at about 300 °C. Linear dose response behavior has been found in this dose range for the both photon energies. Effective atomic number, TL sensitivity, and reproducibility have also been studied. It is found that the sensitivity of germanium doped sample at 6 MV is only 1.28% and it is superior to the sensitivity at 10 MV. The reproducibility of germanium doped sample is good with a percentage of relative error less than 10%. The results indicate that this glass has a potential to be used as a radiation dosimetry, especially for application in radiotherapy.

  12. Dosimetric properties of germanium doped calcium borate glass subjected to 6 MV and 10 MV X-ray irradiations

    International Nuclear Information System (INIS)

    Tengku Kamarul Bahri, T.N.H.; Wagiran, H.; Hussin, R.; Saeed, M.A.; Hossain, I.; Ali, H.

    2014-01-01

    Highlights: •The TL properties of 29.9CaO–70B 2 O 3 : 0.1GeO 2 glass has been investigated. •We exposed glass samples to 6 MV and 10 MV in a dose range of 0.5–4.0 Gy. •This glass has a potential material to be used for application in radiotherapy. -- Abstract: Germanium doped calcium borate glasses are investigated in term of thermoluminescence properties to seek their possibility to use as glass radiation dosimeter. The samples were exposed to 6 MV, and 10 MV photon beams in a dose range of 0.5–4.0 Gy. There is a single and broad thermoluminescence glow curve that exhibits its maximum intensity at about 300 °C. Linear dose response behavior has been found in this dose range for the both photon energies. Effective atomic number, TL sensitivity, and reproducibility have also been studied. It is found that the sensitivity of germanium doped sample at 6 MV is only 1.28% and it is superior to the sensitivity at 10 MV. The reproducibility of germanium doped sample is good with a percentage of relative error less than 10%. The results indicate that this glass has a potential to be used as a radiation dosimetry, especially for application in radiotherapy

  13. A potential method using Ge{iPrNC[N(SiMe_3)_2]NiPr}{sub 2}, (Et{sub 3}Si){sub 2}Te and anhydrous hydrazine for germanium tellurides

    Energy Technology Data Exchange (ETDEWEB)

    Du, Liyong; Du, Shulei; Ding, Yuqiang [School of Chemical and Material Engineering, Jiangnan University, Wuxi (China)

    2017-12-29

    A germanium(II)-guanidine derivative of formula Ge{iPrNC[N(SiMe_3)_2]NiPr}{sub 2} (1) was synthesized and characterized by {sup 1}H NMR, {sup 13}C NMR, elemental analysis, and X-ray diffraction method. Thermal property was also studied to identify its thermal stability and volatility. More importantly, compound 1 was synthesized to develop a new method for germanium tellurides, where anhydrous hydrazine was introduced to prompt the activity of germanium(II) guanidines (or derivatives) towards (Et{sub 3}Si){sub 2}Te. Solution reaction of compound 1, (Et{sub 3}Si){sub 2}Te, and anhydrous hydrazine was investigated to pre-identify the feasibility of this combination for ALD process. The EDS data of the black precipitate from this reaction verified the potential of this method to manufacture germanium tellurides. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  15. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  16. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  17. Overview of multi-element monolithic germanium detectors for XAFS experiments at diamond light source

    International Nuclear Information System (INIS)

    Chatterji, S.; Dennis, G. J.; Dent, A.; Diaz-Moreno, S.; Cibin, G.; Tartoni, N.; Helsby, W. I.

    2016-01-01

    An overview of multi-element monolithic germanium detectors being used at the X-ray absorption spectroscopy (XAS) beam lines at Diamond Light Source (DLS) is being reported. The hardware details and a summary of the performance of these detectors have also been provided. Recent updates about various ongoing projects being worked on to improve the performance of these detectors are summarized.

  18. Overview of multi-element monolithic germanium detectors for XAFS experiments at diamond light source

    Energy Technology Data Exchange (ETDEWEB)

    Chatterji, S.; Dennis, G. J.; Dent, A.; Diaz-Moreno, S.; Cibin, G.; Tartoni, N. [Diamond Light Source Ltd, Oxfordshire (United Kingdom); Helsby, W. I. [STFC Daresbury Laboratory, Warrington (United Kingdom)

    2016-07-27

    An overview of multi-element monolithic germanium detectors being used at the X-ray absorption spectroscopy (XAS) beam lines at Diamond Light Source (DLS) is being reported. The hardware details and a summary of the performance of these detectors have also been provided. Recent updates about various ongoing projects being worked on to improve the performance of these detectors are summarized.

  19. Ultra Shallow Arsenic Junctions in Germanium Formed by Millisecond Laser Annealing

    DEFF Research Database (Denmark)

    Hellings, G.; Rosseel, E.; Simoen, E.

    2011-01-01

    Millisecond laser annealing is used to fabricate ultra shallow arsenic junctions in preamorphized and crystalline germanium, with peak temperatures up to 900 degrees C. At this temperature, As indiffusion is observed while yielding an electrically active concentration up to 5.0 x 10(19) cm(-3......) for a junction depth of 31 nm. Ge preamorphization and the consecutive solid phase epitaxial regrowth are shown to result in less diffusion and increased electrical activation. The recrystallization of the amorphized Ge layer during laser annealing is studied using transmission electron microscopy...

  20. Diffusion of E centers in germanium predicted using GGA+U approach

    KAUST Repository

    Tahini, H. A.; Bracht, H.; Chroneos, Alexander; Grimes, R. W.; Schwingenschlö gl, Udo

    2011-01-01

    Density functional theory calculations (based on GGA+U approach) are used to investigate the formation and diffusion of donor-vacancy pairs (E centers) in germanium. We conclude that depending upon the Fermi energy,E centers that incorporate for phosphorous and arsenic can form in their neutral, singly negatively or doubly negatively charged states whereas with antimony only the neutral or doubly negatively charged states are predicted. The activation energies of diffusion are compared with recent experimental work and support the idea that smaller donor atoms exhibit higher diffusionactivation energies.

  1. Direct measurement of homogeneously distributed radioactive air contamination with germanium detectors

    International Nuclear Information System (INIS)

    Sowa, W.

    1990-01-01

    Air contamination by γ emitting radionuclides was measured with a vertically arranged germanium detector, laterally shielded by a lead ring, and calibration factors and detection limits of a number of fission products determined. The possibility of measuring simultaneously existing air and soil contamination by measurements with and without lead shield is described. The change of detection limit of air contamination is presented for different soil contamination levels by the same radionuclide. Calibration factors are given to determine the dose rate on the ground due to air contamination by different radionuclides. (author)

  2. Diffusion of E centers in germanium predicted using GGA+U approach

    KAUST Repository

    Tahini, H. A.

    2011-08-17

    Density functional theory calculations (based on GGA+U approach) are used to investigate the formation and diffusion of donor-vacancy pairs (E centers) in germanium. We conclude that depending upon the Fermi energy,E centers that incorporate for phosphorous and arsenic can form in their neutral, singly negatively or doubly negatively charged states whereas with antimony only the neutral or doubly negatively charged states are predicted. The activation energies of diffusion are compared with recent experimental work and support the idea that smaller donor atoms exhibit higher diffusionactivation energies.

  3. Characteristics of SnO2-based 68Ge/68Ga generator and aspects of radiolabelling DOTA-peptides.

    Science.gov (United States)

    de Blois, Erik; Sze Chan, Ho; Naidoo, Clive; Prince, Deidre; Krenning, Eric P; Breeman, Wouter A P

    2011-02-01

    PET scintigraphy with (68)Ga-labelled analogs is of increasing interest in Nuclear Medicine and performed all over the world. Here we report the characteristics of the eluate of SnO(2)-based (68)Ge/(68)Ga generators prepared by iThemba LABS (Somerset West, South Africa). Three purification and concentration techniques of the eluate for labelling DOTA-TATE and concordant SPE purifications were investigated. Characteristics of 4 SnO(2)-based generators (range 0.4-1 GBq (68)Ga in the eluate) and several concentration techniques of the eluate (HCl) were evaluated. The elution profiles of SnO(2)-based (68)Ge/(68)Ga generators were monitored, while [HCl] of the eluens was varied from 0.3-1.0 M. Metal ions and sterility of the eluate were determined by ICP. Fractionated elution and concentration of the (68)Ga eluate were performed using anion and cation exchange. Concentrated (68)Ga eluate, using all three concentration techniques, was used for labelling of DOTA-TATE. (68)Ga-DOTA-TATE-containing solution was purified and RNP increased by SPE, therefore also 11 commercially available SPE columns were investigated. The amount of elutable (68)Ga activity varies when the concentration of the eluens, HCl, was varied, while (68)Ge activity remains virtually constant. SnO(2)-based (68)Ge/(68)Ga generator elutes at 0.6 M HCl >100% of the (68)Ga activity at calibration time and ±75% after 300 days. Eluate at discharge was sterile and Endotoxins were 80%). Highest desorption for cation purification was obtained using a solution containing 90% acetone at increasing molarity of HCl, resulted in a (68)Ga desorption of 68±8%. With all (68)Ge/(68)Ga generators and for all 3 purification methods a SA up to 50 MBq/nmol with >95% incorporation (ITLC) and RCP (radiochemical purity) by HPLC ±90% could be achieved. Purification and concentration of the eluate with anion exchange has the benefit of more elutable (68)Ga with 1 M HCl as eluens. The additional washing step of the anion column

  4. Consistency check of pulse shape discrimination for broad energy germanium detectors using double beta decay data

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Heng-Ye [Max-Planck-Institut fuer Physik, Muenchen (Germany); Collaboration: GERDA-Collaboration

    2013-07-01

    The Gerda (GERmanium Detector Array) experiment was built to study fundamental neutrino properties via neutrinoless double beta decay (0νββ). 0νββ events are single-site events (SSE) confined to a scale about millimeter. However, most of backgrounds are multi-site events (MSE). Broad Energy Germanium detectors (BEGes) offer the potential merits of improved pulse shape recognition efficiencies of SSE/MSE. They allow us to reach the goal of Phase II with a background index of 10{sup -3} cts/(keV.kg.yr) in the ROI. BEGe detectors with a total target mass of 3.63 kg have been installed to the Gerda setup in the Laboratori Nazionali del Gran Sasso (LNGS) in July 2012 and are collecting data since. A consistency check of the pulse shape discrimination (PSD) efficiencies by comparison of calibration data and 2νββ data will be presented. The PSD power of these detectors is demonstrated.

  5. 40 CFR 68.15 - Management.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Management. 68.15 Section 68.15... ACCIDENT PREVENTION PROVISIONS General § 68.15 Management. (a) The owner or operator of a stationary source with processes subject to Program 2 or Program 3 shall develop a management system to oversee the...

  6. Focusing of a new germanium counter type : the composite detector. Uses of the TREFLE detector in the EUROGAM multidetector; Mise au point d`un nouveau type de compteur germanium: le detecteur composite. Utilisation du detecteur TREFLE dans le multidetecteur EUROGAM

    Energy Technology Data Exchange (ETDEWEB)

    Han, L

    1995-05-01

    The aim of this thesis is the development of new types of germanium detectors: the composite detectors. Two types of prototypes are then conceived: the stacked planar detector (EDP) and the assembly of coaxial diodes (TREFLE). They are designed for the multidetector EUROGAM destined to the research of nuclear structure at high angular momentum. The four planar diodes of EDP detector were of 7 cm diameter and of 15 to 20 mm thick. The difference between the calculated and measured photopic efficiency is observed. The importance of surface channel induces a weak resistance of neutron damages. The sputtering method for the surface treatment reducing the germanium dead layer as well as a rule of selection concerning the impurity concentration and the thickness of crystal is helpful for the later production of germanium detector. The CLOVER detector consist of for mean size crystals in the same cryostat. The photopic efficiency is much larger than that of the greatest monocrystal detector. And the granulation of composite detector allowed the Doppler broadening correction of gamma ray observed in the nuclear reaction where the recoil velocity is very high. This new type of detector enable the linear polarization measurement of gamma ray. Twenty-four CLOVER detector are actually mounted in the EUROGAM array. The characteristics measured in source as well as in beam, reported in this thesis, meet exactly the charge account. (author). 47 refs., 61 figs., 18 tabs.

  7. Molecular precursors for the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST)

    Energy Technology Data Exchange (ETDEWEB)

    Harmgarth, Nicole; Zoerner, Florian; Engelhardt, Felix; Edelmann, Frank T. [Chemisches Institut, Otto-von-Guericke-Universitaet Magdeburg (Germany); Liebing, Phil [Laboratorium fuer Anorganische Chemie, ETH Zuerich (Switzerland); Burte, Edmund P.; Silinskas, Mindaugas [Institut fuer Mikro- und Sensorsysteme, Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2017-10-04

    This review provides an overview of the precursor chemistry that has been developed around the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST). Thin films of GST can be deposited by employing either chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques. In both cases, the success of the layer deposition crucially depends on the proper choice of suitable molecular precursors. Previously reported processes mainly relied on simple alkoxides, alkyls, amides and halides of germanium, antimony, and tellurium. More sophisticated precursor design provided a number of promising new aziridinides and guanidinates. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Tunnel current across linear homocatenated germanium chains

    International Nuclear Information System (INIS)

    Matsuura, Yukihito

    2014-01-01

    The electronic transport properties of germanium oligomers catenating into linear chains (linear Ge chains) have been theoretically studied using first principle methods. The conduction mechanism of a Ge chain sandwiched between gold electrodes was analyzed based on the density of states and the eigenstates of the molecule in a two-probe environment. Like that of silicon chains (Si chains), the highest occupied molecular orbital of Ge chains contains the extended σ-conjugation of Ge 4p orbitals at energy levels close to the Fermi level; this is in contrast to the electronic properties of linear carbon chains. Furthermore, the conductance of a Ge chain is expected to decrease exponentially with molecular length L. The decay constant β, which is defined as e −βL , of a Ge chain is similar to that of a Si chain, whereas the conductance of the Ge chains is higher than that of Si chains even though the Ge–Ge bond length is longer than the Si–Si bond length

  9. Bulk and surface event identification in p-type germanium detectors

    Science.gov (United States)

    Yang, L. T.; Li, H. B.; Wong, H. T.; Agartioglu, M.; Chen, J. H.; Jia, L. P.; Jiang, H.; Li, J.; Lin, F. K.; Lin, S. T.; Liu, S. K.; Ma, J. L.; Sevda, B.; Sharma, V.; Singh, L.; Singh, M. K.; Singh, M. K.; Soma, A. K.; Sonay, A.; Yang, S. W.; Wang, L.; Wang, Q.; Yue, Q.; Zhao, W.

    2018-04-01

    The p-type point-contact germanium detectors have been adopted for light dark matter WIMP searches and the studies of low energy neutrino physics. These detectors exhibit anomalous behavior to events located at the surface layer. The previous spectral shape method to identify these surface events from the bulk signals relies on spectral shape assumptions and the use of external calibration sources. We report an improved method in separating them by taking the ratios among different categories of in situ event samples as calibration sources. Data from CDEX-1 and TEXONO experiments are re-examined using the ratio method. Results are shown to be consistent with the spectral shape method.

  10. Co-doping with antimony to control phosphorous diffusion in germanium

    KAUST Repository

    Tahini, H. A.

    2013-02-15

    In germanium, phosphorous and antimony diffuse quickly and as such their transport must be controlled in order to design efficient n-typed doped regions. Here, density functional theory based calculations are used to predict the influence of double donor co-doping on the migration activation energies of vacancy-mediated diffusion processes. The migration energy barriers for phosphorous and antimony were found to be increased significantly when larger clusters involving two donor atoms and a vacancy were formed. These clusters are energetically stable and can lead to the formation of even larger clusters involving a number of donor atoms around a vacancy, thereby affecting the properties of devices.

  11. 40 CFR 68.12 - General requirements.

    Science.gov (United States)

    2010-07-01

    ...) CHEMICAL ACCIDENT PREVENTION PROVISIONS General § 68.12 General requirements. (a) General requirements. The... the five-year accident history for the process as provided in § 68.42 of this part and submit it in... §§ 68.150 to 68.185. The RMP shall include a registration that reflects all covered processes. (b...

  12. Reaction studies of hot silicon and germanium radicals. Progress report, February 1, 1982-July 31, 1984

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1984-01-01

    The experimental approach toward attaining the goals of this research program is briefly outlined, and the progress made in the 1982 to 1984 period is reviewed in sections entitled: (1) Recoil atom experiments, (2) Studies of thermally and photochemically generated silicon and germanium radicals, and (3) Ion-molecule reaction studies

  13. Specific features of phase transformations in germanium monotelluride

    International Nuclear Information System (INIS)

    Bigvava, A.D.; Gabedava, A.A.; Kunchuliya, Eh.D.; Shvangiradze, R.R.

    1981-01-01

    Phase transformations in germanium monotelluride are studied . using DRON-0.5 and DRON-1 plants with high-temperature chamber GPVT-1500 at Cu, Ksub(α) radiation. It is shown that in the whole homogeneity range α GeTe is a metastable phase which is formed under the conditions of fast cooling of alloy from temperatures >=Tsub(cub) (temperature of transition in cubic crystal system). An equilibrium γ-phase is obtained by annealing of dispersed powders and metal-ceramic specimens of alloys with 50.3; 50.6; 50.9 at % Te. Lattice parameters of rhombic γ-phase do not depend on tellurium content in initial α- phase. α→γ transformation is observed at any temperature less than Tsub(cub) with the change of alloy composition, namely tellurium precipitation. γ-phase transforms into β at higher temperatures than α-phase [ru

  14. Structure and electron-ion correlation of liquid germanium

    Energy Technology Data Exchange (ETDEWEB)

    Kawakita, Y. [Faculty of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan)]. E-mail: kawakita@rc.kyushu-u.ac.jp; Fujita, S. [Graduate School of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan); Kohara, S. [Japan Synchrotron Radiation Research Institute, 1-1-1 Kouto Mikazuki-cho, Hyogo 679-5198 (Japan); Ohshima, K. [Graduate School of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan); Fujii, H. [Graduate School of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan); Yokota, Y. [Graduate School of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan); Takeda, S. [Faculty of Sciences, Kyushu University, 4-2-1 Ropponmatsu, Fukuoka 810-8560 (Japan)

    2005-08-15

    Structure factor of liquid germanium (Ge) has a shoulder at {theta} = 3.2 A{sup -1} in the high-momentum-transfer region of the first peak. To investigate the origin of such a non-simplicity in the structure, high energy X-ray diffraction measurements have been performed using 113.26 keV incident X-ray, at BL04B2 beamline of SPring-8. By a combination of the obtained structure factor with the reported neutron diffraction data, charge density function and electron-ion partial structure factor have been deduced. The peak position of the charge distribution is located at about 1 A, rather smaller r value than the half value of nearest neighbor distance ({approx}2.7 A), which suggests that valence electrons of liquid Ge play a role of screening electrons around a metallic ion rather than covalently bonding electrons.

  15. Perfomance of a high purity germanium multi-detector telescope for long range particles

    International Nuclear Information System (INIS)

    Riepe, G.; Protic, D.; Suekoesd, C.; Didelez, J.P.; Frascaria, N.; Gerlic, E.; Hourani, E.; Morlet, M.

    1980-01-01

    A telescope of stacked high purity germanium detectors designed for long range charged particles was tested using medium energy protons. Particle identification and the rejection of the low energy tail could be accomplished on-line allowing the measurement of complex spectra. The efficiency of the detector stack for protons was measured up to 156 MeV incoming energy. The various factors affecting the energy resolution are discussed and their estimated contributions are compared with the experimental results

  16. Ultra compact 45 GHz CMOS compatible Germanium waveguide photodiode with low dark current.

    Science.gov (United States)

    DeRose, Christopher T; Trotter, Douglas C; Zortman, William A; Starbuck, Andrew L; Fisher, Moz; Watts, Michael R; Davids, Paul S

    2011-12-05

    We present a compact 1.3 × 4 μm2 Germanium waveguide photodiode, integrated in a CMOS compatible silicon photonics process flow. This photodiode has a best-in-class 3 dB cutoff frequency of 45 GHz, responsivity of 0.8 A/W and dark current of 3 nA. The low intrinsic capacitance of this device may enable the elimination of transimpedance amplifiers in future optical data communication receivers, creating ultra low power consumption optical communications.

  17. Evaluation of Segmented Amorphous-Contact Planar Germanium Detectors for Heavy-Element Research

    Science.gov (United States)

    Jackson, Emily G.

    The challenge of improving our understanding of the very heaviest nuclei is at the forefront of contemporary low-energy nuclear physics. In the last two decades, "in-beam" spectroscopy experiments have advanced from Z=98 to Z=104, Rutherfordium, allowing insights into the dynamics of the fission barrier, high-order deformations, and pairing correlations. However, new detector technologies are needed to advance to even heavier nuclei. This dissertation is aimed at evaluating one promising new technology; large segmented planar germanium wafers for this area of research. The current frontier in gamma-ray spectroscopy involves large-volume (>9 cm thick) coaxial detectors that are position sensitive and employ gamma-ray "tracking". In contrast, the detectors assessed in this dissertation are relatively thin (~1 cm) segmented planar wafers with amorphous-germanium strip contacts that can tolerate extremely high gamma-ray count rates, and can accommodate hostile neutron fluxes. They may be the only path to heavier "in-beam" spectroscopy with production rates below 1 nanobarn. The resiliency of these detectors against neutron-induced damage is examined. Two detectors were deliberately subjected to a non-uniform neutron fluence leading to considerable degradation of performance. The neutrons were produced using the 7Li(p, n)7Be reaction at the UMass Lowell Van-de-Graaff accelerator with a 3.7-MeV proton beam incident on a natural Li target. The energy of the neutrons emitted at zero degrees was 2.0 MeV, close to the mean energy of the fission neutron spectrum, and each detector was exposed to a fluence >3.6 x109 n/cm2. A 3-D software "trap-corrector" gain-matching algorithm considerably restored the overall performance. Other neutron damage mitigation tactics were explored including over biasing the detector and flooding the detector with a high gamma-ray count rate. Various annealing processes to remove neutron damage were investigated. An array of very large diameter

  18. Femtosecond tracking of carrier relaxation in germanium with extreme ultraviolet transient reflectivity

    Science.gov (United States)

    Kaplan, Christopher J.; Kraus, Peter M.; Ross, Andrew D.; Zürch, Michael; Cushing, Scott K.; Jager, Marieke F.; Chang, Hung-Tzu; Gullikson, Eric M.; Neumark, Daniel M.; Leone, Stephen R.

    2018-05-01

    Extreme ultraviolet (XUV) transient reflectivity around the germanium M4 ,5 edge (3 d core-level to valence transition) at 30 eV is advanced to obtain the transient dielectric function of crystalline germanium [100] on femtosecond to picosecond time scales following photoexcitation by broadband visible-to-infrared (VIS/NIR) pulses. By fitting the transient dielectric function, carrier-phonon induced relaxations are extracted for the excited carrier distribution. The measurements reveal a hot electron relaxation rate of 3.2 ±0.2 ps attributed to the X -L intervalley scattering and a hot hole relaxation rate of 600 ±300 fs ascribed to intravalley scattering within the heavy hole (HH) band, both in good agreement with previous work. An overall energy shift of the XUV dielectric function is assigned to a thermally induced band gap shrinkage by formation of acoustic phonons, which is observed to be on a timescale of 4-5 ps, in agreement with previously measured optical phonon lifetimes. The results reveal that the transient reflectivity signal at an angle of 66∘ with respect to the surface normal is dominated by changes to the real part of the dielectric function, due to the near critical angle of incidence of the experiment (66∘-70∘) for the range of XUV energies used. This work provides a methodology for interpreting XUV transient reflectivity near core-level transitions, and it demonstrates the power of the XUV spectral region for measuring ultrafast excitation dynamics in solids.

  19. Secondary ion formation during electronic and nuclear sputtering of germanium

    Science.gov (United States)

    Breuer, L.; Ernst, P.; Herder, M.; Meinerzhagen, F.; Bender, M.; Severin, D.; Wucher, A.

    2018-06-01

    Using a time-of-flight mass spectrometer attached to the UNILAC beamline located at the GSI Helmholtz Centre for Heavy Ion Research, we investigate the formation of secondary ions sputtered from a germanium surface under irradiation by swift heavy ions (SHI) such as 5 MeV/u Au by simultaneously recording the mass spectra of the ejected secondary ions and their neutral counterparts. In these experiments, the sputtered neutral material is post-ionized via single photon absorption from a pulsed, intensive VUV laser. After post-ionization, the instrument cannot distinguish between secondary ions and post-ionized neutrals, so that both signals can be directly compared in order to investigate the ionization probability of different sputtered species. In order to facilitate an in-situ comparison with typical nuclear sputtering conditions, the system is also equipped with a conventional rare gas ion source delivering a 5 keV argon ion beam. For a dynamically sputter cleaned surface, it is found that the ionization probability of Ge atoms and Gen clusters ejected under electronic sputtering conditions is by more than an order of magnitude higher than that measured for keV sputtered particles. In addition, the mass spectra obtained under SHI irradiation show prominent signals of GenOm clusters, which are predominantly detected as positive or negative secondary ions. From the m-distribution for a given Ge nuclearity n, one can deduce that the sputtered material must originate from a germanium oxide matrix with approximate GeO stoichiometry, probably due to residual native oxide patches even at the dynamically cleaned surface. The results clearly demonstrate a fundamental difference between the ejection and ionization mechanisms in both cases, which is interpreted in terms of corresponding model calculations.

  20. Use of Germanium as comparator and integral monitor of neutron flux in activation analysis; Utilizacion del germanio como comparador y monitor integral de flujo neutronico en analisis por activacion

    Energy Technology Data Exchange (ETDEWEB)

    Furnari, Juan C.; Cohen, Isaac M. [Comision Nacional de Energia Atomica, Buenos Aires (Argentina). Centro Atomico Ezeiza; Arribere, Maria A.; Kestelman, Abraham J. [Comision Nacional de Energia Atomica, San Carlos de Bariloche (Argentina). Centro Atomico Bariloche

    1997-10-01

    The possibility of using germanium as monitor of the thermal and epithermal components of the neutron flux, and comparator in parametric activation analysis, is discussed. The advantages and drawbacks associated to the use of this element are commented on, and the comparison with zirconium, in terms of the determination relative error, is performed. The utilisation of germanium as integral flux monitor, including the fast component of the neutron spectrum, is also discussed. Data corresponding to measurements of k{sub 0} factor for the most relevant gamma transitions from Ge-75 and Be-77 are presented, as well as the results of the reference material analysis, employing germanium as flux monitor and comparator in a simultaneous way. (author). 8 refs., 3 figs., 2 tabs.

  1. Analysis of the dead layer of a detector of germanium with code ultrapure Monte Carlo SWORD-GEANT; Analisis del dead layer de un detector de germanio ultrapuro con el codigo de Monte Carlo SWORDS-GEANT

    Energy Technology Data Exchange (ETDEWEB)

    Gallardo, S.; Querol, A.; Ortiz, J.; Rodenas, J.; Verdu, G.

    2014-07-01

    In this paper the use of Monte Carlo code SWORD-GEANT is proposed to simulate an ultra pure germanium detector High Purity Germanium detector (HPGe) detector ORTEC specifically GMX40P4, coaxial geometry. (Author)

  2. 68Ga-triacetylfusarinine C and 68Ga-ferrioxamine E for Aspergillus infection imaging: uptake specificity in various microorganisms

    NARCIS (Netherlands)

    Petrik, M.; Haas, H. de; Laverman, P.; Schrettl, M.; Franssen, G.M.; Blatzer, M.; Decristoforo, C.

    2014-01-01

    (68)Ga-triacetylfusarinine C ((68)Ga-TAFC) and (68)Ga-ferrioxamine E ((68)Ga-FOXE) showed excellent targeting properties in Aspergillus fumigatus rat infection model. Here, we report on the comparison of specificity towards different microorganisms and human lung cancer cells (H1299).The in vitro

  3. High-precision efficiency calibration of a high-purity co-axial germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Blank, B., E-mail: blank@cenbg.in2p3.fr [Centre d' Etudes Nucléaires de Bordeaux Gradignan, UMR 5797, CNRS/IN2P3, Université de Bordeaux, Chemin du Solarium, BP 120, 33175 Gradignan Cedex (France); Souin, J.; Ascher, P.; Audirac, L.; Canchel, G.; Gerbaux, M.; Grévy, S.; Giovinazzo, J.; Guérin, H.; Nieto, T. Kurtukian; Matea, I. [Centre d' Etudes Nucléaires de Bordeaux Gradignan, UMR 5797, CNRS/IN2P3, Université de Bordeaux, Chemin du Solarium, BP 120, 33175 Gradignan Cedex (France); Bouzomita, H.; Delahaye, P.; Grinyer, G.F.; Thomas, J.C. [Grand Accélérateur National d' Ions Lourds, CEA/DSM, CNRS/IN2P3, Bvd Henri Becquerel, BP 55027, F-14076 CAEN Cedex 5 (France)

    2015-03-11

    A high-purity co-axial germanium detector has been calibrated in efficiency to a precision of about 0.15% over a wide energy range. High-precision scans of the detector crystal and γ-ray source measurements have been compared to Monte-Carlo simulations to adjust the dimensions of a detector model. For this purpose, standard calibration sources and short-lived online sources have been used. The resulting efficiency calibration reaches the precision needed e.g. for branching ratio measurements of super-allowed β decays for tests of the weak-interaction standard model.

  4. The position response of a large-volume segmented germanium detector

    International Nuclear Information System (INIS)

    Descovich, M.; Nolan, P.J.; Boston, A.J.; Dobson, J.; Gros, S.; Cresswell, J.R.; Simpson, J.; Lazarus, I.; Regan, P.H.; Valiente-Dobon, J.J.; Sellin, P.; Pearson, C.J.

    2005-01-01

    The position response of a large-volume segmented coaxial germanium detector is reported. The detector has 24-fold segmentation on its outer contact. The output from each contact was sampled with fast digital signal processing electronics in order to determine the position of the γ-ray interaction from the signal pulse shape. The interaction position was reconstructed in a polar coordinate system by combining the radial information, contained in the rise-time of the pulse leading edge, with the azimuthal information, obtained from the magnitude of the transient charge signals induced on the neighbouring segments. With this method, a position resolution of 3-7mm is achieved in both the radial and the azimuthal directions

  5. The position response of a large-volume segmented germanium detector

    Energy Technology Data Exchange (ETDEWEB)

    Descovich, M. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom)]. E-mail: mdescovich@lbl.gov; Nolan, P.J. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Boston, A.J. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Dobson, J. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Gros, S. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Cresswell, J.R. [Oliver Lodge Laboratory, Physics Department, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Simpson, J. [CCLRC Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom); Lazarus, I. [CCLRC Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom); Regan, P.H. [Department of Physics, University of Surrey, Guildford GU2 7XH (United Kingdom); Valiente-Dobon, J.J. [Department of Physics, University of Surrey, Guildford GU2 7XH (United Kingdom); Sellin, P. [Department of Physics, University of Surrey, Guildford GU2 7XH (United Kingdom); Pearson, C.J. [Department of Physics, University of Surrey, Guildford GU2 7XH (United Kingdom)

    2005-11-21

    The position response of a large-volume segmented coaxial germanium detector is reported. The detector has 24-fold segmentation on its outer contact. The output from each contact was sampled with fast digital signal processing electronics in order to determine the position of the {gamma}-ray interaction from the signal pulse shape. The interaction position was reconstructed in a polar coordinate system by combining the radial information, contained in the rise-time of the pulse leading edge, with the azimuthal information, obtained from the magnitude of the transient charge signals induced on the neighbouring segments. With this method, a position resolution of 3-7mm is achieved in both the radial and the azimuthal directions.

  6. Optical spectroscopic characterization of amorphous germanium carbide materials obtained by X-Ray Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Paola Antoniotti

    2015-05-01

    Full Text Available Amorphous germanium carbides have been prepared by X-ray activated Chemical Vapor Deposition from germane/allene systems. The allene percentage and irradiation time (total dose were correlated to the composition, the structural features, and the optical coefficients of the films, as studied by IR and UV-VIS spectroscopic techniques. The materials composition is found to change depending on both the allene percentage in the mixture and the irradiation time. IR spectroscopy results indicate that the solids consist of randomly bound networks of carbon and germanium atoms with hydrogen atoms terminating all the dangling bonds. Moreover, the elemental analysis results, the absence of both unsaturated bonds and CH3 groups into the solids and the absence of allene autocondensation reactions products, indicate that polymerization reactions leading to mixed species, containing Ge-C bonds, are favored. Eopt values around 3.5 eV have been found in most of the cases, and are correlated with C sp3-bonding configuration. The B1/2 value, related to the order degree, has been found to be dependent on solid composition, atoms distribution in the material and hydrogenation degree of carbon atoms.

  7. Comparative Study of Phase Transformation in Single-Crystal Germanium during Single and Cyclic Nanoindentation

    Directory of Open Access Journals (Sweden)

    Koji Kosai

    2017-11-01

    Full Text Available Single-crystal germanium is a semiconductor material which shows complicated phase transformation under high pressure. In this study, new insight into the phase transformation of diamond-cubic germanium (dc-Ge was attempted by controlled cyclic nanoindentation combined with Raman spectroscopic analysis. Phase transformation from dc-Ge to rhombohedral phase (r8-Ge was experimentally confirmed for both single and cyclic nanoindentation under high loading/unloading rates. However, compared to single indentation, double cyclic indentation with a low holding load between the cycles caused more frequent phase transformation events. Double cyclic indentation caused more stress in Ge than single indentation and increased the possibility of phase transformation. With increase in the holding load, the number of phase transformation events decreased and finally became less than that under single indentation. This phenomenon was possibly caused by defect nucleation and shear accumulation during the holding process, which were promoted by a high holding load. The defect nucleation suppressed the phase transformation from dc-Ge to r8-Ge, and shear accumulation led to another phase transformation pathway, respectively. A high holding load promoted these two phenomena, and thus decreased the possibility of phase transformation from dc-Ge to r8-Ge.

  8. Reactivity of silicon and germanium doped CNTs toward aromatic sulfur compounds: A theoretical approach

    International Nuclear Information System (INIS)

    Galano, Annia; Francisco-Marquez, Misaela

    2008-01-01

    Adsorption processes of thiophene and benzothiophene on pristine carbon nanotubes (CNTs), and on CNTs doped with Si or Ge, have been modeled with Density Functional. This is the first study on the chemical reactivity of such doped tubes. The calculated data suggest that the presence of silicon or germanium atoms in CNTs increases their reactivity toward thiophene, and benzothiophene. The adsorption of these species on pristine CNTs seems very unlikely to occur, while the addition products involving doped CNTs were found to be very stable, with respect to the isolated reactants, in terms of Gibbs free energy. Several of these adsorption processes were found to be significantly exergonic (ΔG < 0) in non-polar liquid phase. The results reported in this work suggest that Si and Ge defects on CNTs increase their reactivity toward unsaturated species, and could make them useful in the removal processes of aromatic sulfur compounds from oil-hydrocarbons. However, according to our results, CNTs doped with Si atoms are expected to be more efficient as aromatic sulfur compounds scavengers than those doped with Ge. These results also suggest that the presence of silicon and germanium atoms in the CNTs structures enhances their reactivity toward nucleophilic molecules, compared to pristine carbon nanotubes

  9. Focusing of a new germanium counter type : the composite detector. Uses of the TREFLE detector in the EUROGAM multidetector

    International Nuclear Information System (INIS)

    Han, L.

    1995-05-01

    The aim of this thesis is the development of new types of germanium detectors: the composite detectors. Two types of prototypes are then conceived: the stacked planar detector (EDP) and the assembly of coaxial diodes (TREFLE). They are designed for the multidetector EUROGAM destined to the research of nuclear structure at high angular momentum. The four planar diodes of EDP detector were of 7 cm diameter and of 15 to 20 mm thick. The difference between the calculated and measured photopic efficiency is observed. The importance of surface channel induces a weak resistance of neutron damages. The sputtering method for the surface treatment reducing the germanium dead layer as well as a rule of selection concerning the impurity concentration and the thickness of crystal is helpful for the later production of germanium detector. The CLOVER detector consist of for mean size crystals in the same cryostat. The photopic efficiency is much larger than that of the greatest monocrystal detector. And the granulation of composite detector allowed the Doppler broadening correction of gamma ray observed in the nuclear reaction where the recoil velocity is very high. This new type of detector enable the linear polarization measurement of gamma ray. Twenty-four CLOVER detector are actually mounted in the EUROGAM array. The characteristics measured in source as well as in beam, reported in this thesis, meet exactly the charge account. (author). 47 refs., 61 figs., 18 tabs

  10. UV Laser Co-Photolytic Approach to Gas-Phase Formation and Deposition of Nano-Sized Germanium Sulfides.

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Vorlíček, Vladimír; Boháček, Jaroslav; Šubrt, Jan; Pola, Josef

    2006-01-01

    Roč. 182, 1 (2006) , s. 107-111 ISSN 0022-3573 R&D Projects: GA MŠk(CZ) ME 486 Institutional research plan: CEZ:AV0Z40720504; CEZ:AV0Z10100523; CEZ:AV0Z40320502 Keywords : laser deposition * germanium sulfides * nanomaterials Subject RIV: CH - Nuclear ; Quantum Chemistry Impact factor: 1.533, year: 2006

  11. 7 CFR 3570.68 - Selection process.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 15 2010-01-01 2010-01-01 false Selection process. 3570.68 Section 3570.68 Agriculture Regulations of the Department of Agriculture (Continued) RURAL HOUSING SERVICE, DEPARTMENT OF AGRICULTURE COMMUNITY PROGRAMS Community Facilities Grant Program § 3570.68 Selection process. Each request...

  12. Somatostatin receptor PET in neuroendocrine tumours: 68Ga-DOTA0,Tyr3-octreotide versus 68Ga-DOTA0-lanreotide

    International Nuclear Information System (INIS)

    Putzer, Daniel; Kroiss, Alexander; Waitz, Dietmar; Gabriel, Michael; Uprimny, Christian; Guggenberg, Elisabeth von; Decristoforo, Clemens; Warwitz, Boris; Virgolini, Irene Johanna; Traub-Weidinger, Tatjana; Widmann, Gerlig

    2013-01-01

    The aim of this study was to evaluate the impact of 68 Ga-labelled DOTA 0 -lanreotide ( 68 Ga-DOTA-LAN) on the diagnostic assessment of neuroendocrine tumour (NET) patients with low to moderate uptake on planar somatostatin receptor (SSTR) scintigraphy or 68 Ga-labelled DOTA 0 ,Tyr 3 -octreotide ( 68 Ga-DOTA-TOC) positron emission tomography (PET). Fifty-three patients with histologically confirmed NET and clinical signs of progressive disease, who had not qualified for peptide receptor radionuclide therapy (PRRT) on planar SSTR scintigraphy or 68 Ga-DOTA-TOC PET (n = 38) due to lack of tracer uptake, underwent 68 Ga-DOTA-LAN PET to evaluate a treatment option with 90 Y-labelled lanreotide according to the MAURITIUS trial. The included patients received 150 ± 30 MBq of each radiopharmaceutical intravenously. PET scans were acquired 60-90 min after intravenous bolus injection. Image results from both PET scans were compared head to head, focusing on the intensity of tracer uptake in terms of treatment decision. CT was used for morphologic correlation of tumour lesions. To further evaluate the binding affinities of each tracer, quantitative and qualitative values were calculated for target lesions. 68 Ga-DOTA-LAN and 68 Ga-DOTA-TOC both showed equivalent findings in 24/38 patients when fused PET/CT images were interpreted. The sensitivity, specificity and accuracy of 68 Ga-DOTA-LAN in comparison to CT were 0.63, 0.5 and 0.62 (n = 53; p 68 Ga-DOTA-TOC in comparison to CT 0.78, 0.5 and 0.76 (n = 38; p 68 Ga-DOTA-TOC showed a significantly higher maximum standardized uptake value (SUV max ) regarding the primary tumour in 25 patients (p 68 Ga-DOTA-LAN. Corresponding values of both PET scans for tumour and liver did not show any significant correlation. 68 Ga-DOTA-TOC revealed more tumour sites than 68 Ga-DOTA-LAN (106 vs 53). The tumour to background ratios for tumour and liver calculated from SUV max measurements were significantly higher for 68 Ga-DOTA-TOC than 68 Ga

  13. Study on synthesis of {sup 68}GeO{sub 2} and behavior of {sup 68}Ga{sup 3+} Generator column

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Gun Gyun; Lee, Jun Young; Hur, Min Gu; Yang, Srung Dae; Park, Jeong Hoon [Radiation Instrumentation Research Division, Korea Atomic Energy Research Institute (KAERI), Daejeon (Korea, Republic of); Kim, Sang Wook [Dept. of Advanced Materials Chemistry, Dongguk University, Gyeongju (Korea, Republic of)

    2017-02-15

    {sup 68}Ga has emerged as a promising candidate for non-invasive diagnostic imaging within Positron Emission Tomography (PET) because of its advantageous radiochemical characteristics (t{sub 1/2}= 68 min, β{sup +} yield ⁓89%). {sup 68}Ga forms a stable chelation with various ligands and it is possible to be quickly and easily study using a {sup 68}Ge/{sup 68}Ga generator. Commercial {sup 68}Ge/{sup 68}Ga generators are chromatographic system using the inorganic materials such as alumina and tin dioxide which are employed as column matrixes for {sup 68}Ge. In this study, we tried out to make {sup 68}Ge/{sup 68}Ga generator system with the {sup 68}GeO{sub 2} microstructures for column matrix. {sup 68}Ge tends to have stable bond with oxide as {sup 68}GeO{sub 2} microstructures. The {sup 68}GeO{sub 2} has been synthesized by hydrolysis of GeCl{sub 4} (sol-gel method) and characterized by X-ray diffraction and scanning electron microscope for geometrical analysis. The stability of GeO{sub 2} was tested using eluent with diverse solvents (water, ethanol and 0.1 N HCl). The radioactivity of {sup 68}Ga{sup 3+} in eluate through GeO{sub 2} was measured to prove a function as column material for a generation eluate through GeO{sub 2} was measured to prove a function as column material for a generator.

  14. 40 CFR 68.120 - Petition process.

    Science.gov (United States)

    2010-07-01

    ...) CHEMICAL ACCIDENT PREVENTION PROVISIONS Regulated Substances for Accidental Release Prevention § 68.120 Petition process. (a) Any person may petition the Administrator to modify, by addition or deletion, the... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Petition process. 68.120 Section 68...

  15. 41 CFR 105-68.995 - Principal.

    Science.gov (United States)

    2010-07-01

    ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Principal. 105-68.995 Section 105-68.995 Public Contracts and Property Management Federal Property Management Regulations System...-GOVERNMENTWIDE DEBARMENT AND SUSPENSION (NONPROCUREMENT) Definitions § 105-68.995 Principal. Principal means— (a...

  16. Theoretical Investigations of the Hexagonal Germanium Carbonitride

    Directory of Open Access Journals (Sweden)

    Xinhai Yu

    2018-04-01

    Full Text Available The structural, mechanical, elastic anisotropic, and electronic properties of hexagonal germanium carbonitride (h-GeCN are systematically investigated using the first-principle calculations method with the ultrasoft pseudopotential scheme in the frame of generalized gradient approximation in the present work. The h-GeCN are mechanically and dynamically stable, as proved by the elastic constants and phonon spectra, respectively. The h-GeCN is brittle because the ratio B/G and Poisson’s ratio v of the h-GeCN are less than 1.75 and 0.26, respectively. For h-GeCN, from brittleness to ductility, the transformation pressures are 5.56 GPa and 5.63 GPa for B/G and Poisson’s ratio v, respectively. The h-GeCN exhibits the greater elastic anisotropy in Young’s modulus and the sound velocities. In addition, the calculated band structure of h-GeCN reveals that there is no band gap for h-GeCN with the HSE06 hybrid functional, so the h-GeCN is metallic.

  17. Ductile-regime turning of germanium and silicon

    Science.gov (United States)

    Blake, Peter N.; Scattergood, Ronald O.

    1989-01-01

    Single-point diamond turning of silicon and germanium was investigated in order to clarify the role of cutting depth in coaxing a ductile chip formation in normally brittle substances. Experiments based on the rapid withdrawal of the tool from the workpiece have shown that microfracture damage is a function of the effective depth of cut (as opposed to the nominal cutting depth). In essence, damage created by the leading edge of the tool is removed several revolutions later by lower sections of the tool edge, where the effective cutting depth is less. It appears that a truly ductile cutting response can be achieved only when the effective cutting depth, or critical chip thickness, is less than about 20 nm. Factors such as tool rake angle are significant in that they will affect the actual value of the critical chip thickness for transition from brittle to ductile response. It is concluded that the critical chip thickness is an excellent parameter for measuring the effects of machining conditions on the ductility of the cut and for designing tool-workpiece geometry in both turning and grinding.

  18. Point defect states in Sb-doped germanium

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Neil S., E-mail: neilp@mit.edu; Monmeyran, Corentin, E-mail: comonmey@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Ave., Cambridge, Massachusetts 02139 (United States); Agarwal, Anuradha [Microphotonics Center, Massachusetts Institute of Technology, 77 Massachusetts Ave., Cambridge, Massachusetts 02139 (United States); Kimerling, Lionel C. [Department of Materials Science and Engineering, Massachusetts Institute of Technology, 77 Massachusetts Ave., Cambridge, Massachusetts 02139 (United States); Microphotonics Center, Massachusetts Institute of Technology, 77 Massachusetts Ave., Cambridge, Massachusetts 02139 (United States)

    2015-10-21

    Defect states in n-type Sb-doped germanium were investigated by deep-level transient spectroscopy. Cobalt-60 gamma rays were used to generate isolated vacancies and interstitials which diffuse and react with impurities in the material to form four defect states (E{sub 37}, E{sub 30}, E{sub 22}, and E{sub 21}) in the upper half of the bandgap. Irradiations at 77 K and 300 K as well as isothermal anneals were performed to characterize the relationships between the four observable defects. E{sub 37} is assigned to the Sb donor-vacancy associate (E-center) and is the only vacancy containing defect giving an estimate of 2 × 10{sup 11 }cm{sup −3} Mrad{sup −1} for the uncorrelated vacancy-interstitial pair introduction rate. The remaining three defect states are interstitial associates and transform among one another. Conversion ratios between E{sub 22}, E{sub 21}, and E{sub 30} indicate that E{sub 22} likely contains two interstitials.

  19. Reduction of 68Ge activity containing liquid waste from 68Ga PET chemistry in nuclear medicine and radiopharmacy by solidification.

    Science.gov (United States)

    de Blois, Erik; Chan, Ho Sze; Roy, Kamalika; Krenning, Eric P; Breeman, Wouter A P

    PET with 68 Ga from the TiO 2 - or SnO 2 - based 68 Ge/ 68 Ga generators is of increasing interest for PET imaging in nuclear medicine. In general, radionuclidic purity ( 68 Ge vs. 68 Ga activity) of the eluate of these generators varies between 0.01 and 0.001%. Liquid waste containing low amounts of 68 Ge activity is produced by eluting the 68 Ge/ 68 Ga generators and residues from PET chemistry. Since clearance level of 68 Ge activity in waste may not exceed 10 Bq/g, as stated by European Directive 96/29/EURATOM, our purpose was to reduce 68 Ge activity in solution from >10 kBq/g to <10 Bq/g; which implies the solution can be discarded as regular waste. Most efficient method to reduce the 68 Ge activity is by sorption of TiO 2 or Fe 2 O 3 and subsequent centrifugation. The required 10 Bq per mL level of 68 Ge activity in waste was reached by Fe 2 O 3 logarithmically, whereas with TiO 2 asymptotically. The procedure with Fe 2 O 3 eliminates ≥90% of the 68 Ge activity per treatment. Eventually, to simplify the processing a recirculation system was used to investigate 68 Ge activity sorption on TiO 2 , Fe 2 O 3 or Zeolite. Zeolite was introduced for its high sorption at low pH, therefore 68 Ge activity containing waste could directly be used without further interventions. 68 Ge activity containing liquid waste at different HCl concentrations (0.05-1.0 M HCl), was recirculated at 1 mL/min. With Zeolite in the recirculation system, 68 Ge activity showed highest sorption.

  20. Satellite structure in Auger and (e,2e) spectra of germanium

    International Nuclear Information System (INIS)

    Went, M.R.; Vos, M.; Kheifets, A.S.

    2006-01-01

    The interpretation of electron spectroscopy data is often complicated by the presence of satellites. These satellites are either due to different final states reached after the excitation (intrinsic satellites) or due to energy loss experienced by the escaping electron on its way out the target (extrinsic satellites). Unravelling these two contributions in an unambiguous way is difficult. In this paper we compare the intrinsic satellite structures obtained for germanium by two different high-energy spectroscopies: Auger spectroscopy of deep core levels and valence band electron momentum spectroscopy. Despite the different nature of the two probes we find a similar shape of the intrinsic satellites and comparable intensity

  1. High-Resolution Gamma-Ray Imaging Measurements Using Externally Segmented Germanium Detectors

    Science.gov (United States)

    Callas, J.; Mahoney, W.; Skelton, R.; Varnell, L.; Wheaton, W.

    1994-01-01

    Fully two-dimensional gamma-ray imaging with simultaneous high-resolution spectroscopy has been demonstrated using an externally segmented germanium sensor. The system employs a single high-purity coaxial detector with its outer electrode segmented into 5 distinct charge collection regions and a lead coded aperture with a uniformly redundant array (URA) pattern. A series of one-dimensional responses was collected around 511 keV while the system was rotated in steps through 180 degrees. A non-negative, linear least-squares algorithm was then employed to reconstruct a 2-dimensional image. Corrections for multiple scattering in the detector, and the finite distance of source and detector are made in the reconstruction process.

  2. Somatostatin receptor PET in neuroendocrine tumours: 68Ga-DOTA0,Tyr3-octreotide versus 68Ga-DOTA0-lanreotide.

    Science.gov (United States)

    Putzer, Daniel; Kroiss, Alexander; Waitz, Dietmar; Gabriel, Michael; Traub-Weidinger, Tatjana; Uprimny, Christian; von Guggenberg, Elisabeth; Decristoforo, Clemens; Warwitz, Boris; Widmann, Gerlig; Virgolini, Irene Johanna

    2013-02-01

    The aim of this study was to evaluate the impact of (68)Ga-labelled DOTA(0)-lanreotide ((68)Ga-DOTA-LAN) on the diagnostic assessment of neuroendocrine tumour (NET) patients with low to moderate uptake on planar somatostatin receptor (SSTR) scintigraphy or (68)Ga-labelled DOTA(0),Tyr(3)-octreotide ((68)Ga-DOTA-TOC) positron emission tomography (PET). Fifty-three patients with histologically confirmed NET and clinical signs of progressive disease, who had not qualified for peptide receptor radionuclide therapy (PRRT) on planar SSTR scintigraphy or (68)Ga-DOTA-TOC PET (n = 38) due to lack of tracer uptake, underwent (68)Ga-DOTA-LAN PET to evaluate a treatment option with (90)Y-labelled lanreotide according to the MAURITIUS trial. The included patients received 150 ± 30 MBq of each radiopharmaceutical intravenously. PET scans were acquired 60-90 min after intravenous bolus injection. Image results from both PET scans were compared head to head, focusing on the intensity of tracer uptake in terms of treatment decision. CT was used for morphologic correlation of tumour lesions. To further evaluate the binding affinities of each tracer, quantitative and qualitative values were calculated for target lesions. (68)Ga-DOTA-LAN and (68)Ga-DOTA-TOC both showed equivalent findings in 24/38 patients when fused PET/CT images were interpreted. The sensitivity, specificity and accuracy of (68)Ga-DOTA-LAN in comparison to CT were 0.63, 0.5 and 0.62 (n = 53; p < 0.0001) and for (68)Ga-DOTA-TOC in comparison to CT 0.78, 0.5 and 0.76 (n = 38; p < 0.013), respectively. (68)Ga-DOTA-TOC showed a significantly higher maximum standardized uptake value (SUV(max)) regarding the primary tumour in 25 patients (p < 0.003) and regarding the liver in 30 patients (p < 0.009) compared to (68)Ga-DOTA-LAN. Corresponding values of both PET scans for tumour and liver did not show any significant correlation. (68)Ga-DOTA-TOC revealed more tumour sites than (68)Ga

  3. 40 CFR 68.155 - Executive summary.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Executive summary. 68.155 Section 68...) CHEMICAL ACCIDENT PREVENTION PROVISIONS Risk Management Plan § 68.155 Executive summary. The owner or operator shall provide in the RMP an executive summary that includes a brief description of the following...

  4. Monte Carlo simulation of the X-ray response of a germanium microstrip detector with energy and position resolution

    CERN Document Server

    Rossi, G; Fajardo, P; Morse, J

    1999-01-01

    We present Monte Carlo computer simulations of the X-ray response of a micro-strip germanium detector over the energy range 30-100 keV. The detector consists of a linear array of lithographically defined 150 mu m wide strips on a high purity monolithic germanium crystal of 6 mm thickness. The simulation code is divided into two parts. We first consider a 10 mu m wide X-ray beam striking the detector surface at normal incidence and compute the interaction processes possible for each photon. Photon scattering and absorption inside the detector crystal are simulated using the EGS4 code with the LSCAT extension for low energies. A history of events is created of the deposited energies which is read by the second part of the code which computes the energy histogram for each detector strip. Appropriate algorithms are introduced to account for lateral charge spreading occurring during charge carrier drift to the detector surface, and Fano and preamplifier electronic noise contributions. Computed spectra for differen...

  5. Assessment of Bioavailable Concentrations of Germanium and Rare Earth Elements in the Rhizosphere of White Lupin (Lupinus albus L.)

    Science.gov (United States)

    Wiche, Oliver; Fischer, Ronny; Moschner, Christin; Székely, Balázs

    2015-04-01

    Concentrations of Germanium (Ge) and Rare Earth Elements in soils are estimated at 1.5 mg kg -1 (Ge), 25 mg kg -1 (La) and 20 mg kg -1 (Nd), which are only roughly smaller than concentrations of Pb and Zn. Germanium and rare earth elements are thus not rare but widely dispersed in soils and therefore up to date, only a few minable deposits are available. An environmental friendly and cost-effective way for Ge and rare earth element production could be phytomining. However, the most challenging part of a phytomining of these elements is to increase bioavailable concentrations of the elements in soils. Recent studies show, that mixed cultures with white lupine or other species with a high potential to mobilize trace metals in their rhizosphere due to an acidification of the soil and release of organic acids in the root zone could be a promising tool for phytomining. Complexation of Ge and rare earth elements by organic acids might play a key role in controlling bioavailability to plants as re-adsorption on soil particles and precipitation is prevented and thus, concentrations in the root zone of white lupine increase. This may also allow the complexes to diffuse along a concentration gradient to the roots of mixed culture growing species leading to enhanced plant uptake. However, to optimize mixed cultures it would be interesting to know to which extend mobilization of trace metals is dependent from chemical speciation of elements in soil due to the interspecific interaction of roots. A method for the identification of complexes of germanium and rare earth elements with organic acids, predominantly citric acid in the rhizosphere of white lupine was developed and successfully tested. The method is based on coupling of liquid chromatography with ICP-MS using a zic-philic column (SeQuant). As a preliminary result, we were able to show that complexes of germanium with citric acid exist in the rhizosphere of white lupin, what may contribute to the bioavailability of this

  6. First 10 kg of naked Germanium detectors in liquid nitrogen installed in the GENIUS-Test-Facility

    International Nuclear Information System (INIS)

    Klapdor-Kleingrothaus, H.V.; Chkvorets, O.; Krivosheina, I.V.; Strecker, H.; Tomei, C.

    2003-01-01

    The first four naked high-purity Germanium detectors were installed successfully in liquid nitrogen in the GENIUS-Test-Facility in the GRAN SASSO Underground Laboratory on May 5, 2003. This is the first time ever that this novel technique aiming at extreme background reduction in search for rare decays is going to be tested underground. First operational parameters are presented

  7. The study on Ge-68 production

    International Nuclear Information System (INIS)

    Yang, Seung Dae; Kim, Sang Wook; Hur, Min Goo

    2009-06-01

    The Ge-68 is a correction source of PET and is used in radiopharmaceuticals synthesis. This project is mainly aimed to produce the Ge-68. Based on this project results, the local Ge-68 production can be possible and the revitalization of the radioisotope utilization research areas can be accomplished. The characteristics of the Ge-68 and Ga-68 are obtained and analyzed. The production conditions are also developed, and the domestic and overseas status of the art are considered. The stacked foil target is designed using Al disc and dried Ga 2 O 3 powder, and the irradiation target is also designed. The cross section of the nat. Ga(p,xn) 68 Ge reaction is obtained using the developed target. The separation experiment of cold Ge/Ga in the H 2 SO 4 -HCl solution are carried out as a simulation experiment of the radioactive Ge/Ga sources. The separation of Ge/Ga by liquid extraction of CCl 4 in 8M HCl is also accomplished. And the synthesis experiment of the Hematophorphyrin-Ga complex is performed

  8. Radiation exposure to nuclear medicine personnel handling positron emitters from 68Ge/68Ga generator

    International Nuclear Information System (INIS)

    Dwivedi, Durgesh Kumar; Snehlata; Kumar, Rakesh; Naswa, Niraj; Sharma, Punit; Malhotra, Arun; Bandopadhayaya, Guru Pad; Bal, Chandrashekhar; Dwivedi, Alok Kumar; Lochab, Satya Pal; Pant, Gauri Shankar

    2011-01-01

    To measure the radiation exposure to nuclear medicine personnel during synthesis and injection to the patients of 68 Ga 1,4,7,10-tetraazacyclododecane-N,N',N'',N'''-tetraacetic acid (DOTA)-1-Nal -octreotide (NOC)- (DOTA-NOC) using ring thermoluminescence dosimeters (TLDs). Synthesis of 68 Ga DOTA-NOC was done on a semi-automated system. Finger doses were measured during synthesis and injection of 68 Ga DOTA-NOC. The occupational workers wore TLDs at the base of ring finger of both hands. The finger doses of two radio chemists were measured during synthesis of 68 Ga DOTA-NOC while that of a physician during its injection to the patients. Duration of the study was eight months and a total of 20 samples were prepared. During synthesis, the mean dose to base of left ring finger was 3.02 ± 1.01 mSv and to base of right ring finger was 1.96 ±0.86 mSv. Mean dose to base of left ring finger was 1.26 ± 0.35 mSv while that to base of right ring finger was 1.03 ± 0.13 mSv during injection. The mean dose was observed to be higher during synthesis than injection. However, the difference was not significant (P = 0.27 and P = 0.18, respectively). Overall mean finger dose of left hand was 2.43 ±1.21 mSv, whereas for the right hand the same was 1.65±0.82 mSv. Finger doses to radio chemists during semi-automated synthesis of 68 Ga DOTA-NOC and that to the physician involved in injection of 68 Ga DOTA-NOC were found to be within permissible limits. Ring dosimeters must be worn for the safety of the nuclear medicine personnel involved in synthesis and injection of 68 Ga DOTA-NOC. (author)

  9. The defects produced by electron irradiation in tellurium-doped germanium

    International Nuclear Information System (INIS)

    Fukuoka, Noboru; Saito, Haruo

    1989-01-01

    The nature of the irradiation induced defects in a germanium single crystal doped with tellurium was studied by DLTS and electrical measurements. The E c -0.21 eV level produced by irradiation with 1.5 MeV electrons was studied using the DLTS technique. It was found that the defect associated with this level is a divacancy. The E-center like defect (group V impurity-vacancy pair) introduces the E c -0.20 eV level in samples doped with a group V impurity. The level introduced by a tellurium (group VI impurity)-vacancy pair is deeper. The E c -0.16 eV level was generated by annealing at 430 K. A tellurium-vacancies complex is proposed as the defect associated with this level. (author)

  10. Ion-beam doping of amorphous silicon with germanium isovalent impurity

    International Nuclear Information System (INIS)

    Khokhlov, A.F.; Mashin, A.I.; Ershov, A.V.; Mashin, N.I.; Ignat'eva, E.A.

    1988-01-01

    Experimental data on ion-beam doping of amorphous silicon containing minor germanium additions by donor and acceptor impurity are presented. Doping of a-Si:Ge films as well as of a-Si layers was performed by implantation of 40 keV energy B + ions or 120 keV energy phosphorus by doses from 3.2x10 13 up to 1.3x10 17 cm -2 . Ion current density did not exceed 1 μA/cm 2 . Radiation defect annealing was performed at 400 deg C temperature during 30 min. Temperature dependences of conductivity in the region of 160-500 K were studied. It is shown that a-Si:Ge is like hydrogenized amorphous silicon in relation to doping

  11. A Comparative 68Ga-Citrate and 68Ga-Chloride PET/CT Imaging of Staphylococcus aureus Osteomyelitis in the Rat Tibia

    Directory of Open Access Journals (Sweden)

    Petteri Lankinen

    2018-01-01

    Full Text Available There may be some differences in the in vivo behavior of 68Ga-chloride and 68Ga-citrate leading to different accumulation profiles. This study compared 68Ga-citrate and 68Ga-chloride PET/CT imaging under standardized experimental models. Methods. Diffuse Staphylococcus aureus tibial osteomyelitis and uncomplicated bone healing rat models were used (n=32. Two weeks after surgery, PET/CT imaging was performed on consecutive days using 68Ga-citrate or 68Ga-chloride, and tissue accumulation was confirmed by ex vivo analysis. In addition, peripheral quantitative computed tomography and conventional radiography were performed. Osteomyelitis was verified by microbiological analysis and specimens were also processed for histomorphometry. Results. In PET/CT imaging, the SUVmax of 68Ga-chloride and 68Ga-citrate in the osteomyelitic tibias (3.6 ± 1.4 and 4.7 ± 1.5, resp. were significantly higher (P=0.0019 and P=0.0020, resp. than in the uncomplicated bone healing (2.7 ± 0.44 and 2.5 ± 0.49, resp.. In osteomyelitic tibias, the SUVmax of 68Ga-citrate was significantly higher than the uptake of 68Ga-chloride (P=0.0017. In animals with uncomplicated bone healing, no difference in the SUVmax of 68Ga-chloride or 68Ga-citrate was seen in the operated tibias. Conclusions. This study further corroborates the use of 68Ga-citrate for PET imaging of osteomyelitis.

  12. 40 CFR 68.90 - Applicability.

    Science.gov (United States)

    2010-07-01

    ... Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL ACCIDENT PREVENTION PROVISIONS Emergency Response § 68.90 Applicability. (a) Except as provided in... processes shall comply with the requirements of § 68.95. (b) The owner or operator of stationary source...

  13. Transmission of germanium poly- and monocrystals for thermal neutrons at different temperatures

    International Nuclear Information System (INIS)

    Adib, M.; Abdel-Kawy, A.; Eid, Y.; Maayouf, R.M.; Abbas, Y.; Habib, N.; Kilany, M.; Ashry, A.

    1987-01-01

    Neutron cross-sections of germanium poly- and monocrystals were measured with two time-of-flight and two double-axis crystal spectrometers. The results were analyzed using the single-level Breit-Wigner formula. The coherent scattering amplitude was determined from the Bragg reflections observed in the cross-section of a polycrystal and the analysis of the neutron diffraction pattern. The incoherent and the thermal diffuse scattering cross-section were estimated from the analysis of the total cross-section data obtained for a monocrystal at different temperatures in the energy range 2 meV to 1 eV. (orig./HP) [de

  14. Transmission of germanium poly- and monocrystals for thermal neutrons at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Adib, M.; Abdel-Kawy, A.; Eid, Y.; Maayouf, R.M.; Abbas, Y.; Habib, N.; Kilany, M.; Ashry, A.

    Neutron cross-sections of germanium poly- and monocrystals were measured with two time-of-flight and two double-axis crystal spectrometers. The results were analyzed using the single-level Breit-Wigner formula. The coherent scattering amplitude was determined from the Bragg reflections observed in the cross-section of a polycrystal and the analysis of the neutron diffraction pattern. The incoherent and the thermal diffuse scattering cross-section were estimated from the analysis of the total cross-section data obtained for a monocrystal at different temperatures in the energy range 2 meV to 1 eV.

  15. Efficiency for close geometries and extended sources of a p-type germanium detector with low-energy sensitivity

    International Nuclear Information System (INIS)

    Keyser, R.M.; Twomey, T.R.

    2007-01-01

    Typically, germanium detectors designed to have good sensitivity to low-energy photons and good efficiency at high energies are constructed from n-type crystals with a boron-implanted outer contact. These detectors usually exhibit inferior resolution and peak shape compared to ones made from p-type crystals. To overcome the resolution and peak-shape deficiencies, a new method of construction of a germanium detector element was developed. This has resulted in a gamma-ray detector with high sensitivity to photon energies from 14 keV to 2 MeV, while maintaining good resolution and peak shape over this energy range. Efficiency measurements, done according to the draft IEEE 325-2004 standard, show efficiencies typical of a GMX or n-type detector at low energies. The detectors are of large diameter suitable for counting extended samples such as filter papers. The Gaussian peak shape and good resolution typical of a GEM or p-type are maintained for the high count rates and peak separation needed for activation analysis. (author)

  16. Reduction of 68Ge activity containing liquid waste from 68Ga PET chemistry in nuclear medicine and radiopharmacy by solidification

    NARCIS (Netherlands)

    E. de Blois (Erik); H.S. Chan (Ho Sze); K. Roy (Kamalika); E.P. Krenning (Eric); W.A.P. Breeman (Wouter)

    2011-01-01

    textabstractPET with68Ga from the TiO2- or SnO2- based68Ge/68Ga generators is of increasing interest for PET imaging in nuclear medicine. In general, radionuclidic purity (68Ge vs.68Ga activity) of the eluate of these generators varies between 0.01 and 0.001%. Liquid waste containing low amounts

  17. 40 CFR 68.185 - Certification.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Certification. 68.185 Section 68.185 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL... certification that, to the best of the signer's knowledge, information, and belief formed after reasonable...

  18. 40 CFR 68.54 - Training.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Training. 68.54 Section 68.54 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL... operator may certify in writing that the employee has the required knowledge, skills, and abilities to...

  19. Measurement of energy transitions for the decay radiations of 75Ge and 69Ge in a high purity germanium detector

    Science.gov (United States)

    Aydın, Güral; Usta, Metin; Oktay, Adem

    2018-06-01

    Photoactivation experiments have a wide range of application areas in nuclear, particle physics, and medical physics such as measuring energy levels and half-lifes of nuclei, experiments for understanding imaging methods in medicine, isotope production for patient treatment, radiation security and transportation, radiation therapy, and astrophysics processes. In this study, some energy transition values of the decay radiations of 75Ge and 69Ge, which are the products of photonuclear reactions (γ, n) with germanium isotopes (75Ge and 69Ge), were measured. The gamma spectrum as a result of atomic transitions were analysed by using a high purity semiconductor germanium detector and the energy transition values which are presented here were compared with the ones which are the best in literature. It was observed that the results presented are in agreement with literature in error range and some results have better precisions.

  20. Determination of 68Ga production parameters by different reactions ...

    Indian Academy of Sciences (India)

    Gallium-68 (1/2 = 68 min, + = 89%) is an important positron-emitting radionuclide for positron emission tomography and used in nuclear medicine for diagnosing tumours. This study gives a suitable reaction to produce 68Ga. Gallium-68 excitation function via 68Zn(, ) 68Ga, 68Zn(, 2) 68Ga, 70Zn(, 3) 68Ga and ...

  1. Temperature-dependent dielectric function of germanium in the UV–vis spectral range: A first-principles study

    International Nuclear Information System (INIS)

    Yang, J.Y.; Liu, L.H.; Tan, J.Y.

    2014-01-01

    The study of temperature dependence of thermophysical parameter dielectric function is key to understanding thermal radiative transfer in high-temperature environments. Limited by self-radiation and thermal oxidation, however, it is difficult to directly measure the high-temperature dielectric function of solids with present experimental technologies. In this work, we implement two first-principles methods, the ab initio molecular dynamics (AIMD) and density functional perturbation theory (DFPT), to study the temperature dependence of dielectric function of germanium (Ge) in the UV–vis spectral range in order to provide data of high-temperature dielectric function for radiative transfer study in high-temperature environments. Both the two methods successfully predict the temperature dependence of dielectric function of Ge. Moreover, the good agreement between the calculated results of the AIMD approach and experimental data at 825 K enables us to predict the high-temperature dielectric function of Ge with the AIMD method in the UV–vis spectral range. - Highlights: • The temperature dependence of dielectric function of germanium (Ge) is investigated with two first-principles methods. • The temperature effect on dielectric function of Ge is discussed. • The high-temperature dielectric function of Ge is predicted

  2. Experimental test of the background rejection, through imaging capability, of a highly segmented AGATA germanium detector

    International Nuclear Information System (INIS)

    Doncel, M.; Recchia, F.; Quintana, B.; Gadea, A.; Farnea, E.

    2010-01-01

    The development of highly segmented germanium detectors as well as the algorithms to identify the position of the interaction within the crystal opens the possibility to locate the γ-ray source using Compton imaging algorithms. While the Compton-suppression shield, coupled to the germanium detector in conventional arrays, works also as an active filter against the γ rays originated outside the target, the new generation of position sensitive γ-ray detector arrays has to fully rely on tracking capabilities for this purpose. In specific experimental conditions, as the ones foreseen at radioactive beam facilities, the ability to discriminate background radiation improves the sensitivity of the gamma spectrometer. In this work we present the results of a measurement performed at the Laboratori Nazionali di Legnaro (LNL) aiming the evaluation of the AGATA detector capabilities to discriminate the origin of the γ rays on an event-by-event basis. It will be shown that, exploiting the Compton scattering formula, it is possible to track back γ rays coming from different positions, assigning them to specific emitting locations. These imaging capabilities are quantified for a single crystal AGATA detector.

  3. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Science.gov (United States)

    Wang, Wei-Fu; Cheng, Kai-Yuan; Hsieh, Kuang-Chien

    2018-01-01

    Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS) profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3) along with diffused germanium donors whose concentration (>>1018/cm3) determined by electro-chemical capacitance-voltage (ECV) profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL) shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA) centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  4. Germanium diffusion with vapor-phase GeAs and oxygen co-incorporation in GaAs

    Directory of Open Access Journals (Sweden)

    Wei-Fu Wang

    2018-01-01

    Full Text Available Vapor-phase germanium diffusion has been demonstrated in Zn-doped and semi-insulating GaAs in sealed ampoules with GeAs powders and excess arsenic. Secondary-ion-mass spectroscopy (SIMS profiles indicate the presence of unintentional co-incorporation of oxygen in high densities (>1017/cm3 along with diffused germanium donors whose concentration (>>1018/cm3 determined by electro-chemical capacitance-voltage (ECV profiler shows significant compensation near the surface. The source of oxygen mainly originates from the GeAs powder which contains Ge-O surface oxides. Variable-temperature photoluminescence (PL shows that in GeAs-diffused samples, a broad peak ranging from 0.86-1.38 eV with the peak position around 1.1 eV predominates at low temperatures while the near band-edge luminescence quenches. The broad band is attributed to the GeGa-VGa self-activated (SA centers possibly associated with nearby oxygen-related defect complex, and its luminescence persists up to 400 K. The configurational-coordinate modeling finds that the SA defect complex has a thermal activation energy of 150-180 meV and a vibrational energy 26.8 meV. The presence of oxygen does not much affect the SA emission intensity but may have influenced the peak position, vibration frequency and activation energy as compared to other common donor-VGa defects in GaAs.

  5. 40 CFR 68.71 - Training.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Training. 68.71 Section 68.71 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL... June 21, 1999 an owner or operator may certify in writing that the employee has the required knowledge...

  6. A variable temperature cryostat that produces in situ clean-up germanium detector surfaces

    International Nuclear Information System (INIS)

    Pehl, R.H.; Madden, N.W.; Malone, D.F.; Cork, C.P.; Landis, D.A.; Xing, J.S.; Friesel, D.L.

    1988-11-01

    Variable temperature cryostats that can maintain germanium detectors at temperatures from 82 K to about 400 K while the thermal shield surrounding the detectors remains much colder when the detectors are warmed have been developed. Cryostats such as these offer the possibility of cryopumping material from the surface of detectors to the colder thermal shield. The diode characteristics of several detectors have shown very significant improvement following thermal cycles up to about 150 K in these cryostats. Important applications for cryostats having this attribute are many. 4 figs

  7. Insights into thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks and their suppressed reaction with atomically thin AlO{sub x} interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Shingo, E-mail: Shingo-Ogawa@trc.toray.co.jp [Toray Research Center, Inc., 3-3-7 Sonoyama, Otsu, Shiga 520-8567 (Japan); Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Asahara, Ryohei; Minoura, Yuya; Hosoi, Takuji, E-mail: hosoi@mls.eng.osaka-u.ac.jp; Shimura, Takayoshi; Watanabe, Heiji [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Sako, Hideki; Kawasaki, Naohiko; Yamada, Ichiko; Miyamoto, Takashi [Toray Research Center, Inc., 3-3-7 Sonoyama, Otsu, Shiga 520-8567 (Japan)

    2015-12-21

    The thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that {sup 18}O-tracers composing the GeO{sub 2} underlayers diffuse within the HfO{sub 2} overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO{sub 2} also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO{sub 2} surfaces, and the reaction was further enhanced at high temperatures with the assistance of GeO desorption. A technique to insert atomically thin AlO{sub x} interlayers between the HfO{sub 2} and GeO{sub 2} layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks.

  8. Characteristics of SnO{sub 2}-based {sup 68}Ge/{sup 68}Ga generator and aspects of radiolabelling DOTA-peptides

    Energy Technology Data Exchange (ETDEWEB)

    Blois, Erik de; Chan, Ho Sze [Department of Nuclear Medicine, Erasmus MC Rotterdam, Rotterdam (Netherlands); Naidoo, Clive; Prince, Deidre [iThemba Labs, Somerset West, Republic of South Africa (South Africa); Krenning, Eric P. [Department of Nuclear Medicine, Erasmus MC Rotterdam, Rotterdam (Netherlands); Department of Internal Medicine, Erasmus MC Rotterdam, Rotterdam (Netherlands); Breeman, Wouter A.P., E-mail: w.a.p.breeman@erasmusmc.n [Department of Nuclear Medicine, Erasmus MC Rotterdam, Rotterdam (Netherlands)

    2011-02-15

    Objectives: PET scintigraphy with {sup 68}Ga-labelled analogs is of increasing interest in Nuclear Medicine and performed all over the world. Here we report the characteristics of the eluate of SnO{sub 2}-based {sup 68}Ge/{sup 68}Ga generators prepared by iThemba LABS (Somerset West, South Africa). Three purification and concentration techniques of the eluate for labelling DOTA-TATE and concordant SPE purifications were investigated. Methods: Characteristics of 4 SnO{sub 2}-based generators (range 0.4-1 GBq {sup 68}Ga in the eluate) and several concentration techniques of the eluate (HCl) were evaluated. The elution profiles of SnO{sub 2}-based {sup 68}Ge/{sup 68}Ga generators were monitored, while [HCl] of the eluens was varied from 0.3-1.0 M. Metal ions and sterility of the eluate were determined by ICP. Fractionated elution and concentration of the {sup 68}Ga eluate were performed using anion and cation exchange. Concentrated {sup 68}Ga eluate, using all three concentration techniques, was used for labelling of DOTA-TATE. {sup 68}Ga-DOTA-TATE-containing solution was purified and RNP increased by SPE, therefore also 11 commercially available SPE columns were investigated. Results: The amount of elutable {sup 68}Ga activity varies when the concentration of the eluens, HCl, was varied, while {sup 68}Ge activity remains virtually constant. SnO{sub 2}-based {sup 68}Ge/{sup 68}Ga generator elutes at 0.6 M HCl >100% of the {sup 68}Ga activity at calibration time and {+-}75% after 300 days. Eluate at discharge was sterile and Endotoxins were <0.5 EU/mL, RNP was always <0.01%. Metal ions in the eluate were <10 ppm (in total). Highest desorption for anion purification was obtained with the 30 mg Oasis WAX column (>80%). Highest desorption for cation purification was obtained using a solution containing 90% acetone at increasing molarity of HCl, resulted in a {sup 68}Ga desorption of 68{+-}8%. With all {sup 68}Ge/{sup 68}Ga generators and for all 3 purification methods a

  9. 44 CFR 68.11 - Determination.

    Science.gov (United States)

    2010-10-01

    ... 44 Emergency Management and Assistance 1 2010-10-01 2010-10-01 false Determination. 68.11 Section... § 68.11 Determination. The board shall render its written decision within 45 days after the conclusion... Administrator for review and approval. The Administrator shall make the final base flood elevation determination...

  10. Feasibility and availability of 68Ga-labelled peptides

    International Nuclear Information System (INIS)

    Decristoforo, Clemens; Pickett, Roger D.; Verbruggen, Alfons

    2012-01-01

    68 Ga has attracted tremendous interest as a radionuclide for PET based on its suitable half-life of 68 min, high positron emission yield and ready availability from 68 Ge/ 68 Ga generators, making it independent of cyclotron production. 68 Ga-labelled DOTA-conjugated somatostatin analogues, including DOTA-TOC, DOTA-TATE and DOTA-NOC, have driven the development of technologies to provide such radiopharmaceuticals for clinical applications mainly in the diagnosis of somatostatin receptor-expressing tumours. We summarize the issues determining the feasibility and availability of 68 Ga-labelled peptides, including generator technology, 68 Ga generator eluate postprocessing methods, radiolabelling, automation and peptide developments, and also quality assurance and regulatory aspects. 68 Ge/ 68 Ga generators based on SnO 2 , TiO 2 or organic matrices are today routinely supplied to nuclear medicine departments, and a variety of automated systems for postprocessing and radiolabelling have been developed. New developments include improved chelators for 68 Ga that could open new ways to utilize this technology. Challenges and limitations in the on-site preparation and use of 68 Ga-labelled peptides outside the marketing authorization track are also discussed. (orig.)

  11. 21 CFR 640.68 - Processing.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Processing. 640.68 Section 640.68 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) BIOLOGICS ADDITIONAL... deterioration or contamination. Prior to filling, the final container shall be marked or identified by number or...

  12. Bimodal height distribution of self-assembled germanium islands grown on Si0.84Ge0.16 pseudo-substrates

    DEFF Research Database (Denmark)

    Pedersen, Erik Vesterlund; Jensen, Flemming; Shiryaev, Sergey Y.

    1998-01-01

    We have investigated the size distribution of germanium islands deposited onto a Si0.84Ge0.16 buffer layer, by atomic force microscopy. The size distribution was found to be bimodal at 630-740 degrees C and consisted of one group of smaller 'pyramidal' islands with a broad distribution of diameters...

  13. Production and separation of no-carrier-added 73As and 75Se from 7Li irradiated germanium oxide target

    International Nuclear Information System (INIS)

    Mandal, A.; Lahiri, S.

    2012-01-01

    This work reports for the first time 7 Li-induced accelerator based production of 71,72,73,74 As, 75,76,77 Br and 73,75 Se radionuclides in their no-carrier-added (nca) state. After the decay of all short-lived radionuclides 75 Se and 73 As were only existing radionuclides in germanium oxide target, which were subsequently separated by liquid-liquid extraction (LLX) using trioctylamine (TOA) dissolved in cyclohexane as liquid ion exchanger. The presence of stable germanium in various fractions was examined by Inductively Coupled Plasma Optical Spectrometry (ICP-OES). At 0.1 M TOA and 10 M HCl concentration, 75 Se and stable Ge were extracted into the organic phase leaving 73 As in the aqueous phase. The bulk Ge was stripped back to the aqueous phase by 1 M NaOH, keeping 75 Se in the organic phase. Therefore complete separation between 73 As, 75 Se and bulk Ge was achieved. (orig.)

  14. Assessing Glomerular Filtration in Small Animals Using [68Ga]DTPA and [68Ga]EDTA with PET Imaging.

    Science.gov (United States)

    Gündel, Daniel; Pohle, Ulrike; Prell, Erik; Odparlik, Andreas; Thews, Oliver

    2018-06-01

    Determining the glomerular filtration rate (GFR) is essential for clinical medicine but also for pre-clinical animal studies. Functional imaging using positron emission tomography (PET) allows repetitive almost non-invasive measurements. The aim of the study was the development and evaluation of easily synthesizable PET tracers for GFR measurements in small animals. Diethylenetriaminepentaacetic acid (DTPA) and ethylenediaminetetraacetic acid (EDTA) were labeled with Ga-68. The binding to blood cells and plasma proteins was tested in vitro. The distribution of the tracers in rats was analyzed by PET imaging and ex vivo measurements. From the time-activity-curve of the blood compartment (heart) and the total tracer mass excreted by the kidney, the GFR was calculated. These values were compared directly with the inulin clearance in the same animals. Both tracers did not bind to blood cells. [ 68 Ga]DPTA but not [ 68 Ga]EDTA showed strong binding to plasma proteins. For this reason, [ 68 Ga]DPTA stayed much longer in the blood and only 30 % of the injected dose was eliminated by the kidney within 60 min whereas the excretion of [ 68 Ga]EDTA was 89 ± 1 %. The calculated GFR using [ 68 Ga]EDTA was comparable to the measured inulin clearance in the same animal. Using [ 68 Ga]-DPTA, the measurements led to values which were 80 % below the normal GFR. The results also revealed that definition of the volume of interest for the blood compartment affects the calculation and may lead to a slight overestimation of the GFR. [ 68 Ga]EDTA is a suitable tracer for GFR calculation from PET imaging in small animals. It is easy to be labeled, and the results are in good accordance with the inulin clearance. [ 68 Ga]DTPA led to a marked underestimation of GFR due to its strong binding to plasma proteins and is therefore not an appropriate tracer for GFR measurements.

  15. Hydrogen concentration and distribution in high-purity germanium crystals

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.; Luke, P.N.

    1981-10-01

    High-purity germanium crystals used for making nuclear radiation detectors are usually grown in a hydrogen ambient from a melt contained in a high-purity silica crucible. The benefits and problems encountered in using a hydrogen ambient are reviewed. A hydrogen concentration of about 2 x 10 15 cm -3 has been determined by growing crystals in hydrogen spiked with tritium and counting the tritium β-decays in detectors made from these crystals. Annealing studies show that the hydrogen is strongly bound, either to defects or as H 2 with a dissociation energy > 3 eV. This is lowered to 1.8 eV when copper is present. Etching defects in dislocation-free crystals grown in hydrogen have been found by etch stripping to have a density of about 1 x 10 7 cm -3 and are estimated to contain 10 8 H atoms each

  16. 40 CFR 68.165 - Offsite consequence analysis.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Offsite consequence analysis. 68.165 Section 68.165 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL ACCIDENT PREVENTION PROVISIONS Risk Management Plan § 68.165 Offsite consequence...

  17. 7 CFR 1753.68 - Purchasing special equipment.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 11 2010-01-01 2010-01-01 false Purchasing special equipment. 1753.68 Section 1753.68... AGRICULTURE TELECOMMUNICATIONS SYSTEM CONSTRUCTION POLICIES AND PROCEDURES Purchase and Installation of Special Equipment § 1753.68 Purchasing special equipment. (a) General. (1) Equipment purchases are...

  18. Tick-Borne Transmission of Murine Gammaherpesvirus 68

    Directory of Open Access Journals (Sweden)

    Valeria Hajnická

    2017-10-01

    Full Text Available Herpesviruses are a large group of DNA viruses infecting mainly vertebrates. Murine gammaherpesvirus 68 (MHV68 is often used as a model in studies of the pathogenesis of clinically important human gammaherpesviruses such as Epstein-Barr virus and Kaposi's sarcoma-associated herpesvirus. This rodent virus appears to be geographically widespread; however, its natural transmission cycle is unknown. Following detection of MHV68 in field-collected ticks, including isolation of the virus from tick salivary glands and ovaries, we investigated whether MHV68 is a tick-borne virus. Uninfected Ixodes ricinus ticks were shown to acquire the virus by feeding on experimentally infected laboratory mice. The virus survived tick molting, and the molted ticks transmitted the virus to uninfected laboratory mice on which they subsequently fed. MHV68 was isolated from the tick salivary glands, consistent with transmission via tick saliva. The virus survived in ticks without loss of infectivity for at least 120 days, and subsequently was transmitted vertically from one tick generation to the next, surviving more than 500 days. Furthermore, the F1 generation (derived from F0 infected females transmitted MHV68 to uninfected mice on which they fed, with MHV68 M3 gene transcripts detected in blood, lung, and spleen tissue of mice on which F1 nymphs and F1 adults engorged. These experimental data fulfill the transmission criteria that define an arthropod-borne virus (arbovirus, the largest biological group of viruses. Currently, African swine fever virus (ASFV is the only DNA virus recognized as an arbovirus. Like ASFV, MHV68 showed evidence of pathogenesis in ticks. Previous studies have reported MHV68 in free-living ticks and in mammals commonly infested with I. ricinus, and neutralizing antibodies to MHV68 have been detected in large mammals (e.g., deer including humans. Further studies are needed to determine if these reports are the result of tick-borne transmission

  19. Germanium thermometers in the temperature range .1000K to 4.20K

    International Nuclear Information System (INIS)

    Hsieh, S.Y.; Sanchez, D.H.

    1974-01-01

    The sensitivity characteristics of two germanium thermometers that proved to be convenient sensors in the temperature range from .100 0 K to 4.2 0 K, are described. Their resistances change from about 8 x 10 5 ohms at .100 0 K to about 100 ohms at 4.2 0 K. The calibration curves were fitted to natural spline functions of order 3 in the whole range of temperatures. These functions give less than half millidegree standard dispersion against 15 millidegree standard dispersion when usual polynomial interpolations are used. It is discussed what spline functions are, and compare the goodness of spline interpolation with polynomial methods [pt

  20. Ge14 Br8 (PEt3 )4 : A Subhalide Cluster of Germanium.

    Science.gov (United States)

    Kunz, Tanja; Schrenk, Claudio; Schnepf, Andreas

    2018-04-03

    Heating a metastable solution of Ge I Br to room temperature led to the first structurally characterized metalloid subhalide cluster Ge 14 Br 8 (PEt 3 ) 4 (1). Furthermore 1 can be seen as the first isolated binary halide cluster on the way from Ge I Br to elemental germanium, giving insight into the complex reaction mechanism of its disproportionation reaction. Quantum chemical calculations further indicate that a classical bonding situation is realized within 1 and that the last step of the formation of 1 might include the trapping of GeBr 2 units. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Comparison of Response Characteristics of High-Purity Germanium Detectors using Analog Versus Digital Processing

    International Nuclear Information System (INIS)

    Luke, S J; Raschke, K

    2004-01-01

    In this article we will discuss some of the results of the response characteristics of High Purity germanium detectors using analog versus digital processing of the signals that are outputted from the detector. The discussion will focus on whether or not there is a significant difference in the response of the detector with digital electronics that it limits the ability of the detection system to get reasonable gamma ray spectrometric results. Particularly, whether or not the performance of the analysis code Pu600 is compromised

  2. Reaction studies of hot silicon and germanium radicals. Progress report, September 1, 1978-August 31, 1979

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1979-01-01

    The experimental approach to attaining the goals of this research program is briefly outlined and the progress made in the last year is reviewed in sections entitled: (a) Primary steps in the reaction of recoiling silicon and germanium atoms and the identification of reactive intermediates; (b) Thermally induced silylene and germylene reactions; (c) Silicon free radical chemistry; (d) The role of ionic reactions in the chemistry of recoiling silicon atoms

  3. On the timing properties of germanium detectors: The centroid diagrams of prompt photopeaks and Compton events

    International Nuclear Information System (INIS)

    Penev, I.; Andrejtscheff, W.; Protochristov, Ch.; Zhelev, Zh.

    1987-01-01

    In the applications of the generalized centroid shift method with germanium detectors, the energy dependence of the time centroids of prompt photopeaks (zero-time line) and of Compton background events reveal a peculiar behavior crossing each other at about 100 keV. The effect is plausibly explained as associated with the ratio of γ-quanta causing the photoeffect and Compton scattering, respectively, at the boundaries of the detector. (orig.)

  4. Electrodeposition of germanium from supercritical fluids.

    Science.gov (United States)

    Ke, Jie; Bartlett, Philip N; Cook, David; Easun, Timothy L; George, Michael W; Levason, William; Reid, Gillian; Smith, David; Su, Wenta; Zhang, Wenjian

    2012-01-28

    Several Ge(II) and Ge(IV) compounds were investigated as possible reagents for the electrodeposition of Ge from liquid CH(3)CN and CH(2)F(2) and supercritical CO(2) containing as a co-solvent CH(3)CN (scCO(2)) and supercritical CH(2)F(2) (scCH(2)F(2)). For Ge(II) reagents the most promising results were obtained using [NBu(n)(4)][GeCl(3)]. However the reproducibility was poor and the reduction currents were significantly less than the estimated mass transport limited values. Deposition of Ge containing films was possible at high cathodic potential from [NBu(n)(4)][GeCl(3)] in liquid CH(3)CN and supercritical CO(2) containing CH(3)CN but in all cases they were heavily contaminated by C, O, F and Cl. Much more promising results were obtained using GeCl(4) in liquid CH(2)F(2) and supercritical CH(2)F(2). In this case the reduction currents were consistent with mass transport limited reduction and bulk electrodeposition produced amorphous films of Ge. Characterisation by XPS showed the presence of low levels of O, F and C, XPS confirmed the presence of Ge together with germanium oxides, and Raman spectroscopy showed that the as deposited amorphous Ge could be crystallised by the laser used in obtaining the Raman measurements.

  5. 41 CFR 105-68.920 - Civil judgment.

    Science.gov (United States)

    2010-07-01

    ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Civil judgment. 105-68... Administration 68-GOVERNMENTWIDE DEBARMENT AND SUSPENSION (NONPROCUREMENT) Definitions § 105-68.920 Civil judgment. Civil judgment means the disposition of a civil action by any court of competent jurisdiction...

  6. 47 CFR 68.108 - Incidence of harm.

    Science.gov (United States)

    2010-10-01

    ... 47 Telecommunication 3 2010-10-01 2010-10-01 false Incidence of harm. 68.108 Section 68.108 Telecommunication FEDERAL COMMUNICATIONS COMMISSION (CONTINUED) COMMON CARRIER SERVICES (CONTINUED) CONNECTION OF TERMINAL EQUIPMENT TO THE TELEPHONE NETWORK Conditions on Use of Terminal Equipment § 68.108 Incidence of...

  7. CD68/macrosialin: not just a histochemical marker.

    Science.gov (United States)

    Chistiakov, Dimitry A; Killingsworth, Murry C; Myasoedova, Veronika A; Orekhov, Alexander N; Bobryshev, Yuri V

    2017-01-01

    CD68 is a heavily glycosylated glycoprotein that is highly expressed in macrophages and other mononuclear phagocytes. Traditionally, CD68 is exploited as a valuable cytochemical marker to immunostain monocyte/macrophages in the histochemical analysis of inflamed tissues, tumor tissues, and other immunohistopathological applications. CD68 alone or in combination with other cell markers of tumor-associated macrophages showed a good predictive value as a prognostic marker of survival in cancer patients. Lowression of CD68 was found in the lymphoid cells, non-hematopoietic cells (fibroblasts, endothelial cells, etc), and tumor cells. Cell-specific CD68 expression and differentiated expression levels are determined by the complex interplay between transcription factors, regulatory transcriptional elements, and epigenetic factors. Human CD68 and its mouse ortholog macrosialin belong to the family of LAMP proteins located in the lysosomal membrane and share many structural similarities such as the presence of the LAMP-like domain. Except for a second LAMP-like domain present in LAMPs, CD68/microsialin has a highly glycosylated mucin-like domain involved in ligand binding. CD68 has been shown to bind oxLDL, phosphatidylserine, apoptotic cells and serve as a receptor for malaria sporozoite in liver infection. CD68 is mainly located in the endosomal/lysosomal compartment but can rapidly shuttle to the cell surface. However, the role of CD68 as a scavenger receptor remains to be confirmed. It seems that CD68 is not involved in binding bacterial/viral pathogens, innate, inflammatory or humoral immune responses, although it may potentially be involved in antigen processing/presentation. CD68 could be functionally important in osteoclasts since its deletion leads to reduced bone resorption capacity. The role of CD68 in atherosclerosis is contradictory.

  8. gamma-ray tracking in germanium the backtracking method

    CERN Document Server

    Marel, J V D

    2002-01-01

    In the framework of a European TMR network project the concept for a gamma-ray tracking array is being developed for nuclear physics spectroscopy in the energy range of approx 10 keV up to several MeV. The tracking array will consist of a large number of position-sensitive germanium detectors in a spherical geometry around a target. Due to the high segmentation, a Compton scattered gamma-ray will deposit energy in several different segments. A method has been developed to reconstruct the tracks of multiple coincident gamma-rays and to find their initial energies. By starting from the final point the track can be reconstructed backwards to the origin with the help of the photoelectric and Compton cross-sections and the Compton scatter formula. Every reconstructed track is given a figure of merit, thus allowing suppression of wrongly reconstructed tracks and gamma-rays that have scattered out of the detector system. This so-called backtracking method has been tested on simulated events in a shell-like geometry ...

  9. Strip interpolation in silicon and germanium strip detectors

    International Nuclear Information System (INIS)

    Wulf, E. A.; Phlips, B. F.; Johnson, W. N.; Kurfess, J. D.; Lister, C. J.; Kondev, F.; Physics; Naval Research Lab.

    2004-01-01

    The position resolution of double-sided strip detectors is limited by the strip pitch and a reduction in strip pitch necessitates more electronics. Improved position resolution would improve the imaging capabilities of Compton telescopes and PET detectors. Digitizing the preamplifier waveform yields more information than can be extracted with regular shaping electronics. In addition to the energy, depth of interaction, and which strip was hit, the digitized preamplifier signals can locate the interaction position to less than the strip pitch of the detector by looking at induced signals in neighboring strips. This allows the position of the interaction to be interpolated in three dimensions and improve the imaging capabilities of the system. In a 2 mm thick silicon strip detector with a strip pitch of 0.891 mm, strip interpolation located the interaction of 356 keV gamma rays to 0.3 mm FWHM. In a 2 cm thick germanium detector with a strip pitch of 5 mm, strip interpolation of 356 keV gamma rays yielded a position resolution of 1.5 mm FWHM

  10. Application of intrinsic germanium spectral gamma-ray logging for characterization of high-level nuclear waste tank leaks

    International Nuclear Information System (INIS)

    Brodeur, J.R.; Kiesler, J.P.; Kos, S.E.; Koizumi, C.J.; Nicaise, W.F.; Price, R.K.

    1993-11-01

    Spectral gamma-ray logging with a high-resolution, intrinsic germanium logging system was completed in boreholes surrounding two high-level nuclear waste tanks at the US Department of Energy's Hanford Site. The purpose was to characterize the concentrations of man-made radionuclides in the unsaturated zone sediments and identify any new leaks from the tanks. An intrinsic germanium detection system was used for this work because it was important to positively identify the specific radionuclides and to precisely assay those radionuclides. The spectral gamma log data were processed and displayed as log plots for each individual borehole and as three-dimensional plots of 137 Cs radionuclide concentrations. These data were reviewed to identify the sources of the contamination. The investigation did not uncover a new or active leak from either of the tanks. Most of the contamination found could be related to known pipeline leaks, to surface contamination from aboveground liquid spills, or to leaks from other tanks. The current spectral gamma ray data now provide a new baseline from which to compare future log data and identify any changes in the radioelement concentration

  11. Study of high spin states in 68Zn and 68Ga using (α,pγ) and (α,nγ) reactions

    International Nuclear Information System (INIS)

    Berthet, Bernard.

    1976-01-01

    Yrast levels of 68 Zn and 6 Ga have been studied via the reactions 65 Cu(α,pγ) 68 Zn, 65 Cu(α,nγ) 68 Ga at Esub(α)=12-21MeV and 66 Zn(α,pnγ) 68 Ga at Esub(α)=25-40MeV. The level schemes have been established by means of relative yield functions, electronic timing measurements, prompt and delayed γ-γ coincidences, angular distributions and directional orientation coincidences. Spin up to 8 were assigned to observed states, for 68 Zn. For 68 Ga, spins up to 11 + were assigned to level up to 4MeV excitation and the higher ones were interpreted by coupling a 67 Ga core with a 1gsub(9/2) neutron [fr

  12. Simulation of core-level binding energy shifts in germanium-doped lead telluride crystals

    International Nuclear Information System (INIS)

    Zyubin, A.S.; Dedyulin, S.N.; Yashina, L.V.; Shtanov, V.I.

    2007-01-01

    To simulate the changes in core-level binding energies in germanium-doped lead telluride, cluster calculations of the changes in the electrostatic potential at the corresponding centers have been performed. Different locations of the Ge atom in the crystal bulk have been considered: near vacancies, near another dopant site, and near the surface. For calculating the potential in the clusters that model the bulk and the surface of the lead telluride crystal (c-PbTe), the electron density obtained in the framework of the Hartree-Fock and hybrid density functional theory (DFT) methods has been used [ru

  13. Experimental Search for Solar Axions via Coherent Primakoff Conversion in a Germanium Spectrometer

    CERN Document Server

    Avignone, F T; Brodzinski, R; Collar, J I; Creswick, R J; Di Gregorio, D E; Farach, H A; Gattone, A O; Guérard, C K; Hasenbalg, F; Huck, H; Miley, H S; Morales, A; Morales, J; Nussinov, S; De Solorzano, A O; Reeves, J H; Villar, J; Zioutas, Konstantin

    1998-01-01

    Results are reported of an experimental search for the unique, rapidly varying temporal pattern of solar axions coherently converting into photons via the Primakoff effect in a single crystal germanium detector. This conversion is predicted when axions are incident at a Bragg angle with a crystalline plane. The analysis of approximately 1.94 kg.yr of data from the 1 kg DEMOS detector in Sierra Grande, Argentina, yields a new laboratory bound on axion-photon coupling of $g_{a\\gamma \\gamma} < 2.7\\cdot 10^{-9}$ GeV$^{-1}$, independent of axion mass up to ~ 1 keV.

  14. Evaluations of the commercial spectrometer systems for safeguards applications using the germanium detectors

    International Nuclear Information System (INIS)

    Vo, D.T.

    1998-01-01

    Safeguards applications require the best spectrometer systems with excellent resolution, stability, and throughput. Instruments must perform well in all the situations and environments. Data communication to the computer should be convenient, fast, and reliable. The software should have all the necessary tools and be ease to use. Portable systems should be small in size, lightweight, and have a long battery life. Nine commercially available spectrometer systems are tested with both the planar and coaxial germanium detectors. Considering the performance of the Digital Signal Processors (DSP), digital-based spectroscopy may be the future of gamma-ray spectroscopy

  15. Measuring Pu in a glove box using portable NaI and germanium detectors

    International Nuclear Information System (INIS)

    Hankins, D.E.

    1984-01-01

    A NaI crystal or germanium detector inside a portable lead shield can determine the amount of plutonium in a glove box. The number of counts required are defined and the locations outside the box where the detector needs to be positioned are given. The calculated accuracy for measuring the Pu when these locations are used is within +/-30% for most glove boxes. Other factors that may affect this accuracy, such as γ-ray absorption by glove-box materials, self-absorption by Pu, absorption by equipment in the glove box, and the limits of the counting equipment are also discussed

  16. Phonon Anharmonicity of Germanium in the Temperature Range 80-880 K

    Energy Technology Data Exchange (ETDEWEB)

    Nelin, G; Nilsson, G

    1974-06-15

    Phonon frequency shifts and line widths in germanium have been studied in the temperature range 80 - 880 K by means of thermal neutron spectrometry. The results cannot be described in terms of the quasiharmonic approximation in which phonon frequencies are solely volume dependent. Theoretical calculations are found to be more satisfactory for the Raman frequency than for most other modes. A good account of the observed shifts is given by a proposal due to Barron according to which the relative frequency renormalization of a crystal is proportional to the total harmonic vibrational energy. An analysis of the gradients of measured dispersion relations in the principal symmetry directions at 80 K is presented. It is shown that accidental degeneracies may influence the dispersion

  17. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    Science.gov (United States)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  18. Self-assembly of tin wires via phase transformation of heteroepitaxial germanium-tin on germanium substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-06-14

    This work demonstrates and describes for the first time an unusual strain-relaxation mechanism by the formation and self-assembly of well-ordered tin wires during the thermal annealing of epitaxial Ge{sub 0.83}Sn{sub 0.17}-on-Ge(001) substrate. Fully strained germanium-tin alloys (Ge{sub 0.83}Sn{sub 0.17}) were epitaxially grown on Ge(001) substrate by molecular beam epitaxy. The morphological and compositional evolution of Ge{sub 0.83}Sn{sub 0.17} during thermal annealing is studied by atomic force microscopy, X-ray diffraction, transmission electron microscopy. Under certain annealing conditions, the Ge{sub 0.83}Sn{sub 0.17} layer decomposes into two stable phases, and well-defined Sn wires that are preferentially oriented along two orthogonal 〈100〉 azimuths are formed. The formation of the Sn wires is related to the annealing temperature and the Ge{sub 0.83}Sn{sub 0.17} thickness, and can be explained by the nucleation of a grain with Sn islands on the outer front, followed by grain boundary migration. The Sn wire formation process is found to be thermally activated, and an activation enthalpy (E{sub c}) of 0.41 eV is extracted. This thermally activated phase transformation, i.e., 2D epitaxial layer to 3D wires, occurs via a mechanism akin to “cellular precipitation.” This synthesis route of Sn wires opens new possibilities for creation of nanoscale patterns at high-throughput without the need for lithography.

  19. Dual germanium detector system for the routine assay of low level transuranics in soil

    International Nuclear Information System (INIS)

    Crowell, J.M.

    1980-01-01

    As an outgrowth of previous on soil radioassay, we have developed an automated assay system for determining the transuranic radionuclide content of soils, with particular interest in Pu. The system utilizes two commercial planar intrinsic germanium detectors in opposition. The large area of the detectors (2100 mm 2 ) and the thinness of the detector crystals (7 mm) permit sensitive analysis of the L x ray emission region of the transuranics (13 to 21 keV). With counting times of 5 hours, we obtain detection limits of 241 Am

  20. Evaluation and comparison of Ga-68 DOTA-TATE and Ga-68 DOTA-NOC PET/CT imaging in well-differentiated thyroid cancer.

    Science.gov (United States)

    Ocak, Meltem; Demirci, Emre; Kabasakal, Levent; Aygun, Aslan; Tutar, Rumeysa O; Araman, Ahmet; Kanmaz, Bedii

    2013-11-01

    Somatostatin receptor (Sstr) scintigraphy with radiolabelled somatostatin analogues has been used extensively for the diagnosis and therapy of Sstr-expressing tumours. It has been shown that well-differentiated thyroid cancer (WDTC) cells have a high expression of Sstr2, Sstr3 and Sstr5. Hence, WDTC cells could be an ideal target for the evaluation of lesion uptake of Ga-68 DOTA-1-NaI3-octreotide (DOTA-NOC), which has a high affinity not only to Sstr2 but also to Sstr3 and Sstr5. The aim of the present study was to evaluate the value of Ga-68 DOTA-NOC as a target for Sstr2-expressing, Sstr3-expressing and Sstr5-expressing tumours in WDTC patients and to compare the results with those of Ga-68 DOTA-TATE in the same patient population. Thirteen patients with WDTC were included in our study: nine with papillary thyroid cancer, three with Hurthle cell carcinoma and one with follicular thyroid carcinoma. All patients had elevated serum thyroglobulin levels and negative post-therapeutic I-131 whole-body scans, which were obtained after the last radioiodine treatment. All patients had undergone two consecutive PET imaging studies with Ga-68 DOTA-D-Phe1-Tyr3-octreotate (DOTA-TATE) and Ga-68 DOTA-NOC, respectively. All images were evaluated visually, and maximum standardized uptake values were calculated. Both Ga-68 DOTA-TATE and Ga-68 DOTA-NOC PET images gave comparable results. Among the 13 patients, imaging with both Ga-68 DOTA-TATE and Ga-68 DOTA-NOC gave negative results in five (38%) patients and positive results in eight (62%) patients. A total of 45 lesions were identified on Ga-68 DOTA-TATE images and 42 on Ga-68 DOTA-NOC images; three lesions were missed. Lesion uptake was significantly higher on Ga-68 DOTA-TATE images. Maximum standardized uptake values of Ga-68 DOTA-TATE and Ga-68 DOTA-NOC were 12.9±9.1 and 6.3±4.1 (n=54, PDOTA-TATE has a higher lesion uptake even in WDTC patients and may have potential advantage over Ga-68 DOTA-NOC.

  1. Reaction studies of hot silicon and germanium radicals. Period covered: September 1, 1977--August 31, 1978

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1978-01-01

    The experimental approach to attaining the goals of this research program is briefly outlined and the progress made in the last year is reviewed in sections entitled: primary steps in the reaction of recoiling silicon and germanium atoms and the identification of reactive intermediates; thermally induced silylene and germylene reactions; the role of ionic reactions in the chemistry of recoiling silicon atoms and other ion-molecule reactions studies; and silicon free radical chemistry

  2. Angular distributions of 250 GeV/c positive particles axially channeled in germanium crystal. Pt. 3

    International Nuclear Information System (INIS)

    Sun, C.R.; Gibson, W.M.; Kim, I.J.; Williams, G.O.; Carrigan, R.A. Jr.; Chrisman, B.L.; Toohig, T.E.; Guzik, Z.; Nigmanov, T.S.; Tsyganov, A.S.

    1982-01-01

    Channeling phenomena are observed for charged particles of momentum up to 250 GeV/c in a germanium crystal. The angular distributions of the channeled particles are compared with theoretical predictions based on a diffusion model. The results indicate additional mechanisms leading to dechanneling of the particles although channeling effects are observed for particles incident at up to several times the critical angle, in contrast with the results from low energy channeling. (orig.)

  3. 41 CFR 105-68.905 - Affiliate.

    Science.gov (United States)

    2010-07-01

    ... management, ownership, or principal employees as the excluded person. ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Affiliate. 105-68.905 Section 105-68.905 Public Contracts and Property Management Federal Property Management Regulations System...

  4. High spin states in 66,68Ge

    International Nuclear Information System (INIS)

    Hermkens, U.; Becker, F.; Eberth, J.; Freund, S.; Mylaeus, T.; Skoda, S.; Teichert, W.; Werth, A. v.d.

    1992-01-01

    High spin states of 66,68 Ge have been investigated at the FN Tandem accelerator of the University of Koeln via the reactions 40 Ca( 32 S,α2p,4p) 66,68 Ge at a beam energy of 100 MeV and 58 Ni( 16 O,α2p) 68 Ge at 65 MeV. The OSIRIS spectrometer with 12 escape suppressed Ge detectors was used to measure γγ coincidences and γ-ray angular distributions. In 66 Ge ( 68 Ge) 33 (22) new levels were found and 63 (62) new γ-transitions were placed in the level scheme. Both nuclei show a rather complicated but similar excitation pattern, ruled by the interplay of quasiparticle and collective degrees of freedom. The results are compared to the recently published EXVAM calculations for 68 Ge. (orig.)

  5. 40 CFR 68.81 - Incident investigation.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Incident investigation. 68.81 Section 68.81 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED... appropriate knowledge and experience to thoroughly investigate and analyze the incident. (d) A report shall be...

  6. 44 CFR 68.9 - Admissible evidence.

    Science.gov (United States)

    2010-10-01

    ... 44 Emergency Management and Assistance 1 2010-10-01 2010-10-01 false Admissible evidence. 68.9 Section 68.9 Emergency Management and Assistance FEDERAL EMERGENCY MANAGEMENT AGENCY, DEPARTMENT OF... admissible. (b) Documentary and oral evidence shall be admissible. (c) Admissibility of non-expert testimony...

  7. Influence of oxygen on the annealing of radioactive defects in germanium

    International Nuclear Information System (INIS)

    Gasimov, G.M.; Mustafayev, Yn.M.; Gasimova, V.G.

    2002-01-01

    The isochronal annealing were carried out in the wide temperature range, for the establishment of oxygen influence on the annealing of radioactive defects (Rd) in any radiated germanium samples, concentrated with oxygen up to concentration of 9.7·10 16 cm -3 . It is shown that the curves of isochronal annealing of one of the such samples 1, with primary current charge concentration of 9.0·10 cm 14 , radiated by integral electron flow of φ= 8.0·10 16 cm -3 , at 293 K and also the non-oxygen samples 2, with primary concentration of 1.7·10 cm -3 , radiated at above mentioned conditions. The sample 1 is converted by radiation to p-type, but the conversion not occur in samples 2. It is illustrated, that that there is two annealing stage at 340-430 K, for the samples 2, which in results takes place the complete annealing of the RD. At 300 K the annealing takes place in samples of 1, but at 340 K - the reverse annealing of RD. The sample was at compensated state in the temperature range of 360-400 K. An annealing of RD takes place again at 440 K and the sample re-converted its conductivity type. The reverse annealing at 480 K, and at about 510 K, the substantial annealing of the defects has been observed, which in results a sample restores it's primary parameters. The carried out experiments show that as in converted, and also in n-type be samples, Is observed the reverse annealing of RD, but the reverse annealing of current charge carriers in n-type samples is observed only at such conditions, of the integral flow of accelerated elections exceeds the primary concentration of current charge carriers about 4 time of magnitude (φ≥4n 0 ). Besides, the complete annealing of RD in germanium samples concentrated with oxygen, takes place at more high temperatures in comparison with the non-oxygen samples

  8. Impact of ICRP publication 68

    International Nuclear Information System (INIS)

    Carter, M.W.; Woods, D.A.

    1996-01-01

    ICRP Publication 61 was a temporary replacement for ICRP Publication 30. It gave ALIs but not the underlying dose conversion factors. ICRP Publication 68 has now been issued to replace Publication 61; it contains the dose conversion factors but not the ALIs, so comparison is impossible without carrying out calculations. This paper presents comparisons between the two publications and calculates the ICRP Publication 68 ALIs for some of the more common radionuclides. (author)

  9. Automation of the Characterization of High Purity Germanium Detectors

    Science.gov (United States)

    Dugger, Charles ``Chip''

    2014-09-01

    Neutrinoless double beta decay is a rare hypothesized process that may yield valuable insight into the fundamental properties of the neutrino. Currently there are several experiments trying to observe this process, including the Majorana DEMONSTRAOR experiment, which uses high purity germanium (HPGe) detectors to generate and search for these events. Because the event happens internally, it is essential to have the lowest background possible. This is done through passive detector shielding, as well as event discrimination techniques that distinguish between multi-site events characteristic of gamma-radiation, and single-site events characteristic of neutrinoless double beta decay. Before fielding such an experiment, the radiation response of the detectors must be characterized. A robotic arm is being tested for future calibration of HPGe detectors. The arm will hold a source at locations relative to the crystal while data is acquired. Several radioactive sources of varying energy levels will be used to determine the characteristics of the crystal. In this poster, I will present our work with the robot, as well as the characterization of data we took with an underground HPGe detector at the WIPP facility in Carlsbad, NM (2013). Neutrinoless double beta decay is a rare hypothesized process that may yield valuable insight into the fundamental properties of the neutrino. Currently there are several experiments trying to observe this process, including the Majorana DEMONSTRAOR experiment, which uses high purity germanium (HPGe) detectors to generate and search for these events. Because the event happens internally, it is essential to have the lowest background possible. This is done through passive detector shielding, as well as event discrimination techniques that distinguish between multi-site events characteristic of gamma-radiation, and single-site events characteristic of neutrinoless double beta decay. Before fielding such an experiment, the radiation response of

  10. Maximizing Tensile Strain in Germanium Nanomembranes for Enhanced Optoelectronic Properties

    Science.gov (United States)

    Sanchez Perez, Jose Roberto

    Silicon, germanium, and their alloys, which provide the leading materials platform of microelectronics, are extremely inefficient light emitters because of their indirect fundamental energy band gap. This basic materials property has so far hindered the development of group-IV photonic-active devices, including light emitters and diode lasers, thereby significantly limiting our ability to integrate electronic and photonic functionalities at the chip level. Theoretical studies have predicted that tensile strain in Ge lowers the direct energy band gap relative to the indirect one, and that, with sufficient strain, Ge becomes direct-band gap, thus enabling facile interband light emission and the fabrication of Group IV lasers. It has, however, not been possible to impart sufficient strain to Ge to reach the direct-band gap goal, because bulk Ge fractures at much lower strains. Here it is shown that very thin sheets of Ge(001), called nanomembranes (NMs), can be used to overcome this materials limitation. Germanium nanomembranes (NMs) in the range of thicknesses from 20nm to 100nm were fabricated and then transferred and mounted to a flexible substrate [a polyimide (PI) sheet]. An apparatus was developed to stress the PI/NM combination and provide for in-situ Raman measurements of the strain as a function of applied stress. This arrangement allowed for the introduction of sufficient biaxial tensile strain (>1.7%) to transform Ge to a direct-band gap material, as determined by photoluminescence (PL) measurements and theory. Appropriate shifts in the emission spectrum and increases in PL intensities were observed. The advance in this work was nanomembrane fabrication technology; i.e., making thin enough Ge sheets to accept sufficiently high levels of strain without fracture. It was of interest to determine if the strain at which fracture ultimately does occur can be raised, by evaluating factors that initiate fracture. Attempts to assess the effect of free edges (enchant

  11. Impact of negative capacitance effect on Germanium Double Gate pFET for enhanced immunity to interface trap charges

    Science.gov (United States)

    Bansal, Monika; Kaur, Harsupreet

    2018-05-01

    In this work, a comprehensive drain current model has been developed for long channel Negative Capacitance Germanium Double Gate p-type Field Effect Transistor (NCGe-DG-pFET) by using 1-D Poisson's equation and Landau-Khalatnikov equation. The model takes into account interface trap charges and by using the derived model various parameters such as surface potential, gain, gate capacitance, subthreshold swing, drain current, transconductance, output conductance and Ion/Ioff ratio have been obtained and it is demonstrated that by incorporating ferroelectric material as gate insulator with Ge-channel, subthreshold swing values less than 60 mV/dec can be achieved along with improved gate controllability and current drivability. Further, to critically analyze the advantages offered by NCGe-DG-pFET, a detailed comparison has been done with Germanium Double Gate p-type Field Effect Transistor (Ge-DG-pFET) and it is shown that NCGe-DG-pFET exhibits high gain, enhanced transport efficiency in channel, very less or negligible degradation in device characteristics due to interface trap charges as compared to Ge-DG-pFET. The analytical results so obtained show good agreement with simulated results obtained from Silvaco ATLAS TCAD tool.

  12. Imaging of gamma rays with the WINKLER high-resolution germanium spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, T.R.; Hamilton, T.W.; Hawley, J.D.; Kilner, J.R.; Murphy, M.J.; Nakano, G.H. (Luckheed Palo Alto Research Lab., Palo Alto, CA (US))

    1990-06-01

    The WINKLER spectrometer is a matrix of nine high-purity {ital n}-type germanium detectors developed for astrophysical observations and terrestrial radiation monitoring. The spectrometer has been fitted with a set of modulation collimator grids designed for imaging hard x-ray and gamma-ray sources by the Mertz, Nakano, and Kilner method. This technique employs a pair of gridded collimators in front of each detector with the number of grid bars varying from one to {ital N}, where {ital N} is the number of detectors. When the collimator pairs are rotated through a full 360-degree angular range, the detector signals provide the information for a two-dimensional band-limited Fourier reconstruction of order {ital N}. Tests of the spectrometer with single and multiple point sources as well as continuous source distributions are reported.

  13. A Moessbauer study of the germanium two-electron donor centers in PbSe

    International Nuclear Information System (INIS)

    Terukov, E.I.; Khuzhakulov, Eh.S.

    2005-01-01

    The 73 As( 73 Ge) Moessbauer emission spectroscopy is used for identification of neutral and ionized two-electron germanium centers in PbSe. It is shown that the charge state of antistructural defect 73 Ge, generating in the anion sublattice after 73 As radioactive decay, does not depend on the Fermi level position. In contrast to this, the 73 Ge center in the cation PbSe sublattice represents the electrically active substitution impurity. The emission spectra correspond to the neutral state of the ( 73 Ge 2+ ) donor center in n-type conductors and to the double ionized state of this ( 73 Ge 4+ ) center in p-type conductors [ru

  14. Enterovirus D68 disease and molecular epidemiology in Australia.

    Science.gov (United States)

    Levy, Avram; Roberts, Jason; Lang, Jurissa; Tempone, Simone; Kesson, Alison; Dofai, Alfred; Daley, Andrew J; Thorley, Bruce; Speers, David J

    2015-08-01

    Enterovirus D68 (EV-D68) has received considerable recent attention as a cause of widespread respiratory illness. Neurological syndromes such as acute flaccid paralysis following EV-D68 infection have also been reported in a small number of cases. To summarize the clinical and epidemiological characteristics of laboratory confirmed EV-D68 cases in Australia. We combined EV-D68 data acquired through laboratory surveillance in Western Australia with cases from national enterovirus surveillance and regional acute flaccid paralysis (AFP) surveillance. Clinical data was obtained for EV-D68 cases and capsid protein sequences were used for phylogenetic analysis. Sporadic cases of EV-D68 were recorded in Australia since 2008, with peaks in activity during 2011 and 2013. EV-D68 was primarily associated with respiratory disease, but was also detected in cerebrospinal fluid of one patient and faeces of two patients presenting with AFP. EV-D68 has been circulating in Western Australia and is likely to have also been present in the wider region for a number of years, causing primarily respiratory disease. Detection of EV-D68 in cerebrospinal fluid of one patient and in faeces of two AFP cases reinforces the association between EV-D68 and neurological disease. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Feasibility and availability of {sup 68}Ga-labelled peptides

    Energy Technology Data Exchange (ETDEWEB)

    Decristoforo, Clemens [Innsbruck Medical University, Department of Nuclear Medicine, Innsbruck (Austria); European Directorate of Quality of Medicines, Group 14, Radioactive Compounds, The European Pharmacopeia, Strasbourg (France); Pickett, Roger D. [GE Healthcare, Little Chalfont (United Kingdom); European Directorate of Quality of Medicines, Group 14, Radioactive Compounds, The European Pharmacopeia, Strasbourg (France); Verbruggen, Alfons [University of Leuven, Laboratory of Radiopharmacy, Department of Pharmaceutical Sciences, Leuven (Belgium); European Directorate of Quality of Medicines, Group 14, Radioactive Compounds, The European Pharmacopeia, Strasbourg (France)

    2012-02-15

    {sup 68}Ga has attracted tremendous interest as a radionuclide for PET based on its suitable half-life of 68 min, high positron emission yield and ready availability from {sup 68}Ge/{sup 68}Ga generators, making it independent of cyclotron production. {sup 68}Ga-labelled DOTA-conjugated somatostatin analogues, including DOTA-TOC, DOTA-TATE and DOTA-NOC, have driven the development of technologies to provide such radiopharmaceuticals for clinical applications mainly in the diagnosis of somatostatin receptor-expressing tumours. We summarize the issues determining the feasibility and availability of {sup 68}Ga-labelled peptides, including generator technology, {sup 68}Ga generator eluate postprocessing methods, radiolabelling, automation and peptide developments, and also quality assurance and regulatory aspects. {sup 68}Ge/{sup 68}Ga generators based on SnO{sub 2}, TiO{sub 2} or organic matrices are today routinely supplied to nuclear medicine departments, and a variety of automated systems for postprocessing and radiolabelling have been developed. New developments include improved chelators for {sup 68}Ga that could open new ways to utilize this technology. Challenges and limitations in the on-site preparation and use of {sup 68}Ga-labelled peptides outside the marketing authorization track are also discussed. (orig.)

  16. Applications of a Ga-68/Ge-68 generator system to brain imaging using a multiwire proportional chamber positron camera

    International Nuclear Information System (INIS)

    Hattner, R.S.; Lim, C.B.; Swann, S.J.; Kaufman, L.; Chu, D.; Perez-Mendez, V.

    1976-01-01

    A Ge-68/Ga-68 generator system has been applied to brain imaging in conjunction with a novel coincidence detection based positron camera. The camera consists of two opposed large area multiwire proportional chamber (MWPC) detectors interfaced to multichannel lead converter plates. Event localization is effected of delay lines. Ten patients with brain lesions have been studied 1-2 hours after the administration of Ga-68 formulated as DTPA. The images were compared to conventional brain scans, and to x-ray section scans (CAT). The positron studies have shown significant mitigation of confusing superficial activity resulting from craniotomy compared to conventional brain scans. Central necrosis of lesions observed in positron images, but not in the conventional scans has been confirmed in CAT. The economy of MWPC positron cameras combined with the ideal characteristics of the Ge-68/Ga-68 generator promise a cost efficient imaging system for the future

  17. 7 CFR 29.68 - Advance information.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 2 2010-01-01 2010-01-01 false Advance information. 29.68 Section 29.68 Agriculture Regulations of the Department of Agriculture AGRICULTURAL MARKETING SERVICE (Standards, Inspections, Marketing... part of the contents of such certificate may be tel- egraphed or telephoned to him as his expense...

  18. Comparison of {sup 68}Ga-DOTATATE and {sup 68}Ga-DOTANOC PET/CT imaging in the same patient group with neuroendocrine tumours

    Energy Technology Data Exchange (ETDEWEB)

    Kabasakal, Levent [Istanbul University, Department of Nuclear Medicine, Cerrahpasa Medical Faculty, Istanbul (Turkey); Cerrahpasa Tip Fakultesi, Nukleer Tip Anabilim Dali, Aksaray, Istanbul (Turkey); Demirci, Emre; Uslu, Ilhami; Kanmaz, Bedii [Istanbul University, Department of Nuclear Medicine, Cerrahpasa Medical Faculty, Istanbul (Turkey); Ocak, Meltem; Araman, Ahmet; Ozsoy, Yildiz [Istanbul University, Department of Pharmaceutical Technology, Pharmacy Faculty, Istanbul (Turkey); Decristoforo, Clemens [Medical University of Innsbruck, Clinical Department of Nuclear Medicine, Innsbruck (Austria)

    2012-08-15

    Recent studies have suggested that positron emission tomography (PET) imaging with {sup 68}Ga-labelled DOTA-somatostatin analogues (SST) like octreotide and octreotate is useful in diagnosing neuroendocrine tumours (NETs) and has superior value over both CT and planar and single photon emission computed tomography (SPECT) somatostatin receptor scintigraphy (SRS). The aim of the present study was to evaluate the role of {sup 68}Ga-DOTA-1-NaI{sup 3}-octreotide ({sup 68}Ga-DOTANOC) in patients with SST receptor-expressing tumours and to compare the results of {sup 68}Ga-DOTA-D-Phe{sup 1}-Tyr{sup 3}-octreotate ({sup 68}Ga-DOTATATE) in the same patient population. Twenty SRS were included in the study. Patients' age (n = 20) ranged from 25 to 75 years (mean 55.4 {+-} 12.7 years). There were eight patients with well-differentiated neuroendocrine tumour (WDNET) grade1, eight patients with WDNET grade 2, one patient with poorly differentiated neuroendocrine carcinoma (PDNEC) grade 3 and one patient with mixed adenoneuroendocrine tumour (MANEC). All patients had two consecutive PET studies with {sup 68}Ga-DOTATATE and {sup 68}Ga-DOTANOC. All images were evaluated visually and maximum standardized uptake values (SUV{sub max}) were also calculated for quantitative evaluation. On visual evaluation both tracers produced equally excellent image quality and similar body distribution. The physiological uptake sites of pituitary and salivary glands showed higher uptake in {sup 68}Ga-DOTATATE images. Liver and spleen uptake values were evaluated as equal. Both {sup 68}Ga-DOTATATE and {sup 68}Ga-DOTANOC were negative in 6 (30 %) patients and positive in 14 (70 %) patients. In {sup 68}Ga-DOTANOC images only 116 of 130 (89 %) lesions could be defined and 14 lesions were missed because of lack of any uptake. SUV{sub max} values of lesions were significantly higher on {sup 68}Ga-DOTATATE images. Our study demonstrated that the images obtained by {sup 68}Ga-DOTATATE and {sup 68}Ga

  19. Selected Ga-68-siderophores versus Ga-68-colloid and Ga-68-citrate: biodistribution and small animal imaging in mice

    Czech Academy of Sciences Publication Activity Database

    Petřík, M.; Vlčková, A.; Nový, Z.; Urbánek, Lubor; Haas, H.; Decristoforo, C.

    2015-01-01

    Roč. 159, č. 1 (2015), s. 60-66 ISSN 1213-8118 R&D Projects: GA MŠk(CZ) LO1304 Institutional support: RVO:61389030 Keywords : gallium-68 * siderophores * colloid Subject RIV: EB - Genetics ; Molecular Biology Impact factor: 0.924, year: 2015

  20. Effects of trap-assisted tunneling on gate-induced drain leakage in silicon-germanium channel p-type FET for scaled supply voltages

    Science.gov (United States)

    Tiwari, Vishal A.; Divakaruni, Rama; Hook, Terence B.; Nair, Deleep R.

    2016-04-01

    Silicon-germanium is considered as an alternative channel material to silicon p-type FET (pFET) for the development of energy efficient high performance transistors for 28 nm and beyond in a high-k metal gate technology because of its lower threshold voltage and higher mobility. However, gate-induced drain leakage (GIDL) is a concern for high threshold voltage device design because of tunneling at reduced bandgap. In this work, the trap-assisted tunneling and band-to-band tunneling (BTBT) effects on GIDL is analyzed and modeled for SiGe pFETs. Experimental results and Monte Carlo simulation results reveal that the pre-halo germanium pre-amorphization implant used to contain the short channel effects contribute to GIDL at the drain sidewall in addition to GIDL due to BTBT in SiGe devices. The results are validated by comparing the experimental observations with the numerical simulation and a set of calibrated models are used to describe the GIDL mechanisms for various drain and gate bias.

  1. 41 CFR 105-68.1005 - State.

    Science.gov (United States)

    2010-07-01

    ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false State. 105-68.1005...-GOVERNMENTWIDE DEBARMENT AND SUSPENSION (NONPROCUREMENT) Definitions § 105-68.1005 State. (a) State means— (1) Any of the states of the United States; (2) The District of Columbia; (3) The Commonwealth of Puerto...

  2. Parametric Net Influx Rate Images of 68Ga-DOTATOC and 68Ga-DOTATATE: Quantitative Accuracy and Improved Image Contrast.

    Science.gov (United States)

    Ilan, Ezgi; Sandström, Mattias; Velikyan, Irina; Sundin, Anders; Eriksson, Barbro; Lubberink, Mark

    2017-05-01

    68 Ga-DOTATOC and 68 Ga-DOTATATE are radiolabeled somatostatin analogs used for the diagnosis of somatostatin receptor-expressing neuroendocrine tumors (NETs), and SUV measurements are suggested for treatment monitoring. However, changes in net influx rate ( K i ) may better reflect treatment effects than those of the SUV, and accordingly there is a need to compute parametric images showing K i at the voxel level. The aim of this study was to evaluate parametric methods for computation of parametric K i images by comparison to volume of interest (VOI)-based methods and to assess image contrast in terms of tumor-to-liver ratio. Methods: Ten patients with metastatic NETs underwent a 45-min dynamic PET examination followed by whole-body PET/CT at 1 h after injection of 68 Ga-DOTATOC and 68 Ga-DOTATATE on consecutive days. Parametric K i images were computed using a basis function method (BFM) implementation of the 2-tissue-irreversible-compartment model and the Patlak method using a descending aorta image-derived input function, and mean tumor K i values were determined for 50% isocontour VOIs and compared with K i values based on nonlinear regression (NLR) of the whole-VOI time-activity curve. A subsample of healthy liver was delineated in the whole-body and K i images, and tumor-to-liver ratios were calculated to evaluate image contrast. Correlation ( R 2 ) and agreement between VOI-based and parametric K i values were assessed using regression and Bland-Altman analysis. Results: The R 2 between NLR-based and parametric image-based (BFM) tumor K i values was 0.98 (slope, 0.81) and 0.97 (slope, 0.88) for 68 Ga-DOTATOC and 68 Ga-DOTATATE, respectively. For Patlak analysis, the R 2 between NLR-based and parametric-based (Patlak) tumor K i was 0.95 (slope, 0.71) and 0.92 (slope, 0.74) for 68 Ga-DOTATOC and 68 Ga-DOTATATE, respectively. There was no bias between NLR and parametric-based K i values. Tumor-to-liver contrast was 1.6 and 2.0 times higher in the parametric

  3. In-beam measurement of the position resolution of a highly segmented coaxial germanium detector

    International Nuclear Information System (INIS)

    Descovich, M.; Lee, I.Y.; Fallon, P.; Cromaz, M.; Macchiavelli, A.O.; Radford, D.C.; Vetter, K.; Clark, R.M.; Deleplanque, M.A.; Stephens, F.S.; Ward, D.

    2005-01-01

    The position resolution of a highly segmented coaxial germanium detector was determined by analyzing the 2055keV γ-ray transition of Zr90 excited in a fusion-evaporation reaction. The high velocity of the Zr90 nuclei imparted large Doppler shifts. Digital analysis of the detector signals recovered the energy and position of individual γ-ray interactions. The location of the first interaction in the crystal was used to correct the Doppler energy shift. Comparison of the measured energy resolution with simulations implied a position resolution (root mean square) of 2mm in three-dimensions

  4. 7 CFR 929.68 - Effective time.

    Science.gov (United States)

    2010-01-01

    ... 7 Agriculture 8 2010-01-01 2010-01-01 false Effective time. 929.68 Section 929.68 Agriculture... Effective time. The provisions of this part, and of any amendment thereto, shall become effective at such time as the Secretary may declare above his signature and shall continue in force until terminated in...

  5. Sensitivity of LDEF foil analyses using ultra-low background germanium vs. large NaI(Tl) multidimensional spectrometers

    International Nuclear Information System (INIS)

    Reeves, J.H.; Arthur, R.J.; Brodzinski, R.L.

    1992-06-01

    Cobalt foils and stainless steel samples were analyzed for induced 6O Co activity with both an ultra-low background germanium gamma-ray spectrometer and with a large NaI(Tl) multidimensional spectrometer, both of which use electronic anticoincidence shielding to reduce background counts resulting from cosmic rays. Aluminum samples were analyzed for 22 Na. The results, in addition to the relative sensitivities and precisions afforded by the two methods, are presented

  6. 40 CFR 68.168 - Five-year accident history.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 15 2010-07-01 2010-07-01 false Five-year accident history. 68.168 Section 68.168 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR PROGRAMS (CONTINUED) CHEMICAL ACCIDENT PREVENTION PROVISIONS Risk Management Plan § 68.168 Five-year accident history...

  7. 40 CFR 61.68 - Emission monitoring.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 8 2010-07-01 2010-07-01 false Emission monitoring. 61.68 Section 61....68 Emission monitoring. (a) A vinyl chloride monitoring system is to be used to monitor on a... monitoring system(s) used to meet the requirement in paragraph (a) of this section is to be a device which...

  8. 41 CFR 50-204.68 - Hydrogen.

    Science.gov (United States)

    2010-07-01

    ... 41 Public Contracts and Property Management 1 2010-07-01 2010-07-01 true Hydrogen. 50-204.68..., Vapors, Fumes, Dusts, and Mists § 50-204.68 Hydrogen. The in-plant transfer, handling, storage, and utilization of hydrogen shall be in accordance with Compressed Gas Association Pamphlets G-5.1-1961 and G-5.2...

  9. High spin states in 68Zn

    International Nuclear Information System (INIS)

    Bruandet, J.-F.; Berthet, B.; Morand, C.; Gironi, A.; Longequeue, J.-P.; Tsan Ung Chan.

    1976-01-01

    Yrast levels of 68 Zn have been investigated via measurements of excitation functions and angular distributions of single γ-rays and of γ-γ coincidences. Following the 65 Cu(α,pγ) 68 Zn reaction with α particle energies between 12-21MeV. Spin up to J=8 were assigned to observed states [fr

  10. 68Ga-Based Radiopharmaceuticals: Production and Application Relationship

    Directory of Open Access Journals (Sweden)

    Irina Velikyan

    2015-07-01

    Full Text Available The contribution of 68Ga to the promotion and expansion of clinical research and routine positron emission tomography (PET for earlier better diagnostics and individualized medicine is considerable. The potential applications of 68Ga-comprising imaging agents include targeted, pre-targeted and non-targeted imaging. This review discusses the key aspects of the production of 68Ga and 68Ga-based radiopharmaceuticals in the light of the impact of regulatory requirements and endpoint pre-clinical and clinical applications.

  11. Radiation defects in Te-implanted germanium. Electron microscopy and computer simulation studies

    International Nuclear Information System (INIS)

    Kalitzova, M.G.; Karpuzov, D.S.; Pashov, N.K.

    1985-01-01

    Direct observation of radiation damage induced by heavy ion implantation in crystalline germanium by means of high-resolution electron microscopy is reported. The dark-field lattice imaging mode is used, under conditions suitable for object-like imaging. Conventional TEM is used for estimating the efficiency of creating visibly damaged regions. Heavy ion damage clusters with three types of inner structure are observed: with near-perfect crystalline cores, and with metastable and stable amorphous cores. The MARLOWE computer code is used to simulate the atomic collision cascades and to obtain the lateral spread distributions of point defects created. A comparison of high-resolution electron microscopy (HREM) with computer simulation results shows encouraging agreement for the average cluster dimensions and for the lateral spread of vacancies and interstitials. (author)

  12. 27 CFR 19.68 - Other businesses.

    Science.gov (United States)

    2010-04-01

    ... 27 Alcohol, Tobacco Products and Firearms 1 2010-04-01 2010-04-01 false Other businesses. 19.68... Activities Not Subject to This Part § 19.68 Other businesses. The appropriate TTB officer may authorize the carrying on of other businesses (not specifically prohibited by 26 U.S.C. 5601(a)(6)) on premises of plants...

  13. Når vi taler om 68

    DEFF Research Database (Denmark)

    Jensen, Henrik; Metz, Georg

    Når vi taler om 68 er en intellektuel samtale mellem to ligeværdige gentlemen og skallesmækkere. En essayistisk dyst om porno, RAF, Pittelkow og livsfilosofi......Når vi taler om 68 er en intellektuel samtale mellem to ligeværdige gentlemen og skallesmækkere. En essayistisk dyst om porno, RAF, Pittelkow og livsfilosofi...

  14. Transport in silicon-germanium heterostructures

    International Nuclear Information System (INIS)

    Chrastina, Daniel

    2001-01-01

    The work presented here describes the electrical characterization of n- and p-type strained silicon-germanium systems. Theories of quantum transport m low magnetic fields at low temperature are discussed m terms of weak-localization: the traditional theory is shown not to account for the dephasing in a 2-dimensional hole gas behaving in a metallic manner and emergent alternative theories, while promising, require refinement. The mobility as a function of sheet density is measured in a p-type pseudomorphic Si 0.5 Ge 0.5 across the temperature range 350mK-282K; it is shown that calculations of the mobility based on semi-classical scattering mechanisms fail below 10K where quantum transport effects become relevant. A room temperature Hall scattering factor has been extracted. A new functional form has been presented to fit the resistivity as a function of temperature, below 20K: traditional theories of screening and weak localization appear not to be applicable. It is also demonstrated that simple protection circuitry is essential if commercial-scale devices are to be meaningfully investigated. Mobility spectrum analysis is performed on an n-type strained-silicon device. Established analysis methods are discussed and a new method is presented based on the Bryan's Algorithm approach to maximum entropy. The breakdown of the QHE is also investigated: the critical current density compares well to that predicted by an existing theory. Finally, devices in which both electron and hole gases can be induced are investigated. However, it is shown that the two cannier species never co-exist. Design rules are presented which may allow more successful structures to be created. Results are presented which demonstrate the success and the utility of implanted contacts which selectively reach different regions of the structure. (author)

  15. Ultra-Low Noise Germanium Neutrino Detection system (ULGeN).

    Energy Technology Data Exchange (ETDEWEB)

    Cabrera-Palmer, Belkis [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Barton, Paul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2017-07-01

    Monitoring nuclear power plant operation by measuring the antineutrino flux has become an active research field for safeguards and non-proliferation. We describe various efforts to demonstrate the feasibility of reactor monitoring based on the detection of the Coherent Neutrino Nucleus Scattering (CNNS) process with High Purity Germanium (HPGe) technology. CNNS detection for reactor antineutrino energies requires lowering the electronic noise in low-capacitance kg-scale HPGe detectors below 100 eV as well as stringent reduction in other particle backgrounds. Existing state- of-the-art detectors are limited to an electronic noise of 95 eV-FWHM. In this work, we employed an ultra-low capacitance point-contact detector with a commercial integrated circuit preamplifier- on-a-chip in an ultra-low vibration mechanically cooled cryostat to achieve an electronic noise of 39 eV-FWHM at 43 K. We also present the results of a background measurement campaign at the Spallation Neutron Source to select the area with sufficient low background to allow a successful first-time measurement of the CNNS process.

  16. Ultra-Low Noise Germanium Neutrino Detection system (ULGeN)

    International Nuclear Information System (INIS)

    Cabrera-Palmer, Belkis; Barton, Paul

    2017-01-01

    Monitoring nuclear power plant operation by measuring the antineutrino flux has become an active research field for safeguards and non-proliferation. We describe various efforts to demonstrate the feasibility of reactor monitoring based on the detection of the Coherent Neutrino Nucleus Scattering (CNNS) process with High Purity Germanium (HPGe) technology. CNNS detection for reactor antineutrino energies requires lowering the electronic noise in low-capacitance kg-scale HPGe detectors below 100 eV as well as stringent reduction in other particle backgrounds. Existing state- of-the-art detectors are limited to an electronic noise of 95 eV-FWHM. In this work, we employed an ultra-low capacitance point-contact detector with a commercial integrated circuit preamplifier- on-a-chip in an ultra-low vibration mechanically cooled cryostat to achieve an electronic noise of 39 eV-FWHM at 43 K. We also present the results of a background measurement campaign at the Spallation Neutron Source to select the area with sufficient low background to allow a successful first-time measurement of the CNNS process.

  17. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  18. 29 CFR 801.68 - Authority of the Secretary.

    Science.gov (United States)

    2010-07-01

    ... 29 Labor 3 2010-07-01 2010-07-01 false Authority of the Secretary. 801.68 Section 801.68 Labor Regulations Relating to Labor (Continued) WAGE AND HOUR DIVISION, DEPARTMENT OF LABOR OTHER LAWS APPLICATION... of Decision and Order of Administrative Law Judge § 801.68 Authority of the Secretary. (a) The...

  19. Enterovirus D68 in Viet Nam (2009-2015).

    Science.gov (United States)

    Ny, Nguyen Thi Han; Anh, Nguyen To; Hang, Vu Thi Ty; Nguyet, Lam Anh; Thanh, Tran Tan; Ha, Do Quang; Minh, Ngo Ngoc Quang; Ha, Do Lien Anh; McBride, Angela; Tuan, Ha Manh; Baker, Stephen; Tam, Pham Thi Thanh; Phuc, Tran My; Huong, Dang Thao; Loi, Tran Quoc; Vu, Nguyen Tran Anh; Hung, Nguyen Van; Minh, Tran Thi Thuy; Xang, Nguyen Van; Dong, Nguyen; Nghia, Ho Dang Trung; Chau, Nguyen Van Vinh; Thwaites, Guy; van Doorn, H Rogier; Anscombe, Catherine; Le Van, Tan

    2017-01-01

    Since 1962, enterovirus D68 (EV-D68) has been implicated in multiple outbreaks and sporadic cases of respiratory infection worldwide, but especially in the USA and Europe with an increasing frequency between 2010 and 2014. We describe the detection, associated clinical features and molecular characterization of EV-D68 in central and southern Viet Nam between 2009 and 2015. Enterovirus/rhinovirus PCR positive respiratory or CSF samples taken from children and adults with respiratory/central nervous system infections in Viet Nam were tested by an EV-D68 specific PCR. The included samples were derived from 3 different observational studies conducted at referral hospitals across central and southern Viet Nam between 2009 and 2015. Whole-genome sequencing was carried out using a MiSeq based approach. Phylogenetic reconstruction and estimation of evolutionary rate and recombination were carried out in BEAST and Recombination Detection Program, respectively. EV-D68 was detected in 21/625 (3.4%) enterovirus/rhinovirus PCR positive respiratory samples but in none of the 15 CSF. All the EV-D68 patients were young children (age range: 11.8 - 24.5 months) and had moderate respiratory infections. Phylogenetic analysis suggested that the Vietnamese sequences clustered with those from Asian countries, of which 9 fell in the B1 clade, and the remaining sequence was identified within the A2 clade. One intra sub-clade recombination event was detected, representing the second reported recombination within EV-D68. The evolutionary rate of EV-D68 was estimated to be 5.12E -3 substitutions/site/year. Phylogenetic analysis indicated that the virus was imported into Viet Nam in 2008. We have demonstrated for the first time EV-D68 has been circulating at low levels in Viet Nam since 2008, associated with moderate acute respiratory infection in children. EV-D68 in Viet Nam is most closely related to Asian viruses, and clusters separately from recent US and European viruses that were

  20. Comparison of Ga-68 DOTA-TATE and Ga-68 DOTA-LAN PET/CT imaging in the same patient group with neuroendocrine tumours: preliminary results.

    Science.gov (United States)

    Demirci, Emre; Ocak, Meltem; Kabasakal, Levent; Araman, Ahmet; Ozsoy, Yildiz; Kanmaz, Bedii

    2013-08-01

    Recent studies have suggested that PET imaging with Ga-68-labelled DOTA-somatostatin analogues such as octreotide and octreotate is useful in diagnosing neuroendocrine tumours (NETs) and has superior value over both computed tomography and planar and SPECT somatostatin receptor scintigraphy. The aim of the present study was to evaluate the role of Ga-68 DOTA-lanreotide (Ga-68-DOTA-LAN) in patients with somatostatin receptor (sst)-expressing tumours and to compare the results of Ga-68 DOTA-D-Phe1-Tyr3-octreotate (Ga-68-DOTA-TATE) in the same patient population. Twelve patients with NETs who were referred to our department for somatostatin receptor scintigraphy were included in the study. There were four patients with well-differentiated neuroendocrine tumour (WDNET) grade 1, two patients with WDNET grade 2, and three patients with poorly differentiated neuroendocrine carcinoma (PDNEC) grade 3. There was also one patient with medullary thyroid cancer, one patient with meningioma and one patient with MEN-1. All patients underwent two consecutive PET imaging studies with Ga-68-DOTA-TATE and Ga-68 DOTA-LAN. All images were evaluated visually, and maximum standardized uptake value was calculated for quantitative evaluation. On visual examination of maximum intensity projection images, GA-68 DOTA-LAN was seen to have high background activity and high bone marrow uptake. Both tracers defined 67 lesions. Ga-68 DOTA-TATE images revealed 63 (94%) clearly defined lesions, missing four lesions. In contrast, Ga-68 DOTA-LAN images defined only 23 (44%) lesions, missing 44 (56%) lesions. Thirty-two bone lesions were detected on Ga-68-DOTA-TATE images. Among them, only 11 (34%) were positive on Ga-68 DOTA-LAN images, whereas 21 (66%) were negative. When we evaluated liver, mediastinum and gastrointestinal tract lesions, Ga-68 DOTA-LAN was seen to be positive for 12 (34%) lesions and negative for 23 (66%) lesions. Although the results are preliminary, the image quality obtained by