WorldWideScience

Sample records for ge substrates final

  1. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  2. Fabrication of SrGe2 thin films on Ge (100), (110), and (111) substrates

    Science.gov (United States)

    Imajo, T.; Toko, K.; Takabe, R.; Saitoh, N.; Yoshizawa, N.; Suemasu, T.

    2018-01-01

    Semiconductor strontium digermanide (SrGe2) has a large absorption coefficient in the near-infrared light region and is expected to be useful for multijunction solar cells. This study firstly demonstrates the formation of SrGe2 thin films via a reactive deposition epitaxy on Ge substrates. The growth morphology of SrGe2 dramatically changed depending on the growth temperature (300-700 °C) and the crystal orientation of the Ge substrate. We succeeded in obtaining single-oriented SrGe2 using a Ge (110) substrate at 500 °C. Development on Si or glass substrates will lead to the application of SrGe2 to high-efficiency thin-film solar cells.

  3. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  4. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  5. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  6. Effects of flexible substrate thickness on Al-induced crystallization of amorphous Ge thin films

    Energy Technology Data Exchange (ETDEWEB)

    Oya, Naoki [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Toko, Kaoru, E-mail: toko@bk.tsukuba.ac.jp [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, Noriyuki; Yoshizawa, Noriko [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan); Suemasu, Takashi [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2015-05-29

    Amorphous germanium (a-Ge) thin films were directly crystallized on flexible plastic substrates at 325 °C using Al-induced crystallization. The thickness of the plastic substrate strongly influenced the crystal quality of the resulting polycrystalline Ge layers. Using a thicker substrate lowered the stress on the a-Ge layer during annealing, which increased the grain size and fraction of (111)-oriented grains within the Ge layer. Employing a 125-μm-thick substrate led to 95% (111)-oriented Ge with grains having an average size of 100 μm. Transmission electron microscopy demonstrated that the Ge grains had a low-defect density. Production of high-quality Ge films on plastic substrates allows for the possibility for developing Ge-based electronic and optical devices on inexpensive flexible substrates. - Highlights: • Polycrystalline Ge thin films are directly formed on flexible plastic substrates. • Al-induced crystallization allows the low-temperature growth (325 °C) of amorphous Ge. • The substrate bending during annealing strongly influences the crystal quality of poly-Ge. • A thick substrate (125 μm) leads to 95% (111)-oriented Ge with grains 100 μm in size.

  7. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  8. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    OpenAIRE

    Hsu, Hung-Pin; Yang, Pong-Hong; Huang, Jeng-Kuang; Wu, Po-Hung; Huang, Ying-Sheng; Li, Cheng; Huang, Shi-Hao; Tiong, Kwong-Kau

    2013-01-01

    We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW) structure on Ge-on-Si virtual substrate (VS) grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR) in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spec...

  9. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  10. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  11. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  12. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  13. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  14. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    Directory of Open Access Journals (Sweden)

    Hung-Pin Hsu

    2013-01-01

    Full Text Available We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW structure on Ge-on-Si virtual substrate (VS grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spectral line shape fits and theoretical calculation led to the identification of various quantum-confined interband transitions. The temperature-dependent PR spectra of Ge/Si0.16Ge0.84 MQW were analyzed using Varshni and Bose-Einstein expressions. The parameters that describe the temperature variations of various quantum-confined interband transition energies were evaluated and discussed.

  15. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  16. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  17. Electrical isolation of dislocations in Ge layers on Si(001 substrates through CMOS-compatible suspended structures

    Directory of Open Access Journals (Sweden)

    Vishal Ajit Shah, Maksym Myronov, Chalermwat Wongwanitwatana, Lewis Bawden, Martin J Prest, James S Richardson-Bullock, Stephen Rhead, Evan H C Parker, Terrance E Whall and David R Leadley

    2012-01-01

    Full Text Available Suspended crystalline Ge semiconductor structures are created on a Si(001 substrate by a combination of epitaxial growth and simple patterning from the front surface using anisotropic underetching. Geometric definition of the surface Ge layer gives access to a range of crystalline planes that have different etch resistance. The structures are aligned to avoid etch-resistive planes in making the suspended regions and to take advantage of these planes to retain the underlying Si to support the structures. The technique is demonstrated by forming suspended microwires, spiderwebs and van der Pauw cross structures. We finally report on the low-temperature electrical isolation of the undoped Ge layers. This novel isolation method increases the Ge resistivity to 280 Ω cm at 10 K, over two orders of magnitude above that of a bulk Ge on Si(001 layer, by removing material containing the underlying misfit dislocation network that otherwise provides the main source of electrical conduction.

  18. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  19. Photo-sensitive Ge nanocrystal based films controlled by substrate deposition temperature

    Science.gov (United States)

    Stavarache, Ionel; Maraloiu, Valentin Adrian; Negrila, Catalin; Prepelita, Petronela; Gruia, Ion; Iordache, Gheorghe

    2017-10-01

    Lowering the temperature of crystallization by deposition of thin films on a heated substrate represents the easiest way to find new means to develop and improve new working devices based on nanocrystals embedded in thin films. The improvements are strongly related with the increasing of operation speed, substantially decreasing the energy consumption and reducing unit fabrication costs of the respective semiconductor devices. This approach avoids major problems, such as those related to diffusion or difficulties in controlling nanocrystallites size, which appear during thermal treatments at high temperatures after deposition. This article reports on a significant progress given by structuring Ge nanocrystals (Ge-NCs) embedded in silicon dioxide (SiO2) thin films by heating the substrate at 400 °C during co-deposition of Ge and SiO2 by magnetron sputtering. As a proof-of-concept, a Si/Ge-NCs:SiO2 photo-sensitive structure was fabricated thereof and characterized. The structure shows superior performance on broad operation bandwidth from visible to near-infrared, as strong rectification properties in dark, significant current rise in the inversion mode when illuminated, high responsivity, high photo-detectivity of 1014 Jones, quick response and significant conversion efficiency with peak value reaching 850% at -1 V and about 1000 nm. This simple preparation approach brings an important contribution to the effort of structuring Ge nanocrystallites in SiO2 thin films at a lower temperature for the purpose of using these materials for devices in optoelectronics, solar cells and electronics on flexible substrates.

  20. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pezzoli, Fabio, E-mail: fabio.pezzoli@unimib.it; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo [LNESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J. [School of Engineering, University of Glasgow, Rankine Building, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Isa, Fabio [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy); Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Biagioni, Paolo [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Isella, Giovanni [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy)

    2016-06-27

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO{sub 2} in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  1. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    International Nuclear Information System (INIS)

    Pezzoli, Fabio; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo; Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J.; Isa, Fabio; Biagioni, Paolo; Isella, Giovanni

    2016-01-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO_2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  2. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Science.gov (United States)

    Pezzoli, Fabio; Giorgioni, Anna; Gallacher, Kevin; Isa, Fabio; Biagioni, Paolo; Millar, Ross W.; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Isella, Giovanni; Paul, Douglas J.; Miglio, Leo

    2016-06-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  3. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  4. The effect of Ge precursor on the heteroepitaxy of Ge1-x Sn x epilayers on a Si (001) substrate

    Science.gov (United States)

    Jahandar, Pedram; Weisshaupt, David; Colston, Gerard; Allred, Phil; Schulze, Jorg; Myronov, Maksym

    2018-03-01

    The heteroepitaxial growth of Ge1-x Sn x on a Si (001) substrate, via a relaxed Ge buffer, has been studied using two commonly available commercial Ge precursors, Germane (GeH4) and Digermane (Ge2H6), by means of chemical vapour deposition at reduced pressures (RP-CVD). Both precursors demonstrate growth of strained and relaxed Ge1-x Sn x epilayers, however Sn incorporation is significantly higher when using the more reactive Ge2H6 precursor. As Ge2H6 is significantly more expensive, difficult to handle or store than GeH4, developing high Sn content epilayers using the latter precursor is of great interest. This study demonstrates the key differences between the two precursors and offers routes to process optimisation which will enable high Sn content alloys at relatively low cost.

  5. Photo-sensitive Ge nanocrystal based films controlled by substrate deposition temperature

    KAUST Repository

    Stavarache, Ionel

    2017-07-21

    Lowering the temperature of crystallization by deposition of thin films on a heated substrate represents the easiest way to find new means to develop and improve new working devices based on nanocrystals embedded in thin films. The improvements are strongly related with the increasing of operation speed, substantially decreasing the energy consumption and reducing unit fabrication costs of the respective semiconductor devices. This approach avoids major problems, such as those related to diffusion or difficulties in controlling of nanocrystallites size, which appear during thermal treatments at high temperatures after deposition. It is reported here the significant progress introduced by synthesis procedure to the in-situ structuring of Ge nanocrystallites in SiO2 thin films by heating the substrate at low temperature, 400 °C during co-deposition of Ge and SiO2 by magnetron sputtering. As a proof-of-concept, a Si/Ge-NCs:SiO2 photo-sensitive structure was fabricated thereof and characterized. The structure shows superior performance on broad operation bandwidth from visible to near-infrared, as strong rectification properties in dark, significant current rise in the inversion mode when illuminated, high responsivity, high photo-detectivity of 1014 Jones, quick response and significant conversion efficiency of 850 %. This simple preparation approach brings an important contribution to the efort of structuring Ge nanocrystallites in SiO2 thin films at a lower temperature for the purpose of using these materials for devices in optoelectronics, solar cells and electronics on flexible substrates.

  6. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  7. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  8. Fabrication of GaAs quantum dots by droplet epitaxy on Si/Ge virtual substrate

    International Nuclear Information System (INIS)

    Bietti, S; Sanguinetti, S; Somaschini, C; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2009-01-01

    We present here the fabrication, via droplet epitaxy, of GaAs/AlGaAs quantum dots with high optical efficiency on Si. The growth substrate lattice parameter was adapted to that of (Al)GaAs via Ge virtual substrates (GeVS). The samples clearly show the presence of quantum dot self-assembly, with the designed shape and density. Photoluminescence measurements, performed at low temperature, show an intense emission band from the quantum dots.

  9. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  10. Room Temperature Electroluminescence from Tensile-Strained Si0.13Ge0.87/Ge Multiple Quantum Wells on a Ge Virtual Substrate

    Directory of Open Access Journals (Sweden)

    Guangyang Lin

    2016-09-01

    Full Text Available Direct band electroluminescence (EL from tensile-strained Si0.13Ge0.87/Ge multiple quantum wells (MQWs on a Ge virtual substrate (VS at room temperature is reported herein. Due to the competitive result of quantum confinement Stark effect and bandgap narrowing induced by tensile strain in Ge wells, electroluminescence from Γ1-HH1 transition in 12-nm Ge wells was observed at around 1550 nm. As injection current density increases, additional emission shoulders from Γ2-HH2 transition in Ge wells and Ge VS appeared at around 1300–1400 nm and 1600–1700 nm, respectively. The peak energy of EL shifted to the lower energy side superquadratically with an increase of injection current density as a result of the Joule heating effect. During the elevation of environmental temperature, EL intensity increased due to a reduction of energy between L and Γ valleys of Ge. Empirical fitting of the relationship between the integrated intensity of EL (L and injection current density (J with L~Jm shows that the m factor increased with injection current density, suggesting higher light emitting efficiency of the diode at larger injection current densities, which can be attributed to larger carrier occupations in the Γ valley and the heavy hole (HH valance band at higher temperatures.

  11. Phase formation and texture of thin nickel germanides on Ge(001) and Ge(111)

    Energy Technology Data Exchange (ETDEWEB)

    De Schutter, B., E-mail: deschutter.bob@ugent.be; Detavernier, C. [Department of Solid-State Sciences, Ghent University, Krijgslaan 281/S1, 9000 Ghent (Belgium); Van Stiphout, K.; Santos, N. M.; Vantomme, A. [Instituut voor Kern- en Stralingsfysica, KU Leuven, Celestijnenlaan 200 D, B-3001 Leuven (Belgium); Bladt, E.; Bals, S. [Electron Microscopy for Materials Research (EMAT), University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Jordan-Sweet, J.; Lavoie, C. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Comrie, C. M. [Department of Physics, University of Cape Town, Rondebosch 7700 (South Africa)

    2016-04-07

    We studied the solid-phase reaction between a thin Ni film and a single crystal Ge(001) or Ge(111) substrate during a ramp anneal. The phase formation sequence was determined using in situ X-ray diffraction and in situ Rutherford backscattering spectrometry (RBS), while the nature and the texture of the phases were studied using X-ray pole figures and transmission electron microscopy. The phase sequence is characterized by the formation of a single transient phase before NiGe forms as the final and stable phase. X-ray pole figures were used to unambiguously identify the transient phase as the ϵ-phase, a non-stoichiometric Ni-rich germanide with a hexagonal crystal structure that can exist for Ge concentrations between 34% and 48% and which forms with a different epitaxial texture on both substrate orientations. The complementary information gained from both RBS and X-ray pole figure measurements revealed a simultaneous growth of both the ϵ-phase and NiGe over a small temperature window on both substrate orientations.

  12. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  13. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  14. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  15. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  16. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  17. Optoelectronic Characterization of Infrared Photodetector Fabricated on Ge-on-Si Substrate.

    Science.gov (United States)

    Khurelbaatar, Zagarzusem; Kil, Yeon-Ho; Kim, Taek Sung; Shim, Kyu-Hwan; Hong, Hyobong; Choi, Chel-Jong

    2015-10-01

    We report on the optoelectronic characterization of Ge p-i-n infrared photodetector fabricated on Ge-on-Si substrate using rapid thermal chemical vapor deposition (RTCVD). The phosphorous doping concentration and the root mean square (RMS) surface roughness of epitaxial layer was estimated to be 2 x 10(18) cm(-3) and 1.2 nm, respectively. The photodetector were characterized with respect to their dark, photocurrent and responsivities in the wavelength range of 1530-1630 nm. At 1550 nm wavelength, responsivity of 0.32 A/W was measured for a reverse bias of 1 V, corresponding to 25% external quantum efficiency, without an optimal antireflection coating. Responsivity drastically reduced from 1560 nm wavelength which could be attributed to decreased absorption of Ge at room temperature.

  18. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  19. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates

    International Nuclear Information System (INIS)

    Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Vincent, B.; Gencarelli, F.; Clarysse, T.; Vandervorst, W.; Caymax, M.; Loo, R.; Jensen, A.; Petersen, D.H.; Zaima, S.

    2012-01-01

    We have investigated the Ga and Sn content dependence of the crystallinity and electrical properties of Ga-doped Ge 1-x Sn x layers that are heteroepitaxially grown on Ge(001) substrates. The doping of Ga to levels as high as the solubility limit of Ga at the growth temperature leads to the introduction of dislocations, due to the increase in the strain of the Ge 1-x Sn x layers. We achieved the growth of a fully strained Ge 0.922 Sn 0.078 layer on Ge with a Ga concentration of 5.5 × 10 19 /cm 3 without any dislocations and stacking faults. The resistivity of the Ga-doped Ge 1-x Sn x layer decreased as the Sn content was increased. This decrease was due to an increase in the carrier concentration, with an increase in the activation level of Ga atoms in the Ge 1-x Sn x epitaxial layers being induced by the introduction of Sn. As a result, we found that the resistivity for the Ge 0.950 Sn 0.050 layer annealed at 600°C for 1 min is 3.6 times less than that of the Ga-doped Ge/Ge sample. - Highlights: ► Heavy Ga-doping into fully strained GeSn layers without the introduction of dislocations ► The uniform Ga depth profile allowed the introduction of Sn ► The decrease in resistivity with an increase in the activation level of Ga was caused by the introduction of Sn

  20. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  1. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  2. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  3. Nucleation sites of Ge nanoislands grown on pit-patterned Si substrate prepared by electron-beam lithography

    Science.gov (United States)

    Smagina, Zh. V.; Zinovyev, V. A.; Rudin, S. A.; Novikov, P. L.; Rodyakina, E. E.; Dvurechenskii, A. V.

    2018-04-01

    Regular pit-patterned Si(001) substrates were prepared by electron-beam lithography followed by plasma chemical etching. The geometry of the pits was controlled by varying the etching conditions and the electron-beam exposure duration. It was shown that the location of three-dimensional (3D) Ge nanoislands subsequently grown on the pit-patterned Si substrates depends on the shape of the pit bottom. In the case of pits having a sharp bottom, 3D Ge islands nucleate inside the pits. For pits with a wide flat bottom, the 3D Ge island nucleation takes place at the pit periphery. This effect is attributed to the strain relaxation depending not only on the initial pit shape, but also on its evolution during the Ge wetting layer deposition. It was shown by Monte Carlo simulations that in the case of a pit with a pointed bottom, the relaxation is most effective inside the pit, while for a pit with a wide bottom, the most relaxed area migrates during Ge deposition from the pit bottom to its edges, where 3D Ge islands nucleate.

  4. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  5. The effect of dielectric constants on noble metal/semiconductor SERS enhancement: FDTD simulation and experiment validation of Ag/Ge and Ag/Si substrates.

    Science.gov (United States)

    Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang

    2014-02-11

    The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.

  6. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  7. Photoluminescence investigation of strictly ordered Ge dots grown on pit-patterned Si substrates

    International Nuclear Information System (INIS)

    Brehm, Moritz; Grydlik, Martyna; Tayagaki, Takeshi; Schmidt, Oliver G; Langer, Gregor; Schäffler, Friedrich

    2015-01-01

    We investigate the optical properties of ordered Ge quantum dots (QDs) by means of micro-photoluminescence spectroscopy (PL). These were grown on pit-patterned Si(001) substrates with a wide range of pit-periods and thus inter QD-distances (425–3400 nm). By exploiting almost arbitrary inter-QD distances achievable in this way we are able to choose the number of QDs that contribute to the PL emission in a range between 70 and less than three QDs. This well-defined system allows us to clarify, by PL-investigation, several points which are important for the understanding of the formation and optical properties of ordered QDs. We directly trace and quantify the amount of Ge transferred from the surrounding wetting layer (WL) to the QDs in the pits. Moreover, by exploiting different pit-shapes, we reveal the role of strain-induced activation energy barriers that have to be overcome for charge carriers generated outside the dots. These need to diffuse between the energy minimum of the WL in and between the pits, and the one in the QDs. In addition, we demonstrate that the WL in the pits is already severely intermixed with Si before upright QDs nucleate, which further enhances intermixing of ordered QDs as compared to QDs grown on planar substrates. Furthermore, we quantitatively determine the amount of Ge transferred by surface diffusion through the border region between planar and patterned substrate. This is important for the growth of ordered islands on patterned fields of finite size. We highlight that the Ge WL-facets in the pits act as PL emission centres, similar to upright QDs. (paper)

  8. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  9. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  10. Generation of uniaxial tensile strain of over 1% on a Ge substrate for short-channel strained Ge n-type Metal–Insulator–Semiconductor Field-Effect Transistors with SiGe stressors

    International Nuclear Information System (INIS)

    Moriyama, Yoshihiko; Kamimuta, Yuuichi; Ikeda, Keiji; Tezuka, Tsutomu

    2012-01-01

    Tensile strain of over 1% in Ge stripes sandwiched between a pair of SiGe source-drain stressors was demonstrated. The Metal–Oxide–Semiconductor Field-Effect Transistor (MOSFET)-like structures were fabricated on a (001)-Ge substrate having SiO 2 dummy-gate stripes with widths down to 26 nm. Recess-regions adjacent to the dummy-gate stripes were formed by an anisotropic wet etching technique. A damage-free and well-controlled anisotropic wet etching process is developed in order to avoid plasma-induced damage during a conventional Reactive-ion Etching process. The SiGe stressors were epitaxially grown on the recesses to simulate strained Ge n-channel Metal–Insulator–Semiconductor Field-Effect Transistors (MISFETs) having high electron mobility. A micro-Raman spectroscopy measurement revealed tensile strain in the narrow Ge regions which became higher for narrower regions. Tensile strain of up to 1.2% was evaluated from the measurement under an assumption of uniaxial strain configuration. These results strongly suggest that higher electron mobility than the upper limit for a Si-MOSFET is obtainable in short-channel strained Ge-nMISFETs with the embedded SiGe stressors.

  11. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  12. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  13. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    de confirmer l'efficacite du procede de preparation de surface et d'identifier les conditions de croissance optimales. Les resultats de caracterisation indiquent que les materiaux obtenus presentent une tres faible rugosite de surface, une bonne qualite cristalline et un dopage residuel relativement important. De plus, l'interface GaAs/Ge possede une faible densite de defauts. Finalement, la diffusion d'arsenic dans le substrat de germanium est comparable aux valeurs trouvees dans la litterature pour la croissance a basse temperature avec les autres procedes d'epitaxie courants. Ces resultats confirment que la technique d'epitaxie par faisceaux chimiques (CBE) permet de produire des couches de GaAs sur Ge de qualite adequate pour la fabrication de cellules solaires a haute performance. L'apport a la communaute scientifique a ete maximise par le biais de la redaction d'un article soumis a la revue Journal of Crystal Growth et la presentation des travaux a la conference Photovoltaics Canada 2010 . Mots-cles : Epitaxie par jets chimiques, Chemical beam epitaxy, CBE, MOMBE, Germanium, GaAs, Ge

  14. Wetting Behavior of Ternary Au-Ge-X (X = Sb, Sn) Alloys on Cu and Ni

    Science.gov (United States)

    Jin, S.; Valenza, F.; Novakovic, R.; Leinenbach, C.

    2013-06-01

    Au-Ge-based alloys are potential substitutes for Pb-rich solders currently used for high-temperature applications. In the present work, the wetting behavior of two Au-Ge-X (X = Sb, Sn) ternary alloys, i.e., Au-15Ge-17Sb and Au-13.7 Ge-15.3Sn (at.%), in contact with Cu and Ni substrates has been investigated. Au-13.7Ge-15.3Sn alloy showed complete wetting on both Cu and Ni substrates. Total spreading of Au-15Ge-17Sb alloy on Cu was also observed, while the final contact angle of this alloy on Ni was about 29°. Pronounced dissolution of Cu substrates into the solder alloys investigated was detected, while the formation of Ni-Ge intermetallic compounds at the interface of both solder/Ni systems suppressed the dissolution of Ni into the solder.

  15. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  16. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  17. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  18. Effect of substrate temperature on the morphological, structural, and optical properties of RF sputtered Ge1−x Snx films on Si substrate

    International Nuclear Information System (INIS)

    Mahmodi, H; Hashim, M R

    2017-01-01

    In this study, Ge 1−x Sn x alloy films are co-sputtered on Si(100) substrates using RF magnetron sputtering at different substrate temperatures. Scanning electron micrographs, atomic force microscopy (AFM), Raman spectroscopy, and x-ray photoemission spectroscopy (XPS) are conducted to investigate the effect of substrate temperature on the structural and optical properties of grown GeSn alloy films. AFM results show that RMS surface roughness of the films increases from 1.02 to 2.30 nm when raising the substrate temperature. This increase could be due to Sn surface segregation that occurs when raising the substrate temperature. Raman spectra exhibits the lowest FWHM value and highest phonon intensity for a film sputtered at 140 °C. The spectra show that decreasing the deposition temperature to 140 °C improves the crystalline quality of the alloy films and increases nanocrystalline phase formation. The results of Raman spectra and XPS confirm Ge–Sn bond formation. The optoelectronic characteristics of fabricated metal-semiconductor-metal photodetectors on sputtered samples at room temperature (RT) and 140 °C are studied in the dark and under illumination. The sample sputtered at 140 °C performs better than the RT sputtered sample. (paper)

  19. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  20. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  1. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  2. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  3. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  4. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  5. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  6. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  7. Magnetic properties of ultrathin Co/Ge(111) and Co/Ge(100) films

    International Nuclear Information System (INIS)

    Cheng, W. C.; Tsay, J. S.; Yao, Y. D.; Lin, K. C.; Yang, C. S.; Lee, S. F.; Tseng, T. K.; Neih, H. Y.

    2001-01-01

    The orientation of the magnetization and the occurrence of interfacial ferromagnetic inactive layers for ultrathin Co films grown on Ge(111) and Ge(100) surfaces have been studied using the in situ surface magneto-optic Kerr effect. On a Ge(111) substrate, cobalt films (≤28 monolayers) with in-plane easy axis of magnetization have been observed; however, on a Ge(100) substrate, ultrathin Co films (14 - 16 monolayers) with canted out-of-plane easy axis of magnetization were measured. The ferromagnetic inactive layers were formed due to the intermixing of Co and Ge and lowering the Curie temperature by reducing Co film thickness. The Co - Ge compound inactive layers were 3.8 monolayers thick for Co films grown on Ge(111) and 6.2 monolayers thick for Co films deposited on Ge(100). This is attributed to the difference of the density of surface atoms on Ge(111) and Ge(100). [copyright] 2001 American Institute of Physics

  8. Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Chung-Yi; Chang, Chih-Chiang [Department of Electrical Engineering, Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 10617, Taiwan (China); Huang, Chih-Hsiung; Huang, Shih-Hsien [Department of Electrical Engineering, Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Liu, C. W., E-mail: chee@cc.ee.ntu.edu.tw [Department of Electrical Engineering, Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 10617, Taiwan (China); Department of Electrical Engineering, Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan (China); National Nano Device Labs, Hsinchu 30077, Taiwan (China); Huang, Yi-Chiau; Chung, Hua; Chang, Chorng-Ping [Applied Materials Inc., Sunnyvale, California 94085 (United States)

    2016-08-29

    Ge/strained GeSn/Ge quantum wells are grown on a 300 mm Si substrate by chemical vapor deposition. The direct bandgap emission from strained GeSn is observed in the photoluminescence spectra and is enhanced by Al{sub 2}O{sub 3}/SiO{sub 2} passivation due to the field effect. The electroluminescence of the direct bandgap emission of strained GeSn is also observed from the Ni/Al{sub 2}O{sub 3}/GeSn metal-insulator-semiconductor tunneling diodes. Electroluminescence is a good indicator of GeSn material quality, since defects in GeSn layers degrade the electroluminescence intensity significantly. At the accumulation bias, the holes in the Ni gate electrode tunnel to the strained n-type GeSn layer through the ultrathin Al{sub 2}O{sub 3} and recombine radiatively with electrons. The emission wavelength of photoluminescence and electroluminescence can be tuned by the Sn content.

  9. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  10. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  11. The structural and electrical characterisation of SiGe heterostructures deposited on strain relaxed virtual substrates

    International Nuclear Information System (INIS)

    Hammond, R.

    1998-09-01

    The influence of lateral dimensions on the relaxation mechanism and the resulting effect on the surface topography of limited-area, linearly graded Si 1-x Ge x virtual substrates has been investigated for the first time. A dramatic change in the relaxation mechanism of such buffer layers has been observed for depositions on Si mesa pillars of lateral dimensions of 10μm and below. For such depositions, misfit dislocations are able to extend, unhindered, and terminate at the edges of the growth zone. In this manner, orthogonal misfit dislocation interactions are avoided, yielding a surface free of the problematic surface cross-hatch roughening. However, as the lateral dimension of the growth zone is increased to 20μm, orthogonal misfit interactions occur and relaxation is dominated by the Modified Frank-Read (MFR) multiplication mechanism. The resulting surface morphology shows a pronounced surface cross-hatch roughening. It is proposed that such cross-hatch roughening is a direct consequence of the cooperative stress fields associated with the MFR mechanism. It is postulated that the method of limited-area, linearly graded buffer layers provides a unique opportunity, by which 'ideal' virtual substrates, free of surface cross-hatch and threading dislocations, may be produced to any Ge content. In addition, a unique method by which the electrical performance of low temperature, strained layer depositions may be optimised is discussed. The method relies on the elimination of as-grown lattice imperfections via a post growth thermal anneal treatment. A 25-fold increase in low temperature hole mobility of a Si 0.5 Ge 0.5 /Si 0.7 Ge 0.3 heterostructure has been demonstrated using a 30minute, 750 deg C in-situ, post growth anneal. (author)

  12. GeO{sub x} interfacial layer scavenging remotely induced by metal electrode in metal/HfO{sub 2}/GeO{sub x}/Ge capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Taehoon; Jung, Yong Chan; Seong, Sejong; Ahn, Jinho, E-mail: jhahn@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul 04763 (Korea, Republic of); Lee, Sung Bo [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 08826 (Korea, Republic of); Park, In-Sung, E-mail: parkis77@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul 04763 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 04763 (Korea, Republic of)

    2016-07-11

    The metal gate electrodes of Ni, W, and Pt have been investigated for their scavenging effect: a reduction of the GeO{sub x} interfacial layer (IL) between HfO{sub 2} dielectric and Ge substrate in metal/HfO{sub 2}/GeO{sub x}/Ge capacitors. All the capacitors were fabricated using the same process except for the material used in the metal electrodes. Capacitance-voltage measurements, scanning transmission electron microscopy, and electron energy loss spectroscopy were conducted to confirm the scavenging of GeO{sub x} IL. Interestingly, these metals are observed to remotely scavenge the interfacial layer, reducing its thickness in the order of Ni, W, and then Pt. The capacitance equivalent thickness of these capacitors with Ni, W, and Pt electrodes are evaluated to be 2.7 nm, 3.0 nm, and 3.5 nm, and each final remnant physical thickness of GeO{sub x} IL layer is 1.1 nm 1.4 nm, and 1.9 nm, respectively. It is suggested that the scavenging effect induced by the metal electrodes is related to the concentration of oxygen vacancies generated by oxidation reaction at the metal/HfO{sub 2} interface.

  13. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  14. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  15. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  16. Ion beam modification of structural and optical properties of GeO2 thin films deposited at various substrate temperatures using pulsed laser deposition

    Science.gov (United States)

    Rathore, Mahendra Singh; Vinod, Arun; Angalakurthi, Rambabu; Pathak, A. P.; Singh, Fouran; Thatikonda, Santhosh Kumar; Nelamarri, Srinivasa Rao

    2017-11-01

    High energy heavy ion irradiation-induced modification of high quality crystalline GeO2 thin films grown at different substrate temperatures ranging from 100 to 500 °C using pulsed laser deposition has been investigated. The pristine films were irradiated with 100 MeV Ag7+ ions at fixed fluence of 1 × 1013 ions/cm2. These pristine and irradiated films have been characterized using X-ray diffraction, atomic force microscopy, Raman spectroscopy, Fourier transform infrared and photoluminescence spectroscopy. The XRD and Raman results of pristine films confirm the formation of hexagonal structure of GeO2 films, whereas the irradiation eliminates all the peaks except major GeO2 peak of (101) plane. It is evident from the XRD results that crystallite size changes with substrate temperature and SHI irradiation. The surface morphology of films was studied by AFM. The functional group of pristine and irradiated films was investigated by IR transmission spectra. Pristine films exhibited strong photoluminescence around 342 and 470 nm due to oxygen defects and a red shift in the PL bands is observed after irradiation. Possible mechanism of tuning structural and optical properties of pristine as well as irradiated GeO2 films with substrate temperature and ion beam irradiation has been reported in detail.

  17. Organometallic chemical vapor deposition and characterization of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates

    Science.gov (United States)

    Xing, G. C.; Bachmann, Klaus J.; Posthill, J. B.; Timmons, M. L.

    1993-01-01

    The epitaxial growth of ZnGe(1-x)Si(x)P2-Ge alloys on GaP substrates by open tube organometallic chemical vapor deposition (OMCVD) is reported. The chemical composition of the alloys characterized by energy dispersive X-ray spectroscopy shows that alloys with x up to 0.13 can be deposited on (001) GaP. Epitaxial growth with mirror smooth surface morphology was achieved for x less than or equal to 0.05. Transmission electron microscopy (TEM) micrographs of these alloys show specular epitaxy and the absence of microstructural defects indicating a defect density of less than 10(exp 7) cm(sup -2). Selected area electron diffraction pattern of the alloy shows that the epitaxial layer crystallizes in the chalcopyrite structure with relatively weak superlattice reflections indicating certain degree of randomness in the cation sublattice. Hall measurements show that the alloys are p-type, like the unalloyed films; the carrier concentration, however, dropped about 10 times from 2 x 10(exp 18) to 2 x 10(exp 17) cm(sup -3). Absorption measurements indicate that the band tailing in the absorption spectra of the alloy was shifted about 0.04 eV towards shorter wavelength as compared to the unalloyed material. Diodes fabricated from the n(+)-GaP/p-ZnSiP2-ZnGeP2-Ge heterostructure at x = 0.05 have a reverse break-down voltage of -10.8 V and a reverse saturation current density of approximately 6 x 10(exp -8) A/sq cm.

  18. Characteristics of InAs/InGaAs/GaAs QDs on GeOI substrates with single-peak 1.3 µm room-temperature emission

    International Nuclear Information System (INIS)

    Liang, Y Y; Yoon, S F; Loke, W K; Ngo, C Y; Fitzgerald, E A

    2012-01-01

    GaAs-based quantum dot (QD) systems, especially InAs/InGaAs/GaAs QDs, have demonstrated superior device performances as compared with higher dimensional systems. However, to realize high-speed optical interconnects for Si-based electronics, one will need to grow the QDs on Si substrates. While it is promising to integrate the InAs/InGaAs/GaAs QDs on Si with the use of germanium-on-insulator-on-silicon (GeOI) substrates, reported results exhibit bimodal QD sizes and double emission peaks, i.e. unsatisfactory for realistic applications. In this paper, we showed that with an optimized GaAs buffer, single-peak 1.33 µm room-temperature emission can be obtained from InAs/InGaAs/GaAs QDs on GeOI substrates. (paper)

  19. Monolithically integrated InGaAs/GaAs/AlGaAs quantum well laser grown by MOCVD on exact Ge/Si(001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Aleshkin, V. Ya.; Dubinov, A. A.; Krasilnik, Z. F.; Kudryavtsev, K. E.; Novikov, A. V.; Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Baidus, N. V.; Samartsev, I. V. [Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Fefelov, A. G. [FGUE “Salut,” 603950 Nizhny Novgorod (Russian Federation); Nekorkin, S. M. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Pavlov, D. A.; Sushkov, A. A. [Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yablonskiy, A. N.; Yunin, P. A. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation)

    2016-08-08

    We report on realization of the InGaAs/GaAs/AlGaAs quantum well laser grown by metallorganic chemical vapor deposition on a virtual Ge-on-Si(001) substrate. The Ge buffer layer has been grown on a nominal Si(001) substrate by solid-source molecular beam epitaxy. Such Ge buffer possessed rather good crystalline quality and smooth surface and so provided the subsequent growth of the high-quality A{sub 3}B{sub 5} laser structure. The laser operation has been demonstrated under electrical pumping at 77 K in the continuous wave mode and at room temperature in the pulsed mode. The emission wavelengths of 941 nm and 992 nm have been obtained at 77 K and 300 K, respectively. The corresponding threshold current densities were estimated as 463 A/cm{sup 2} at 77 K and 5.5 kA/cm{sup 2} at 300 K.

  20. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  1. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  2. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  3. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    Science.gov (United States)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and CdTe//Ge//{ }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  4. Fabrication of multilayered Ge nanocrystals embedded in SiOxGeNy films

    International Nuclear Information System (INIS)

    Gao Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-01-01

    Multilayered Ge nanocrystals embedded in SiO x GeN y films have been fabricated on Si substrate by a (Ge + SiO 2 )/SiO x GeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 deg. C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1 , which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2 ) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction

  5. 70 °C synthesis of high-Sn content (25%) GeSn on insulator by Sn-induced crystallization of amorphous Ge

    Energy Technology Data Exchange (ETDEWEB)

    Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Oya, N.; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2015-02-23

    Polycrystalline GeSn thin films are fabricated on insulating substrates at low temperatures by using Sn-induced crystallization of amorphous Ge (a-Ge). The Sn layer stacked on the a-Ge layer (100-nm thickness each) had two roles: lowering the crystallization temperature of a-Ge and composing GeSn. Slow annealing at an extremely low temperature of 70 °C allowed for a large-grained (350 nm) GeSn layer with a lattice constant of 0.590 nm, corresponding to a Sn composition exceeding 25%. The present investigation paves the way for advanced electronic optical devices integrated on a flexible plastic substrate as well as on a Si platform.

  6. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  7. Thick epitaxial CdTe films grown by close space sublimation on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Q; Haliday, D P; Tanner, B K; Brinkman, A W [Department of Physics, University of Durham. Science Site, Durham, DH1 3LE (United Kingdom); Cantwell, B J; Mullins, J T; Basu, A [Durham Scientific Crystals Ltd., NetPark, Thomas Wright Way, Sedgefield, County Durham, TS21 3FD (United Kingdom)], E-mail: Q.Z.Jiang@durham.ac.uk

    2009-01-07

    This paper reports, for the first time, the successful growth of 200 {mu}m thick CdTe films on mis-oriented Ge(1 0 0) substrates by a cost-effective optimized close space sublimation method. It is found that, as the thickness increases to a few hundred micrometres, subgrains are formed probably as a result of the large density of dislocations and strain within the initial interfacial layers. The films are of high quality (x-ray rocking curve width {approx}100 arcsec) and high resistance ({approx}10{sup 9} {omega} cm), and are thus candidates for x-ray and {gamma}-ray detectors. (fast track communication)

  8. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  9. Selective growth of Ge nanowires by low-temperature thermal evaporation.

    Science.gov (United States)

    Sutter, Eli; Ozturk, Birol; Sutter, Peter

    2008-10-29

    High-quality single-crystalline Ge nanowires with electrical properties comparable to those of bulk Ge have been synthesized by vapor-liquid-solid growth using Au growth seeds on SiO(2)/Si(100) substrates and evaporation from solid Ge powder in a low-temperature process at crucible temperatures down to 700 °C. High nanowire growth rates at these low source temperatures have been identified as being due to sublimation of GeO from substantial amounts of GeO(2) on the powder. The Ge nanowire synthesis from GeO is highly selective at our substrate temperatures (420-500 °C), i.e., occurs only on Au vapor-liquid-solid growth seeds. For growth of nanowires of 10-20 µm length on Au particles, an upper bound of 0.5 nm Ge deposition was determined in areas of bare SiO(2)/Si substrate without Au nanoparticles.

  10. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  11. Temperature dependence of the interband critical points of bulk Ge and strained Ge on Si

    Science.gov (United States)

    Fernando, Nalin S.; Nunley, T. Nathan; Ghosh, Ayana; Nelson, Cayla M.; Cooke, Jacqueline A.; Medina, Amber A.; Zollner, Stefan; Xu, Chi; Menendez, Jose; Kouvetakis, John

    2017-11-01

    Epitaxial Ge layers on a Si substrate experience a tensile biaxial stress due to the difference between the thermal expansion coefficients of the Ge epilayer and the Si substrate, which can be measured using asymmetric X-ray diffraction reciprocal space maps. This stress depends on temperature and affects the band structure, interband critical points, and optical spectra. This manuscripts reports careful measurements of the temperature dependence of the dielectric function and the interband critical point parameters of bulk Ge and Ge epilayers on Si using spectroscopic ellipsometry from 80 to 780 K and from 0.8 to 6.5 eV. The authors find a temperature-dependent redshift of the E1 and E1 + Δ1 critical points in Ge on Si (relative to bulk Ge). This redshift can be described well with a model based on thermal expansion coefficients, continuum elasticity theory, and the deformation potential theory for interband transitions. The interband transitions leading to E0‧ and E2 critical points have lower symmetry and therefore are not affected by the stress.

  12. Photoluminescence and TEM evaluations of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process

    International Nuclear Information System (INIS)

    Wang, D.; Ii, S.; Ikeda, K.; Nakashima, H.; Matsumoto, K.; Nakamae, M.; Nakashima, H.

    2006-01-01

    Crystal qualities were evaluated by photoluminescence (PL) and transmission electron microscopy (TEM) for cap-Si/SiGe/Si-on-insulater (SOI) structure, which is the typical structure for SiGe-on-insulator virtual substrate fabrication using the Ge condensation by dry oxidation. The thicknesses of cap-Si, SOI and BOX layers are 10, 70, and 140 nm, respectively. We have three kinds of wafers with SiGe thicknesses of 74, 154 and 234 nm. All of the wafers were heated from 200 deg.C to a target temperature (T t ) in the range of 820-1200 deg. C with a ramping rate of 5 deg. C/min, and maintained at T t for 10 min. The air in the furnace was a mixture of O 2 and N 2 . The PL measurements were carried out using a 325 nm UV line of a continuous-wave HeCd laser. Free exciton peaks were clearly observed for the as-grown wafers and decreased with an increase in the annealing temperature. For the selected wafers, cross-sectional and plan-view TEM measurements show clear generation and variation of dislocations at the interface of SiGe/SOI according to the T t . Defect-related PL signals were observed at around 0.82, 0.88, 0.95 and 1.0 eV, which also varied according to the T t and the SiGe thickness. They were identified to dislocation-related and stacking-fault-related defects by TEM

  13. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  14. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  15. Atomic structure of self-organizing iridium induced nanowires on Ge(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kabanov, N.S., E-mail: n.kabanov@utwente.nl [Faculty of Physics, Moscow State University, 119991 (Russian Federation); Physics of Interfaces and Nanomaterials, MESA+ Institute for Nanotechnology, University of Twente, P. O. Box 217, Enschede 7500 AE (Netherlands); Heimbuch, R.; Zandvliet, H.J.W. [Physics of Interfaces and Nanomaterials, MESA+ Institute for Nanotechnology, University of Twente, P. O. Box 217, Enschede 7500 AE (Netherlands); Saletsky, A.M.; Klavsyuk, A.L. [Faculty of Physics, Moscow State University, 119991 (Russian Federation)

    2017-05-15

    Highlights: • Ir/Ge(001) structure has been studied with DFT calculations and scanning tunneling microscopy. • Ir/Ge(001) nanowires are composed of Ge atoms and Ir atoms are located in subsurface positions. • The regions in the vicinity of the nanowires are very dynamic, even at temperatures as low as 77 K. - Abstract: The atomic structure of self-organizing iridium (Ir) induced nanowires on Ge(001) is studied by density functional theory (DFT) calculations and variable-temperature scanning tunneling microscopy. The Ir induced nanowires are aligned in a direction perpendicular to the Ge(001) substrate dimer rows, have a width of two atoms and are completely kink-less. Density functional theory calculations show that the Ir atoms prefer to dive into the Ge(001) substrate and push up the neighboring Ge substrate atoms. The nanowires are composed of Ge atoms and not Ir atoms as previously assumed. The regions in the vicinity of the nanowires are very dynamic, even at temperatures as low as 77 K. Time-resolved scanning tunneling microscopy measurements reveal that this dynamics is caused by buckled Ge substrate dimers that flip back and forth between their two buckled configurations.

  16. Temperature effects on the growth and electrical properties of Er{sub 2}O{sub 3} films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ji, T.; Nie, T.X.; Cui, J. [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China); Fang, Z.B. [Department of Physics, Shaoxing University, Shaoxing 312000 (China); Yang, X.J.; Fan, Y.L.; Zhong, Z.Y. [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China); Jiang, Z.M., E-mail: zmjiang@fudan.edu.cn [State Key Laboratory of Surface Physics, Fudan University, Shanghai 200433 (China)

    2012-02-01

    Er{sub 2}O{sub 3} films were grown on Ge (001) substrates at different temperatures by molecular beam epitaxy using metallic Er and molecular oxygen sources with otherwise identical conditions. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructures and compositions of the films. The film deposited at room temperature is found to be composed of an Er{sub 2}O{sub 3} layer and an ErGe{sub x}O{sub y} interface layer with a thickness of 5.5 nm; the film grown at 300 Degree-Sign C has a mixed structure of Er{sub 2}O{sub 3} and ErGe{sub x}O{sub y} and the thickness was found to be reduced to 2.2 nm; the film grown at 450 Degree-Sign C becomes much rougher with voids formed underneath the film, having a mixed structure of three compounds of Er{sub 2}O{sub 3}, GeO and ErGe{sub x}O{sub y}. The growth mechanisms of the films at different temperatures are suggested. Current images obtained by tunneling atomic force microscopy show that the film grown at 450 Degree-Sign C has much more leaky spots than those grown at RT and 300 Degree-Sign C, which may arise from the formation of volatile GeO in the film.

  17. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    phenomenon was observed. In clear contradiction to the present NHE theory, no strain partitioning phenomenon was found even for {approx}50 nm wide Si pillars for which the compliant substrate effects are expected. The absence of the strain partitioning between Ge and Si is caused by the stress field exerted by the SiO{sub 2} growth mask on the Si nanopillar. In contrast to such nanostructures monolithically prepared from a Si(001) wafer, first results in this thesis clearly prove the strain partitioning phenomenon within Ge/Si nanostructures on Silicon-on-insulator substrate. Here, the compliant substrate effects were clearly observed for pillar widths even bigger than 50 nm. This experimental work demonstrates, that NHE with its compliant substrate effects, offers an interesting approach for high quality Ge nanostructures on Si, avoiding even the misfit dislocation network with its non-tolerable electrical activity in Ge nanodevices. However, the theory does not yet include important aspects of thin film growth on the nano-scale and must be further developed. It is the aim of this PhD thesis to provide this experimental basis for the Ge/Si heterosystem. Finally, it is noted that here developed growth approach is fully Si CMOS compatible and is not only relevant for Ge integration but also for other lattice mismatched alternative semiconductors (GaAs etc.) to enable higher performance / new functions in future Si microelectronics technologies.

  18. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  19. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  20. Formation Mechanism of Ge Nanocrystals Embedded in SiO2 Studied by Fluorescence X-Ray Absorption Fine Structure

    International Nuclear Information System (INIS)

    Yan Wensheng; Li Zhongrui; Sun Zhihu; Wei Shiqiang; Kolobov, A. V.

    2007-01-01

    The formation mechanism of Ge nanocrystals for Ge (60 mol%) embedded in a SiO2 matrix grown on Si(001) and quartz-glass substrates was studied by fluorescence x-ray absorption fine structure (XAFS). It was found that the formation of Ge nanocrystals strongly depends on the properties of the substrate materials. In the as-prepared samples, Ge atoms exist in amorphous Ge and GeO2 phases. At the annealing temperature of 1073 K, on the quartz-glass substrate, Ge nanocrystals are only formed predominantly from the amorphous Ge phase in the as-prepared sample. However, on the Si(100) substrate the Ge nanocrystals are generated partly from amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis revealed that about 10% of GeO2 in as-prepared sample permutated with Si in the wafer and formed Ge nanocrystals

  1. Effect of substrate temperature on the optical parameters of thermally evaporated Ge-Se-Te thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Pankaj, E-mail: pks_phy@yahoo.co.i [Department of Physics, Jaypee University of Information Technology, Waknaghat, Solan, H.P. 173215 India (India); Katyal, S.C. [Department of Physics, Jaypee University of Information Technology, Waknaghat, Solan, H.P. 173215 India (India)

    2009-05-01

    Thin films of Ge{sub 10}Se{sub 90-x}Te{sub x} (x = 0, 10, 20, 30, 40, 50) glassy alloys were deposited at three substrate temperatures (303 K, 363 K and 423 K) using conventional thermal evaporation technique at base pressure of {approx} 10{sup -4} Pa. X-ray diffraction results show that films deposited at 303 K are of amorphous nature while films deposited at 363 K and 423 K are of polycrystalline nature. The optical parameters, refractive index and optical gap have been derived from the transmission spectra (using UV-Vis-NIR spectrophotometer) of the thin films in the spectral region 400-1500 nm. This has been observed that refractive index values remain almost constant while the optical gap is found to decrease considerably with the increase of substrate temperature. The decrease in optical gap is explained on the basis of change in nature of films, from amorphous to polycrystalline state, with the increase of substrate temperature. The optical gap has also been observed to decrease with the increase of Te content.

  2. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate

    International Nuclear Information System (INIS)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-01

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10 10 cm −2 , and that the lateral and the vertical interdot spacing were ∼10 and ∼2.5 nm, respectively. (paper)

  3. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate.

    Science.gov (United States)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-11

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10(10) cm(-2), and that the lateral and the vertical interdot spacing were ~10 and ~2.5 nm, respectively.

  4. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates

    DEFF Research Database (Denmark)

    Shimura, Y.; Takeuchi, S.; Nakatsuka, O.

    2012-01-01

    to the introduction of dislocations, due to the increase in the strain of the Ge1-xSnx layers. We achieved the growth of a fully strained Ge0.922Sn0.078 layer on Ge with a Ga concentration of 5.5×1019 /cm3 without any dislocations and stacking faults. The resistivity of the Ga-doped Ge1-xSnx layer decreased as the Sn...... content was increased. This decrease was due to an increase in the carrier concentration, with an increase in the activation level of Ga atoms in the Ge1-xSnx epitaxial layers being induced by the introduction of Sn. As a result, we found that the resistivity for the Ge0.950Sn0.050 layer annealed at 600°C...

  5. Hole mobility enhancement of p-MOSFETs using global and local Ge-channel technologies

    International Nuclear Information System (INIS)

    Takagi, Shinichi; Tezuka, T.; Irisawa, T.; Nakaharai, S.; Maeda, T.; Numata, T.; Ikeda, K.; Sugiyama, N.

    2006-01-01

    Mobility enhancement technologies have currently been recognized as mandatory for future scaled MOSFETs. In this paper, we review our recent results on high hole mobility p-MOSFETs using global/local SiGe or Ge channels. There are two directions for introducing SiGe or Ge channels into Si CMOS platform. One is to use SiGe or Ge global substrates and the other is to form SiGe or Ge-channel regions locally on Si wafers. In both cases, the Ge condensation technique, where Ge-channel layers are formed by oxidizing SiGe films on SOI substrates, are effectively utilized. As for the global technologies, ultrathin GOI substrates are prepared and used to fabricate high mobility GOI p-MOSFETs. As for the local technologies, SGOI or GOI channels are formed locally in the active area of p-MOSFETs on SOI wafers. It is shown that the hole mobility enhancement factor of as high as 10 is obtained in locally fabricated p-MOSFETs through the effects of high-Ge content and the compressive strain. Furthermore, the local Ge-channel technologies are combined with global SiGe or Ge substrates for pursuing the optimal and individual design of n-MOSFETs and p-MOSFETs on a single Si wafer. The CMOS device composed of strained-Si n-MOSFETs and SGOI p-MOSFETs is successfully integrated on a same wafer, which is a promising CMOS structure under deep sub 100 nm technology nodes

  6. Structural and phonon transmission study of Ge-Au-Ge eutectically bonded interfaces

    International Nuclear Information System (INIS)

    Knowlton, W.B.; Lawrence Berkeley Lab., CA

    1995-07-01

    This thesis presents a structural analysis and phonon transparency investigation of the Ge-Au-Ge eutectic bond interface. Interface development was intended to maximize the interfacial ballistic phonon transparency to enhance the detection of the dark matter candidate WIMPs. The process which was developed provides an interface which produces minimal stress, low amounts of impurities, and insures Ge lattice continuity through the interface. For initial Au thicknesses of greater than 1,000 angstrom Au per substrate side, eutectic epitaxial growth resulted in a Au dendritic structure with 95% cross sectional and 90% planar Au interfacial area coverages. In sections in which Ge bridged the interface, lattice continuity across the interface was apparent. Epitaxial solidification of the eutectic interface with initial Au thicknesses < 500 A per substrate side produced Au agglomerations thereby reducing the Au planar interfacial area coverage to as little as 30%. The mechanism for Au coalescence was attributed to lateral diffusion of Ge and Au in the liquid phase during solidification. Phonon transmission studies were performed on eutectic interfaces with initial Au thicknesses of 1,000 angstrom, 500 angstrom, and 300 angstrom per substrate side. Phonon imaging of eutectically bonded samples with initial Au thicknesses of 300 angstrom/side revealed reproducible interfacial percent phonon transmissions from 60% to 70%. Line scan phonon imaging verified the results. Phonon propagation TOF spectra distinctly showed the predominant phonon propagation mode was ballistic. This was substantiated by phonon focusing effects apparent in the phonon imaging data. The degree of interface transparency to phonons and resulting phonon propagation modes correlate with the structure of the interface following eutectic solidification. Structural studies of samples with initial Au thickness of 1,000 angstrom/side appear to correspond with the phonon transmission study

  7. Structural and phonon transmission study of Ge-Au-Ge eutectically bonded interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Knowlton, W.B. [Univ. of California, Berkeley, CA (United States). Dept. of Materials Science and Mineral Engineering]|[Lawrence Berkeley Lab., CA (United States). Materials Sciences Div.

    1995-07-01

    This thesis presents a structural analysis and phonon transparency investigation of the Ge-Au-Ge eutectic bond interface. Interface development was intended to maximize the interfacial ballistic phonon transparency to enhance the detection of the dark matter candidate WIMPs. The process which was developed provides an interface which produces minimal stress, low amounts of impurities, and insures Ge lattice continuity through the interface. For initial Au thicknesses of greater than 1,000 {angstrom} Au per substrate side, eutectic epitaxial growth resulted in a Au dendritic structure with 95% cross sectional and 90% planar Au interfacial area coverages. In sections in which Ge bridged the interface, lattice continuity across the interface was apparent. Epitaxial solidification of the eutectic interface with initial Au thicknesses < 500 A per substrate side produced Au agglomerations thereby reducing the Au planar interfacial area coverage to as little as 30%. The mechanism for Au coalescence was attributed to lateral diffusion of Ge and Au in the liquid phase during solidification. Phonon transmission studies were performed on eutectic interfaces with initial Au thicknesses of 1,000 {angstrom}, 500 {angstrom}, and 300 {angstrom} per substrate side. Phonon imaging of eutectically bonded samples with initial Au thicknesses of 300 {angstrom}/side revealed reproducible interfacial percent phonon transmissions from 60% to 70%. Line scan phonon imaging verified the results. Phonon propagation TOF spectra distinctly showed the predominant phonon propagation mode was ballistic. This was substantiated by phonon focusing effects apparent in the phonon imaging data. The degree of interface transparency to phonons and resulting phonon propagation modes correlate with the structure of the interface following eutectic solidification. Structural studies of samples with initial Au thickness of 1,000 {angstrom}/side appear to correspond with the phonon transmission study.

  8. Wet cleaning and surface characterization of Si{sub 1-x}Ge{sub x} virtual substrates after a CMP step

    Energy Technology Data Exchange (ETDEWEB)

    Abbadie, A. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)], E-mail: alexandra.abbadie@soitec.fr; Hartmann, J.M. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Besson, P. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Campidelli, Y. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Billon, T. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2008-08-30

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H{sub 2}O/O{sub 3} solutions) on polished Si{sub 1-x}Ge{sub x} virtual substrates (x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called 'DDC-SiGe' wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this 'DDC-SiGe' cleaning. An oxide mainly composed of SiO{sub 2} is formed, with a low fraction of Ge sub-oxide and GeO{sub 2}. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O{sub 3} cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O{sub 3} solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O{sub 3}-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning

  9. Optimization of Si–C reaction temperature and Ge thickness in C-mediated Ge dot formation

    Energy Technology Data Exchange (ETDEWEB)

    Satoh, Yuhki, E-mail: yu-ki@ecei.tohoku.ac.jp; Itoh, Yuhki; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    To form Ge dots on a Si substrate, the effect of thermal reaction temperature of sub-monolayer C with Si (100) was investigated and the deposited Ge thickness was optimized. The samples were prepared by solid-source molecular beam epitaxy with an electron-beam gun for C sublimation and a Knudsen cell for Ge evaporation. C of 0.25 ML was deposited on Si (100) at a substrate temperature of 200 °C, followed by a high-temperature treatment at the reaction temperature (T{sub R}) of 650–1000 °C to create Si–C bonds. Ge equivalent to 2 to 5 nm thick was subsequently deposited at 550 °C. Small and dense dots were obtained for T{sub R} = 750 °C but the dot density decreased and the dot diameter varied widely in the case of lower and higher T{sub R}. A dot density of about 2 × 10{sup 10} cm{sup −2} was achieved for Ge deposition equivalent to 3 to 5 nm thick and a standard deviation of dot diameter was the lowest of 10 nm for 5 nm thick Ge. These results mean that C-mediated Ge dot formation was strongly influenced not only by the c(4 × 4) reconstruction condition through the Si–C reaction but also the relationship between the Ge deposition thickness and the exposed Si (100)-(2 × 1) surface area. - Highlights: • The effect of Si–C reaction temperature on Ge dot formation was investigated. • Small and dense dots were obtained for T{sub R} = 750 °C. • The dot density of about 2 × 10{sup 10} cm{sup −2} was achieved for Ge = 3 to 5 nm. • The standard deviation of dot diameter was the lowest of 10 nm at Ge = 5 nm.

  10. Probing the stability of Al 2O 3/Ge structures with ion beams

    Science.gov (United States)

    Bom, N. M.; Soares, G. V.; Krug, C.; Baumvol, I. J. R.; Radtke, C.

    2012-02-01

    Al 2O 3 films were deposited on Ge substrates by reactive sputtering. Resulting samples were submitted to different post-deposition annealings (PDAs) in order to probe composition modifications induced by such treatments. Nuclear reaction profiling (NRP) revealed that O incorporation depends on PDA temperature and on the employed atmosphere (water or oxygen). We also found that O from the gas phase strongly interacts with the Ge semiconductor substrate when PDA is performed with water at 500 °C. Ion scattering analyses evidenced an increase of Ge concentration throughout the Al 2O 3 dielectric layer and on the sample surface associated with the oxidation of the Ge substrate. These findings are explained by GeO desorption resulting from chemical reactions occurring at the dielectric/Ge interface.

  11. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  12. High performance Ω-gated Ge nanowire MOSFET with quasi-metallic source/drain contacts.

    Science.gov (United States)

    Burchhart, T; Zeiner, C; Hyun, Y J; Lugstein, A; Hochleitner, G; Bertagnolli, E

    2010-10-29

    Ge nanowires (NWs) about 2 µm long and 35 nm in diameter are grown heteroepitaxially on Si(111) substrates in a hot wall low-pressure chemical vapor deposition (LP-CVD) system using Au as a catalyst and GeH(4) as precursor. Individual NWs are contacted to Cu pads via e-beam lithography, thermal evaporation and lift-off techniques. Self-aligned and atomically sharp quasi-metallic copper-germanide source/drain contacts are achieved by a thermal activated phase formation process. The Cu(3)Ge segments emerge from the Cu contact pads through axial diffusion of Cu which was controlled in situ by SEM, thus the active channel length of the MOSFET is adjusted without any restrictions from a lithographic process. Finally the conductivity of the channel is enhanced by Ga(+) implantation leading to a high performance Ω-gated Ge-NW MOSFET with saturation currents of a few microamperes.

  13. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  14. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    Science.gov (United States)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  15. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  16. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  17. Electrically detected magnetic resonance study of the Ge dangling bonds at the Ge(1 1 1)/GeO2 interface after capping with Al2O3 layer

    International Nuclear Information System (INIS)

    Paleari, S.; Molle, A.; Accetta, F.; Lamperti, A.; Cianci, E.; Fanciulli, M.

    2014-01-01

    The electrical activity of Ge dangling bonds is investigated at the interface between GeO 2 -passivated Ge(1 1 1) substrate and Al 2 O 3 grown by atomic layer deposition, by means of electrically detected magnetic resonance spectroscopy (EDMR). The Al 2 O 3 /GeO 2 /Ge stacked structure is promising as a mobility booster for the post-Si future electronic devices. EDMR proved to be useful in characterizing interface defects, even at the very low concentrations of state-of-the-art devices ( 10 cm −2 ). In particular, it is shown that capping the GeO 2 -passivated Ge(1 1 1) with Al 2 O 3 has no impact on the microstructure of the Ge dangling bond.

  18. Research Update: Strain and composition effects on ferromagnetism of Mn0.05Ge0.95 quantum dots

    Directory of Open Access Journals (Sweden)

    Liming Wang

    2016-04-01

    Full Text Available Mn0.05Ge0.95 quantum dots (QDs samples were grown by molecular beam epitaxy on Si substrates and 15-nm-thick fully strained Si0.8Ge0.2 virtual substrates, respectively. The QDs samples grown on the Si0.8Ge0.2 virtual substrates show a significant ferromagnetism with a Curie temperature of 227 K, while the QDs samples grown on the Si substrates are non-ferromagnetic. Microstructures of the QDs samples were characterized by high resolution transmission electron microscopy and synchrotron radiation X-ray diffraction. Interdependence between microstructure and ferromagnetism of Mn-doped Ge QDs was investigated. For the QDs sample grown on the strained Si0.8Ge0.2 virtual substrate, although the ferromagnetic phase Mn5Ge3 clusters were found to be formed in small dome-shaped dots, the significant ferromagnetism observed in that sample is attributed to ferromagnetic phase Mn-doped large dome-shaped Ge QDs, rather than to the ferromagnetic phase Mn5Ge3 clusters. The fully strained Si0.8Ge0.2 virtual substrates would result in a residual strain into the QDs and an increase in Ge composition in the QDs. Both consequences favor the formations of ferromagnetic phase Mn-doped Ge QDs from points of view of quantum confinement effect as well as Mn doping at substitutional sites.

  19. Nb3Ge superconductive films grown with nitrogen

    International Nuclear Information System (INIS)

    Sigsbee, R.A.

    1978-01-01

    A superconductive film of Nb 3 Ge is produced by providing within a vacuum chamber a heated substrate and sources of niobium and germanium, reducing the pressure within the chamber to a residual pressure no greater than about 10 -5 mm Hg, introducing nitrogen into the resulting evacuated chamber in controlled amounts and vaporizing the niobium and germanium to deposit a film of Nb 3 Ge on the heated substrate

  20. Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge{sub 1−x}Sn{sub x} layer on Ge(0 0 1) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Zhou, Qian [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Pan, Jisheng; Zhang, Zheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)

    2014-12-01

    Highlights: • Ge{sub 0.915}Sn{sub 0.085} was grown on Ge (0 0 1) by molecular beam epitaxy (MBE). • The impact of annealing on surface morphology and Sn composition was studied. • Sn is found to preferentially segregate towards the surface at 200 °C. • A Sn-rich layer would form on the Ge{sub 1−x}Sn{sub x} surface after annealing at 300 °C. • Sn desorption and formation of Sn-rich islands were found when T > 300 °C. - Abstract: Annealing of strained Ge{sub 1−x}Sn{sub x} epitaxial layers grown on Ge(0 0 1) substrate results in two distinctive regimes marked by changes in composition and morphology. Annealing at low temperatures (200–300 °C or Regime-I) leads to surface enrichment of Sn due to Sn segregation, as indicated by X-ray photoelectron spectroscopy (XPS) results, while the bulk Sn composition (from X-ray diffraction (XRD)) and the surface morphology (from atomic force microscopy (AFM)) do not show discernible changes as compared to the as-grown sample. Annealing at temperatures ranging from 300 °C to 500 °C (Regime-II) leads to a decrease in the surface Sn composition. While the Ge{sub 1−x}Sn{sub x} layer remains fully strained, a reduction in the bulk Sn composition is observed when the annealing temperature reaches 500 °C. At this stage, surface roughening also occurs with formation of 3D islands. The island size increases as the annealing temperature is raised to 600 °C. The decrease in the Sn composition at the surface and in the bulk in Regime-II is attributed to additional thermally activated kinetic processes associated with Sn desorption and formation of Sn-rich 3D islands on the surface.

  1. Nanostructured germanium deposited on heated substrates with enhanced photoelectric properties.

    Science.gov (United States)

    Stavarache, Ionel; Maraloiu, Valentin Adrian; Prepelita, Petronela; Iordache, Gheorghe

    2016-01-01

    Obtaining high-quality materials, based on nanocrystals, at low temperatures is one of the current challenges for opening new paths in improving and developing functional devices in nanoscale electronics and optoelectronics. Here we report a detailed investigation of the optimization of parameters for the in situ synthesis of thin films with high Ge content (50 %) into SiO 2 . Crystalline Ge nanoparticles were directly formed during co-deposition of SiO 2 and Ge on substrates at 300, 400 and 500 °C. Using this approach, effects related to Ge-Ge spacing are emphasized through a significant improvement of the spatial distribution of the Ge nanoparticles and by avoiding multi-step fabrication processes or Ge loss. The influence of the preparation conditions on structural, electrical and optical properties of the fabricated nanostructures was studied by X-ray diffraction, transmission electron microscopy, electrical measurements in dark or under illumination and response time investigations. Finally, we demonstrate the feasibility of the procedure by the means of an Al/n-Si/Ge:SiO 2 /ITO photodetector test structure. The structures, investigated at room temperature, show superior performance, high photoresponse gain, high responsivity (about 7 AW -1 ), fast response time (0.5 µs at 4 kHz) and great optoelectronic conversion efficiency of 900% in a wide operation bandwidth, from 450 to 1300 nm. The obtained photoresponse gain and the spectral width are attributed mainly to the high Ge content packed into a SiO 2 matrix showing the direct connection between synthesis and optical properties of the tested nanostructures. Our deposition approach put in evidence the great potential of Ge nanoparticles embedded in a SiO 2 matrix for hybrid integration, as they may be employed in structures and devices individually or with other materials, hence the possibility of fabricating various heterojunctions on Si, glass or flexible substrates for future development of Si

  2. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  3. Transfer-free synthesis of highly ordered Ge nanowire arrays on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakata, M.; Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Jevasuwan, W.; Fukata, N. [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2015-09-28

    Vertically aligned Ge nanowires (NWs) are directly synthesized on glass via vapor-liquid-solid (VLS) growth using chemical-vapor deposition. The use of the (111)-oriented Ge seed layer, formed by metal-induced crystallization at 325 °C, dramatically improved the density, uniformity, and crystal quality of Ge NWs. In particular, the VLS growth at 400 °C allowed us to simultaneously achieve the ordered morphology and high crystal quality of the Ge NW array. Transmission electron microscopy demonstrated that the resulting Ge NWs had no dislocations or stacking faults. Production of high-quality NW arrays on amorphous insulators will promote the widespread application of nanoscale devices.

  4. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer on Ge

    Science.gov (United States)

    Suzuki, Akihiro; Nakatsuka, Osamu; Sakashita, Mitsuo; Zaima, Shigeaki

    2018-06-01

    The impact of a silicon germanium tin (Si x Ge1‑ x ‑ y Sn y ) ternary alloy interlayer on the Schottky barrier height (SBH) of metal/Ge contacts with various metal work functions has been investigated. Lattice matching at the Si x Ge1‑ x ‑ y Sn y /Ge heterointerface is a key factor for controlling Fermi level pinning (FLP) at the metal/Ge interface. The Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer having a small lattice mismatch with the Ge substrate can alleviate FLP at the metal/Ge interface significantly. A Si0.11Ge0.86Sn0.03 interlayer increases the slope parameter for the work function dependence of the SBH to 0.4. An ohmic behavior with an SBH below 0.15 eV can be obtained with Zr and Al/Si0.11Ge0.86Sn0.03/n-Ge contacts at room temperature.

  5. Rational design of monocrystalline (InP)(y)Ge(5-2y)/Ge/Si(100) semiconductors: synthesis and optical properties.

    Science.gov (United States)

    Sims, Patrick E; Chizmeshya, Andrew V G; Jiang, Liying; Beeler, Richard T; Poweleit, Christian D; Gallagher, James; Smith, David J; Menéndez, José; Kouvetakis, John

    2013-08-21

    In this work, we extend our strategy previously developed to synthesize functional, crystalline Si(5-2y)(AlX)y {X = N,P,As} semiconductors to a new class of Ge-III-V hybrid compounds, leading to the creation of (InP)(y)Ge(5-2y) analogues. The compounds are grown directly on Ge-buffered Si(100) substrates using gas source MBE by tuning the interaction between Ge-based P(GeH3)3 precursors and In atoms to yield nanoscale "In-P-Ge3" building blocks, which then confer their molecular structure and composition to form the target solids via complete elimination of H2. The collateral production of reactive germylene (GeH2), via partial decomposition of P(GeH3)3, is achieved by simple adjustment of the deposition conditions, leading to controlled Ge enrichment of the solid product relative to the stoichiometric InPGe3 composition. High resolution XRD, XTEM, EDX, and RBS indicate that the resultant monocrystalline (InP)(y)Ge(5-2y) alloys with y = 0.3-0.7 are tetragonally strained and fully coherent with the substrate and possess a cubic diamond-like structure. Molecular and solid-state ab initio density functional theory (DFT) simulations support the viability of "In-P-Ge3" building-block assembly of the proposed crystal structures, which consist of a Ge parent crystal in which the P atoms form a third-nearest-neighbor sublattice and "In-P" dimers are oriented to exclude energetically unfavorable In-In bonding. The observed InP concentration dependence of the lattice constant is closely reproduced by DFT simulation of these model structures. Raman spectroscopy and ellipsometry are also consistent with the "In-P-Ge3" building-block interpretation of the crystal structure, while the observation of photoluminescence suggests that (InP)(y)Ge(5-2y) may have important optoelectronic applications.

  6. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  7. Nanostructured germanium deposited on heated substrates with enhanced photoelectric properties

    Directory of Open Access Journals (Sweden)

    Ionel Stavarache

    2016-10-01

    Full Text Available Obtaining high-quality materials, based on nanocrystals, at low temperatures is one of the current challenges for opening new paths in improving and developing functional devices in nanoscale electronics and optoelectronics. Here we report a detailed investigation of the optimization of parameters for the in situ synthesis of thin films with high Ge content (50 % into SiO2. Crystalline Ge nanoparticles were directly formed during co-deposition of SiO2 and Ge on substrates at 300, 400 and 500 °C. Using this approach, effects related to Ge–Ge spacing are emphasized through a significant improvement of the spatial distribution of the Ge nanoparticles and by avoiding multi-step fabrication processes or Ge loss. The influence of the preparation conditions on structural, electrical and optical properties of the fabricated nanostructures was studied by X-ray diffraction, transmission electron microscopy, electrical measurements in dark or under illumination and response time investigations. Finally, we demonstrate the feasibility of the procedure by the means of an Al/n-Si/Ge:SiO2/ITO photodetector test structure. The structures, investigated at room temperature, show superior performance, high photoresponse gain, high responsivity (about 7 AW−1, fast response time (0.5 µs at 4 kHz and great optoelectronic conversion efficiency of 900% in a wide operation bandwidth, from 450 to 1300 nm. The obtained photoresponse gain and the spectral width are attributed mainly to the high Ge content packed into a SiO2 matrix showing the direct connection between synthesis and optical properties of the tested nanostructures. Our deposition approach put in evidence the great potential of Ge nanoparticles embedded in a SiO2 matrix for hybrid integration, as they may be employed in structures and devices individually or with other materials, hence the possibility of fabricating various heterojunctions on Si, glass or flexible substrates for future development of Si

  8. The Au modified Ge(1 1 0) surface

    Science.gov (United States)

    Zhang, L.; Kabanov, N. S.; Bampoulis, P.; Saletsky, A. M.; Zandvliet, H. J. W.; Klavsyuk, A. L.

    2018-05-01

    The pristine Ge(1 1 0) surface is composed of Ge pentagons, which are arranged in relatively large (16 × 2) and c(8 × 10) unit cells. The deposition of sub-monolayer amounts of Au and mild annealing results into de-reconstructed Ge(1 1 0) regions completely free of Ge pentagons and regions composed of nanowires that are aligned along the high symmetry [ 1 1 bar 0 ] direction of the Ge(1 1 0) surface. The de-reconstructed Ge(1 1 0) regions consist of atomic rows that are aligned along the [ 1 1 bar 0 ] direction. A substantial fraction of these substrate rows are straight and resemble the atom rows of the unreconstructed, i.e. bulk terminated, Ge(1 1 0) surface, whereas the other substrate rows have a meandering appearance. These meandering atom rows are comprised of two types of atoms, one type that appears dim, whereas the other type appears bright in filled-state scanning tunneling microscopy images. Using density functional theory calculations, we have tested more than 20 different atomic models for the meandering atom rows. The density functional theory calculations reveal that it is energetically favorable for the deposited Au atoms to exchange position with Ge atoms in the first layer. Based on these findings we conclude that the bright atoms are Ge atoms, whereas the dim atoms are Au atoms.

  9. Formation of microcrystalline germanium (μc-Ge:H) films from inductively coupled plasma CVD

    International Nuclear Information System (INIS)

    Okamoto, Y.; Makihara, K.; Higashi, S.; Miyazaki, S.

    2005-01-01

    Inductively coupled RF plasma of H 2 -diluted GeH 4 gas was applied to the growth of hydrogenated microcrystalline germanium (μc-Ge:H) films on quartz in a reactor with an external single-turn antenna placed on quartz plate window parallel to the substrate. The deposition rate, the crystallinity and the thickness of an amorphous incubation layer formed in the early stages of the film growth were evaluated as functions of GeH 4 concentration, gas flow rate, substrate temperature and the distance between the antenna and the grounded substrate susceptor. We demonstrated the growth of highly crystalized Ge films at a rate as high as 0.9 nm/s at 250 deg. C using a 8.3% GeH 4 diluted with H 2

  10. Molecular beam epitaxy growth of [CrGe/MnGe/FeGe] superlattices: Toward artificial B20 skyrmion materials with tunable interactions

    Science.gov (United States)

    Ahmed, Adam S.; Esser, Bryan D.; Rowland, James; McComb, David W.; Kawakami, Roland K.

    2017-06-01

    Skyrmions are localized magnetic spin textures whose stability has been shown theoretically to depend on material parameters including bulk Dresselhaus spin orbit coupling (SOC), interfacial Rashba SOC, and magnetic anisotropy. Here, we establish the growth of a new class of artificial skyrmion materials, namely B20 superlattices, where these parameters could be systematically tuned. Specifically, we report the successful growth of B20 superlattices comprised of single crystal thin films of FeGe, MnGe, and CrGe on Si(1 1 1) substrates. Thin films and superlattices are grown by molecular beam epitaxy and are characterized through a combination of reflection high energy electron diffraction, X-ray diffraction, and cross-sectional scanning transmission electron microscopy (STEM). X-ray energy dispersive spectroscopy (XEDS) distinguishes layers by elemental mapping and indicates good interface quality with relatively low levels of intermixing in the [CrGe/MnGe/FeGe] superlattice. This demonstration of epitaxial, single-crystalline B20 superlattices is a significant advance toward tunable skyrmion systems for fundamental scientific studies and applications in magnetic storage and logic.

  11. Ordered Arrays of SiGe Islands from Low-Energy PECVD

    Directory of Open Access Journals (Sweden)

    Chrastina D

    2010-01-01

    Full Text Available Abstract SiGe islands have been proposed for applications in the fields of microelectronics, optoelectronics and thermoelectrics. Although most of the works in literature are based on MBE, one of the possible advantages of low-energy plasma-enhanced chemical vapor deposition (LEPECVD is a wider range of deposition rates, which in turn results in the possibility of growing islands with a high Ge concentration. We will show that LEPECVD can be effectively used for the controlled growth of ordered arrays of SiGe islands. In order to control the nucleation of the islands, patterned Si (001 substrates were obtained by e-beam lithography (EBL and dry etching. We realized periodic circular pits with diameters ranging from 80 to 300 nm and depths from 65 to 75 nm. Subsequently, thin films (0.8–3.2 nm of pure Ge were deposited by LEPECVD, resulting in regular and uniform arrays of Ge-rich islands. LEPECVD allowed the use of a wide range of growth rates (0.01–0.1 nm s−1 and substrates temperatures (600–750°C, so that the Ge content of the islands could be varied. Island morphology was characterized by AFM, while μ-Raman was used to analyze the Ge content inside the islands and the composition differences between islands on patterned and unpatterned areas of the substrate.

  12. Black GE based on crystalline/amorphous core/shell nanoneedle arrays

    Science.gov (United States)

    Javey, Ali; Chueh, Yu-Lun; Fan, Zhiyong

    2014-03-04

    Direct growth of black Ge on low-temperature substrates, including plastics and rubber is reported. The material is based on highly dense, crystalline/amorphous core/shell Ge nanoneedle arrays with ultrasharp tips (.about.4 nm) enabled by the Ni catalyzed vapor-solid-solid growth process. Ge nanoneedle arrays exhibit remarkable optical properties. Specifically, minimal optical reflectance (<1%) is observed, even for high angles of incidence (.about.75.degree.) and for relatively short nanoneedle lengths (.about.1 .mu.m). Furthermore, the material exhibits high optical absorption efficiency with an effective band gap of .about.1 eV. The reported black Ge can have important practical implications for efficient photovoltaic and photodetector applications on nonconventional substrates.

  13. Inspecting the microstructure of electrically active defects at the Ge/GeOx interface

    Science.gov (United States)

    Fanciulli, Marco; Baldovino, Silvia; Molle, Alessandro

    2012-02-01

    High mobility substrates are important key elements in the development of advanced devices targeting a vast range of functionalities. Among them, Ge showed promising properties promoting it as valid candidate to replace Si in CMOS technology. However, the electrical quality of the Ge/oxide interface is still a problematic issue, in particular for the observed inversion of the n-type Ge surface, attributed to the presence of dangling bonds inducing a severe band bending [1]. In this scenario, the identification of electrically active defects present at the Ge/oxide interface and the capability to passivate or anneal them becomes a mandatory issue aiming at an electrically optimized interface. We report on the application of highly sensitive electrically detected magnetic resonance (EDMR) techniques in the investigation of defects at the interface between Ge and GeO2 (or GeOx), including Ge dangling bonds and defects in the oxide [2]. In particular we will investigate how different surface orientations, e.g. the (001) against the (111) Ge surface, impacts the microstructure of the interface defects. [1] P. Tsipas and A. Dimoulas, Appl. Phys. Lett. 94, 012114 (2009) [2] S. Baldovino, A. Molle, and M. Fanciulli, Appl. Phys. Lett. 96, 222110 (2010)

  14. Magnetic and electrical properties of epitaxial GeMn

    Energy Technology Data Exchange (ETDEWEB)

    Ahlers, Stefan

    2009-01-15

    In this work, GeMn magnetic semiconductors will be investigated. The fabrication of GeMn thin films with Mn contents up to 11.7% was realised with molecular beam epitaxy. At a fabrication temperature of 60 C, the suppression of Mn{sub x}Ge{sub y} phases could reproducibly be obtained. Dislocation free epitaxy of diamond-lattice type GeMn thin films was observed. In all fabrication conditions where Mn{sub x}Ge{sub y} suppression was feasible, an inhomogeneous dispersion of Mn was observed in form of a self-assembly of nanometre sized, Mn rich regions in a Ge rich matrix. Each Mn rich region exhibits ferromagnetic coupling with high Curie temperatures exceeding, in part, room temperature. The local ferromagnetic ordering leads to the formation of large, spatially separated magnetic moments, which induce a superparamagnetic behaviour of the GeMn thin films. At low temperatures {<=} 20 K, remanent behaviour was found to emerge. X-ray absorption experiments revealed a similarity of the Mn incorporation in diamond-lattice type GeMn thin films and in the hexagonal lattice of the intermetallic Mn{sub 5}Ge{sub 3} phase, respectively. These tetrahedra represent building blocks of the Mn{sub 5}Ge{sub 3} unit cell. The incorporation of Mn{sub 5}Ge{sub 3} building blocks was found to be accompanied by local structural disorder. The electrical properties of GeMn thin films were addressed by transport measurements. It was shown that by using a n-type Ge substrate, a pn energy barrier between epilayers and substrate to suppress parallel substrate conduction paths can be introduced. With the pn barrier concept, first results on the magnetotransport behaviour of GeMn thin films were obtained. GeMn was found to be p-type, but of high resistivity. a series of GeMn thin films was fabricated, where intermetallic Mn{sub x}Ge{sub y} phase separation was supported in a controlled manner. Phase separation was found to result in the formation of partially coherent, nanometre sized Mn{sub 5

  15. Electrically detected magnetic resonance study of the Ge dangling bonds at the Ge(1 1 1)/GeO{sub 2} interface after capping with Al{sub 2}O{sub 3} layer

    Energy Technology Data Exchange (ETDEWEB)

    Paleari, S., E-mail: s.paleari6@campus.unimib.it [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Molle, A. [Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy); Accetta, F. [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Lamperti, A.; Cianci, E. [Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy); Fanciulli, M., E-mail: marco.fanciulli@unimib.it [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy)

    2014-02-01

    The electrical activity of Ge dangling bonds is investigated at the interface between GeO{sub 2}-passivated Ge(1 1 1) substrate and Al{sub 2}O{sub 3} grown by atomic layer deposition, by means of electrically detected magnetic resonance spectroscopy (EDMR). The Al{sub 2}O{sub 3}/GeO{sub 2}/Ge stacked structure is promising as a mobility booster for the post-Si future electronic devices. EDMR proved to be useful in characterizing interface defects, even at the very low concentrations of state-of-the-art devices (<10{sup 10} cm{sup −2}). In particular, it is shown that capping the GeO{sub 2}-passivated Ge(1 1 1) with Al{sub 2}O{sub 3} has no impact on the microstructure of the Ge dangling bond.

  16. Growth of crystallized Ge films from VHF inductively-coupled plasma of H2-diluted GeH4

    International Nuclear Information System (INIS)

    Sakata, T.; Makihara, K.; Murakami, H.; Higashi, S.; Miyazaki, S.

    2007-01-01

    We have studied the Ge crystalline nucleation and film growth on quartz substrate at 250 deg. C from inductively-coupled plasma (ICP) of GeH 4 diluted with H 2 . The ICP was generated by supplying 60 MHz power to an external single-turn antenna which was placed on a quartz plate window of a stainless steel reactor and parallel to the substrate. We have found that the growth rate is significantly increased when the preferential growth of the (110) plane becomes pronounced after the formation of randomly-oriented crystalline network. The (110) oriented Ge films, of which average crystallinity is as high as 70%. The integrated intensity ratio of TO phonons in crystalline phase to those in disordered phase, were grown at a rate of ∼ 4.0 nm/s after the formation of amorphous incubation layer with a thickness of ∼ 0.1 μm on quartz

  17. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy

    International Nuclear Information System (INIS)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Terziotti, Daniela; Bonera, Emiliano; Spinella, Corrado; Nicotra, Giuseppe

    2012-01-01

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe. (paper)

  18. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy.

    Science.gov (United States)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Terziotti, Daniela; Bonera, Emiliano; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Spinella, Corrado; Nicotra, Giuseppe

    2012-02-03

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe.

  19. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Directory of Open Access Journals (Sweden)

    Quanlong Liu

    Full Text Available Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001 substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM. The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  20. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Science.gov (United States)

    Liu, Quanlong; Zhao, Chunwang; Su, Shaojian; Li, Jijun; Xing, Yongming; Cheng, Buwen

    2013-01-01

    Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001) substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM). The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  1. Ge-intercalated graphene: The origin of the p-type to n-type transition

    KAUST Repository

    Kaloni, Thaneshwor P.

    2012-09-01

    Recently huge interest has been focussed on Ge-intercalated graphene. In order to address the effect of Ge on the electronic structure, we study Ge-intercalated free-standing C 6 and C 8 bilayer graphene, bulk C 6Ge and C 8Ge, as well as Ge-intercalated graphene on a SiC(0001) substrate, by density functional theory. In the presence of SiC(0001), there are three ways to obtain n-type graphene: i) intercalation between C layers; ii) intercalation at the interface to the substrate in combination with Ge deposition on the surface; and iii) cluster intercalation. All other configurations under study result in p-type states irrespective of the Ge coverage. We explain the origin of the different doping states and establish the conditions under which a transition occurs. © Copyright EPLA, 2012.

  2. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  3. Direct band gap light emission and detection at room temperature in bulk germanium diodes with HfGe/Ge/TiN structure

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dong, E-mail: wang.dong.539@m.kyushu-u.ac.jp [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Maekura, Takayuki [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Yamamoto, Keisuke; Nakashima, Hiroshi [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2016-03-01

    Direct band gap (DBG) electroluminescence (EL) and photo detection were studied at room temperature for n-type bulk germanium (Ge) diodes with a fin type lateral HfGe/Ge/TiN structure. DBG EL spectra peaked at 1.55 μm were clearly observed due to small hole and electron barrier heights of HfGe/Ge and TiN/Ge contacts. DBG EL peak intensity increased with increasing doping level of Ge substrate due to increased electron population in direct conduction band. The integrated intensity of DBG EL spectrum is proportional to the area of active region, implying a good surface-uniformity of EL efficiency. Small dark current intensity was measured as 2.4 × 10{sup −7} A under a reverse bias voltage of − 1 V, corresponding to dark current densities of 5.3 × 10{sup −10} A/μm or 3.2 × 10{sup −10} A/μm{sup 2}. At the wavelength of 1.55 μm, a linear dependence of photo current intensity on laser power was observed with a responsivity of 0.44 A/W at a reverse bias voltage of − 1 V. - Highlights: • Lateral HfGe/Ge/TiN diodes were fabricated on bulk Ge substrates. • The highest temperature was 400 °C for the entire fabrication process. • Electroluminescence spectra were measured for HfGe/Ge/TiN diodes with different parameters. • Dark current densities were 5.3 × 10{sup −10} A/μm or 3.2 × 10{sup −10} A/μm{sup 2} at − 1 V. • Responsivity was 0.44 A/W, corresponding to an external quantum efficiency of 35.2%.

  4. Thermal transport through Ge-rich Ge/Si superlattices grown on Ge(0 0 1)

    Science.gov (United States)

    Thumfart, L.; Carrete, J.; Vermeersch, B.; Ye, N.; Truglas, T.; Feser, J.; Groiss, H.; Mingo, N.; Rastelli, A.

    2018-01-01

    The cross-plane thermal conductivities of Ge-rich Si/Ge superlattices have been measured using both time-domain thermoreflectance and the differential 3ω method. The superlattices were grown by molecular beam epitaxy on Ge(0 0 1) substrates. Crystal quality and structural information were investigated by x-ray diffractometry and transmission electron microscopy. The influence of segregation during growth on the composition profiles was modeled using the experimental growth temperatures and deposition rates. Those profiles were then employed to obtain parameter-free theoretical estimates of the thermal conductivity by combining first-principles calculations, Boltzmann transport theory and phonon Green’s functions. Good agreement between theory and experiment is observed. The thermal conductivity shows a strong dependence on the composition and the thickness of the samples. Moreover, the importance of the composition profile is reflected in the fact that the thermal conductivity of the superlattices is considerably lower than predicted values for alloys with the same average composition and thickness. Measurement on different samples with the same Si layer thickness and number of periods, but different Ge layer thickness, show that the thermal resistance is only weakly dependent on the Ge layers. We analyze this phenomenon based on the first-principles mode, and build an approximate parametrization showing that, in this regime, the resistivity of a SL is roughly linear on the amount of Si.

  5. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  6. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  7. Electromigration techniques for Ge(II) and Ge(IV) separation in germanium thio compounds

    International Nuclear Information System (INIS)

    Facetti, J.F.; Vallejos, A.

    1971-01-01

    Using H.V. electromigration techniques, a good separation of the Ge(II) and Ge(IV) was achieved. The procedure was carried out in alkaline medium. And the final position of the separated species was established by, either neutron activation of the papa strips or chromatic reactions

  8. Electromigration techniques for Ge(II) and Ge(IV) separation in germanium thio compounds

    Energy Technology Data Exchange (ETDEWEB)

    Facetti, J F; Vallejos, A [Asuncion Naciona Univ. (Paraguay). Inst. de Ciencias

    1971-01-01

    Using H.V. electromigration techniques, a good separation of the Ge(II) and Ge(IV) was achieved. The procedure was carried out in alkaline medium. And the final position of the separated species was established by, either neutron activation of the papa strips or chromatic reactions.

  9. In situ observation of self-assembled Fe{sub 13}Ge{sub 8} nanowires growth on anisotropic Ge (1 1 0) surface

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhi-Peng, E-mail: LI.Zhipeng@nims.go.jp [Department of Physics, National University of Singapore, 2 Science Drive 3, S117542 (Singapore); Global Research Center for Environment and Energy based on Nanomaterials Science, National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Tok, Engsoon [Department of Physics, National University of Singapore, 2 Science Drive 3, S117542 (Singapore); Foo, Yonglim [Institute of Materials Research and Engineering, 3 Research Link, S117602 (Singapore)

    2012-02-15

    Highlights: Black-Right-Pointing-Pointer Epitaxial Fe{sub 13}Ge{sub 8} nanowries growth and shape evolution on Ge (1 1 0) studied by in situ UHV-TEM. Black-Right-Pointing-Pointer Single type of morphology and unique orientation of nanowires formed at elevated temperatures. Black-Right-Pointing-Pointer Uniform control of the nanowires morphology at different temperatures can be succeeded. -- Abstract: Self-assembled iron germanide nanowires (NWs) were grown by directly depositing Fe onto a Ge (1 1 0) substrate, in an in situ ultra-high vacuum transmission electron microscope from 430 to 500 Degree-Sign C. All observed NWs had a similar length/width aspect ratio ({approx}8:1) at all deposition temperatures, as well as the same elongation orientation with respect to the underlying Ge (1 1 0) substrate. The growth dynamics was investigated by real time observations of NWs growth at elevated temperatures. It is elucidated that the formation of NWs in similar shape at all deposited temperatures is attributed to the similar activation energy barriers in length and width of NWs, which can result in the constant growth rate independent of growth temperatures. Furthermore, the difference in pre-exponential factor along the length and width of growing islands arose due to the anisotropic constraint of the Ge (1 1 0) substrate, leading to the unique elongation of NWs. This growth dynamics suggests the possibility of uniform control of the morphology of self-assembled NWs, as well as other morphologies of bottom-up fabricated devices, at different deposition temperatures.

  10. Stress-Induced Crystallization of Ge-Doped Sb Phase-Change Thin Films

    NARCIS (Netherlands)

    Eising, Gert; Pauza, Andrew; Kooi, Bart J.

    The large effects of moderate stresses on the crystal growth rate in Ge-doped Sb phase-change thin films are demonstrated using direct optical imaging. For Ge6Sb94 and Ge7Sb93 phase-change films, a large increase in crystallization temperature is found when using a polycarbonate substrate instead of

  11. HPC4Energy Final Report : GE Energy

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Steven G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Van Zandt, Devin T. [GE Energy Consulting, Schenectady, NY (United States); Thomas, Brian [GE Energy Consulting, Schenectady, NY (United States); Mahmood, Sajjad [GE Energy Consulting, Schenectady, NY (United States); Woodward, Carol S. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2014-02-25

    Power System planning tools are being used today to simulate systems that are far larger and more complex than just a few years ago. Advances in renewable technologies and more pervasive control technology are driving planning engineers to analyze an increasing number of scenarios and system models with much more detailed network representations. Although the speed of individual CPU’s has increased roughly according to Moore’s Law, the requirements for advanced models, increased system sizes, and larger sensitivities have outstripped CPU performance. This computational dilemma has reached a critical point and the industry needs to develop the technology to accurately model the power system of the future. The hpc4energy incubator program provided a unique opportunity to leverage the HPC resources available to LLNL and the power systems domain expertise of GE Energy to enhance the GE Concorda PSLF software. Well over 500 users worldwide, including all of the major California electric utilities, rely on Concorda PSLF software for their power flow and dynamics. This pilot project demonstrated that the GE Concorda PSLF software can perform contingency analysis in a massively parallel environment to significantly reduce the time to results. An analysis with 4,127 contingencies that would take 24 days on a single core was reduced to 24 minutes when run on 4,217 cores. A secondary goal of this project was to develop and test modeling techniques that will expand the computational capability of PSLF to efficiently deal with systems sizes greater than 150,000 buses. Toward this goal the matrix reordering implementation time was sped up 9.5 times by optimizing the code and introducing threading.

  12. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  13. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  14. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  15. Quantum-confined Stark effect at 1.3 μm in Ge/Si(0.35)Ge(0.65) quantum-well structure.

    Science.gov (United States)

    Rouifed, Mohamed Said; Chaisakul, Papichaya; Marris-Morini, Delphine; Frigerio, Jacopo; Isella, Giovanni; Chrastina, Daniel; Edmond, Samson; Le Roux, Xavier; Coudevylle, Jean-René; Vivien, Laurent

    2012-10-01

    Room-temperature quantum-confined Stark effect in a Ge/SiGe quantum-well structure is reported at the wavelength of 1.3 μm. The operating wavelength is tuned by the use of strain engineering. Low-energy plasma-enhanced chemical vapor deposition is used to grow 20 periods of strain-compensated quantum wells (8 nm Ge well and 12 nm Si(0.35)Ge(0.65) barrier) on Si(0.21)Ge(0.79) virtual substrate. The fraction of light absorbed per well allows for a strong modulation around 1.3 μm. The half-width at half-maximum of the excitonic peak of only 12 meV allows for a discussion on physical mechanisms limiting the performances of such devices.

  16. Control of Ge1-x-ySixSny layer lattice constant for energy band alignment in Ge1-xSnx/Ge1-x-ySixSny heterostructures

    Science.gov (United States)

    Fukuda, Masahiro; Watanabe, Kazuhiro; Sakashita, Mitsuo; Kurosawa, Masashi; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-10-01

    The energy band alignment of Ge1-xSnx/Ge1-x-ySixSny heterostructures was investigated, and control of the valence band offset at the Ge1-xSnx/Ge1-x-ySixSny heterointerface was achieved by controlling the Si and Sn contents in the Ge1-x-ySixSny layer. The valence band offset in the Ge0.902Sn0.098/Ge0.41Si0.50Sn0.09 heterostructure was evaluated to be as high as 330 meV, and its conduction band offset was estimated to be 150 meV by considering the energy bandgap calculated from the theoretical prediction. In addition, the formation of the strain-relaxed Ge1-x-ySixSny layer was examined and the crystalline structure was characterized. The epitaxial growth of a strain-relaxed Ge0.64Si0.21Sn0.15 layer with the degree of strain relaxation of 55% was examined using a virtual Ge substrate. Moreover, enhancement of the strain relaxation was demonstrated by post-deposition annealing, where a degree of strain relaxation of 70% was achieved after annealing at 400 °C. These results indicate the possibility for enhancing the indirect-direct crossover with a strained and high-Sn-content Ge1-xSnx layer on a strain-relaxed Ge1-x-ySixSny layer, realizing preferable carrier confinement by type-I energy band alignment with high conduction and valence band offsets.

  17. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  18. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  19. Strain relaxation of germanium-tin (GeSn) fins

    Science.gov (United States)

    Kang, Yuye; Huang, Yi-Chiau; Lee, Kwang Hong; Bao, Shuyu; Wang, Wei; Lei, Dian; Masudy-Panah, Saeid; Dong, Yuan; Wu, Ying; Xu, Shengqiang; Tan, Chuan Seng; Gong, Xiao; Yeo, Yee-Chia

    2018-02-01

    Strain relaxation of biaxially strained Ge1-xSnx layer when it is patterned into Ge1-xSnx fin structures is studied. Ge1-xSnx-on-insulator (GeSnOI) substrate was realized using a direct wafer bonding (DWB) technique and Ge1-xSnx fin structures were formed by electron beam lithography (EBL) patterning and dry etching. The strain in the Ge1-xSnx fins having fin widths (WFin) ranging from 1 μm down to 80 nm was characterized using micro-Raman spectroscopy. Raman measurements show that the strain relaxation increases with decreasing WFin. Finite element (FE) simulation shows that the strain component in the transverse direction relaxes with decreasing WFin, while the strain component along the fin direction remains unchanged. For various Ge1-xSnx fin widths, transverse strain relaxation was further extracted using micro-Raman spectroscopy, which is consistent with the simulation results.

  20. Electron Transport Properties of Ge nanowires

    Science.gov (United States)

    Hanrath, Tobias; Khondaker, Saiful I.; Yao, Zhen; Korgel, Brian A.

    2003-03-01

    Electron Transport Properties of Ge nanowires Tobias Hanrath*, Saiful I. Khondaker, Zhen Yao, Brian A. Korgel* *Dept. of Chemical Engineering, Dept. of Physics, Texas Materials Institute, and Center for Nano- and Molecular Science and Technology University of Texas at Austin, Austin, Texas 78712-1062 e-mail: korgel@mail.che.utexas.edu Germanium (Ge) nanowires with diameters ranging from 6 to 50 nm and several micrometer in length were grown via a supercritical fluid-liquid-solid synthesis. Parallel electron energy loss spectroscopy (PEELS) was employed to study the band structure and electron density in the Ge nanowires. The observed increase in plasmon peak energy and peak width with decreasing nanowire diameter is attributed to quantum confinement effects. For electrical characterization, Ge nanowires were deposited onto a patterned Si/SiO2 substrate. E-beam lithography was then used to form electrode contacts to individual nanowires. The influence of nanowire diameter, surface chemistry and crystallographic defects on electron transport properties were investigated and the comparison of Ge nanowire conductivity with respect to bulk, intrinsic Ge will be presented.

  1. Growth, Plastochron, and the Final Number of Nodes of China Pink Seedlings Grown on Different Substrates

    Directory of Open Access Journals (Sweden)

    Marília Milani

    Full Text Available ABSTRACT The objective of this work was to plot the growth curves and determine the plastochron and the final number of nodes of China pink seedlings grown on different substrates. Thus, 392 China pink seedlings were grown on seven substrates under greenhouse conditions, in Santa Maria in the state of Rio Grande do Sul, Brazil. The growth curves were plotted using the logistic model. The plastochron was estimated by the inverse of the angular coefficient of the simple linear regression between the number of accumulated nodes and accumulated thermal sum from the subsampling of the seedlings. In all substrates, the logistic model fit better for the variable number of leaves than for the plant height. The plants in substrates with 50% of soil plus 50% of rice husk ash, and 80% of rice husk ash plus 20% earthworm humus had the longest cycles with 74 and 65 days, respectively. They completed the cycles with a thermal sum of 1317.9 ºC day for number of leaves and plant height. The growth curves that were plotted by the logistic model and the plastochron of the China pink seedlings are dependent on the type of substrate used. The commercial substrate Mecplant® had the best results. The average final number of nodes of the main stem of the plants was 14 for all substrates.

  2. Si0.85Ge0.15 oxynitridation in nitric oxide/nitrous oxide ambient

    International Nuclear Information System (INIS)

    Dasgupta, Anindya; Takoudis, Christos G.; Lei Yuanyuan; Browning, Nigel D.

    2003-01-01

    Low temperature, nitric oxide (NO)/nitrous oxide (N 2 O) aided, sub-35 Aa Si 0.85 Ge 0.15 oxynitrides have been grown at 550 and 650 deg. C, while the oxynitridation feed gases have been preheated to 900 and 1000 deg. C, respectively, before entering the reaction zone. X-ray photoelectron spectroscopy and secondary ion mass spectroscopy (SIMS) data suggest that NO-assisted oxynitridation incorporates more nitrogen than the N 2 O-assisted one, while there is minimal Ge segregation towards the dielectric/substrate interface in both oxynitridation processes. Moreover, SIMS results suggest that nitrogen is distributed throughout the film in contrast to high temperature Si oxynitridation, where nitrogen incorporation takes place near the dielectric/substrate interface. Z-contrast imaging with scanning transmission electron microscopy shows that the oxynitride grown in NO at 650 degree sign C has a sharp interface with the bulk Si 0.85 Ge 0.15 , while the roughness of the dielectric/Si 0.85 Ge 0.15 substrate interface is less than 2 Aa. These results are discussed in the context of an overall mechanism of SiGe oxynitridation

  3. Structure of Ge(100) surfaces for high-efficiency photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Olson, J.M.; McMahon, W.E. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    While much is known about the Ge(100) surface in a UHV/MBE environment, little has been published about this surface in an MOCVD environment. The main objective of this study is to determine the structure of the surface of Ge substrates in the typical MOCVD reactor immediately prior to and following the heteronucleation of GaAs and other lattice-matched III-V alloys, and to determine the conditions necessary for the growth of device-quality epilayers. In this paper the authors present the first STM images of the MOCVD-prepared Ge surfaces. Although many of the observed features are very similar to UHV- or MBE-prepared surfaces, there are distinct and important differences. For example, while the As-terminated surfaces for MBE-Ge and MOCVD-Ge are virtually identical, the AsH{sub 3}-treated surfaces in an MOCVD reactor are quite different. The terrace reconstruction is rotated by {pi}/2, and significant step bunching or faceting is also observed. Time-dependent RD kinetic studies also reveal, for the first time, several interesting features: the transition rate from an As-terminated (1 x 2) terrace reconstruction to a stable AsH{sub 3}-annealed surface is a function of the substrate temperature, substrate miscut from (100) and AsH{sub 3} partial pressure, and, for typical prenucleation conditions, is relatively slow. These results explain many of the empirically derived nucleation conditions that have been devised by numerous groups.

  4. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  5. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  6. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  7. Final state interaction in the pd → pnp reaction at 1 GeV

    International Nuclear Information System (INIS)

    Deloff, A.

    1992-09-01

    The pd → pnp reaction at 1 GeV in both the direct and charge exchange channel has been investigated. The experimental data come from a line reversed beam-target experiment with 3.3 GeV/c deuterons incident on a proton target. In the direct channel data exhibit narrow structures in the np effective mass spectra: at threshold, at 2.02 GeV and at 2.12 GeV which have been seen before and we report on a new narrow enhancement at 1.95 GeV. In charge exchange channel the data show somewhat broader peak at 2.18 GeV. The data are explained by using a conventional approach, i.e. without sub-nucleonic degrees of freedom, but including the ΔN channel in NN scattering. 29 figs., 1 tab., 36 refs. (author)

  8. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  9. Room Temperature Ferromagnetic Mn:Ge(001

    Directory of Open Access Journals (Sweden)

    George Adrian Lungu

    2013-12-01

    Full Text Available We report the synthesis of a room temperature ferromagnetic Mn-Ge system obtained by simple deposition of manganese on Ge(001, heated at relatively high temperature (starting with 250 °C. The samples were characterized by low energy electron diffraction (LEED, scanning tunneling microscopy (STM, high resolution transmission electron microscopy (HRTEM, X-ray photoelectron spectroscopy (XPS, superconducting quantum interference device (SQUID, and magneto-optical Kerr effect (MOKE. Samples deposited at relatively elevated temperature (350 °C exhibited the formation of ~5–8 nm diameter Mn5Ge3 and Mn11Ge8 agglomerates by HRTEM, while XPS identified at least two Mn-containing phases: the agglomerates, together with a Ge-rich MnGe~2.5 phase, or manganese diluted into the Ge(001 crystal. LEED revealed the persistence of long range order after a relatively high amount of Mn (100 nm deposited on the single crystal substrate. STM probed the existence of dimer rows on the surface, slightly elongated as compared with Ge–Ge dimers on Ge(001. The films exhibited a clear ferromagnetism at room temperature, opening the possibility of forming a magnetic phase behind a nearly ideally terminated Ge surface, which could find applications in integration of magnetic functionalities on semiconductor bases. SQUID probed the co-existence of a superparamagnetic phase, with one phase which may be attributed to a diluted magnetic semiconductor. The hypothesis that the room temperature ferromagnetic phase might be the one with manganese diluted into the Ge crystal is formulated and discussed.

  10. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  11. Ge Quantum Dot Infrared Imaging Camera, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Luna Innovations Incorporated proposes to develop a high performance Ge quantum dots-based infrared (IR) imaging camera on Si substrate. The high sensitivity, large...

  12. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  13. DC heating induced shape transformation of Ge structures on ultraclean Si(5 5 12) surfaces.

    Science.gov (United States)

    Dash, J K; Rath, A; Juluri, R R; Raman, P Santhana; Müller, K; Rosenauer, A; Satyam, P V

    2011-04-06

    We report the growth of Ge nanostructures and microstructures on ultraclean, high vicinal angle silicon surfaces and show that self-assembled growth at optimum thickness of the overlayer leads to interesting shape transformations, namely from nanoparticle to trapezoidal structures, at higher thickness values. Thin films of Ge of varying thickness from 3 to 12 ML were grown under ultrahigh vacuum conditions on a Si(5 5 12) substrate while keeping the substrate at a temperature of 600 °C. The substrate heating was achieved by two methods: (i) by heating a filament under the substrate (radiative heating, RH) and (ii) by passing direct current through the samples in three directions (perpendicular, parallel and at 45° to the (110) direction of the substrate). We find irregular, more spherical-like island structures under RH conditions. The shape transformations have been found under DC heating conditions and for Ge deposition more than 8 ML thick. The longer sides of the trapezoid structures are found to be along (110) irrespective of the DC current direction. We also show the absence of such a shape transformation in the case of Ge deposition on Si(111) substrates. Scanning transmission electron microscopy measurements suggested the mixing of Ge and Si. This has been confirmed with a quantitative estimation of the intermixing using Rutherford backscattering spectrometry (RBS) measurements. The role of DC heating in the formation of aligned structures is discussed. Although the RBS simulations show the presence of a possible SiO(x) layer, under the experimental conditions of the present study, the oxide layer would not play a role in determining the formation of the various structures that were reported here.

  14. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  15. Ge nanoclusters in PECVD-deposited glass after heat treating and electron irradiation

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2007-01-01

    This paper reports the formation of Ge nanoclusters in silica glass thin films deposited by plasma-enhanced chemical vapor deposition (PECVD). We studied the samples by transmission electron microscopy (TEM) and Raman spectroscopy after annealing. TEM investigation shows that the Ge nanoclusters...... at two areaswere formed by different mechanisms. The Ge nanoclusters formed in a single row along the interface of a silicon substrate and the silica glass film by annealing during high-temperature heat treatment. Ge nanoclusters did not initially form in the bulk of the film but could be subsequently...... formed by the electron-beam irradiation. The interface between the silicon substrate and the silica glass film was investigated by Raman spectroscopy. The shift of the Raman peaks around 286.8 cm−1 and 495 cm−1 suggests that the interface is a Si1−xGex alloy film and that the composition x varies along...

  16. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  17. Characterization of Ge Nano structures Embedded Inside Porous Silicon for Photonics Application

    International Nuclear Information System (INIS)

    Rahim, A.F.A.; Hashim, M.R.; Rahim, A.F.A.; Ali, N.K.

    2011-01-01

    In this work we prepared germanium nano structures by means of filling the material inside porous silicon (PS) using conventional and cost effective technique, thermal evaporator. The PS acts as patterned substrate. It was prepared by anodization of silicon wafer in ethanoic hydrofluoric acid (HF). A Ge layer was then deposited onto the PS by thermal evaporation. This was followed by deposition of Si layer by thermal evaporation and anneal at 650 degree Celsius for 30 min. The process was completed by Ni metal deposition using thermal evaporator followed by metal annealing of 400 degree Celsius for 10 min to form metal semiconductor metal (MSM) photodetector. Structural analysis of the samples was performed using energy dispersive x-ray analysis (EDX), scanning electron microscope (SEM), X-ray diffraction (XRD) and Raman spectroscopy (RS). EDX spectrum suggests the presence of Ge inside the pores structure. Raman spectrum showed that good crystalline structure of Ge can be produced inside silicon pores with a phase with the diamond structure by (111), (220) and (400) reflections. Finally current-voltage (I-V) measurement of the MSM photodetector was carried out and showed lower dark currents compared to that of Si control device. Interestingly the device showed enhanced current gain compared to Si device which can be associated with the presence of Ge nano structures in the porous silicon. (author)

  18. GeSn/Si Avalanche Photodetectors on Si substrates

    Science.gov (United States)

    2016-09-16

    National Academy Member Shui-Qing Yu 0.00 Hameed Naseem 0.00 0.00 2 PERCENT_SUPPORTEDNAME FTE Equivalent: Total Number: Sub Contractors (DD882) Names of...second step is a high temperature ( HT ) growth above 500-650 °C. The two-step (LT:HT) growth method adopted for the growth of Ge and the role of pressure...a) (b) Fig. 16. (a) Raman spectroscopy measurements of the two-step (LT:HT) at 400:600 °C and the single-step ( HT ) at 600 °C growth shows

  19. Surface Science in an MOCVD Environment: Arsenic on Vicinal Ge(100)

    International Nuclear Information System (INIS)

    Olson, J.M.; McMahon, W.E.

    1998-01-01

    Scanning tunneling microscope (STM) images of arsine-exposed vicinal Ge(100) surfaces show that most As/Ge steps are reconstructed, and that a variety of different step structures exist. The entire family of reconstructed As/Ge steps can be divided into two types, which we have chosen to call ''single-row'' steps and ''double-row'' steps. In this paper we propose a model for a double-row step created by annealing a vicinal Ge(100) substrate under an arsine flux in a metal-organic chemical vapor deposition (MOCVD) chamber

  20. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  1. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  2. Type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSnβ heterojunctions

    Science.gov (United States)

    Dey, Swagata; Mukhopadhyay, Bratati; Sen, Gopa; Basu, P. K.

    2018-02-01

    We have examined type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSβ heterojunctions grown on virtual substrates in Si platform. It is found that, for different values of x, y, α and β, direct band gap type II band line up can be achieved for both tensile and compressive strains. The calculated band gap energy corresponds to the mid infrared to far infrared regions in the electromagnetic spectrum.

  3. Four-jet final state production in e+e- collisions at centre-of-mass energies of 130 and 136 GeV

    Science.gov (United States)

    Buskulic, D.; de Bonis, I.; Decamp, D.; Ghez, P.; Goy, C.; Lees, J. P.; Lucotte, A.; Minard, M. N.; Odier, P.; Pietrzyk, B.; Casado, M. P.; Chmeissani, M.; Crespo, J. M.; Delfino, M.; Efthymiopoulos, I.; Fernandez, E.; Fernandez-Bosman, M.; Garrido, Ll.; Juste, A.; Martinez, M.; Orteu, S.; Padilla, C.; Pascual, A.; Perlas, J. A.; Riu, I.; Sanchez, F.; Teubert, F.; Colaleo, A.; Creanza, D.; de Palma, M.; Gelao, G.; Girone, M.; Iaselli, G.; Maggi, G.; Maggi, M.; Marinelli, N.; Nuzzo, S.; Ranieri, A.; Raso, G.; Ruggieri, F.; Selvaggi, G.; Silvestris, L.; Tempesta, P.; Zito, G.; Huang, X.; Lin, J.; Ouyang, Q.; Wang, T.; Xie, Y.; Xu, R.; Xue, S.; Zhang, J.; Zhang, L.; Zhao, W.; Alemany, R.; Bazarko, A. O.; Cattaneo, M.; Comas, P.; Coyle, P.; Drevermann, H.; Forty, R. W.; Frank, M.; Hagelberg, R.; Harvey, J.; Janot, P.; Jost, B.; Kneringer, E.; Knobloch, J.; Lehraus, I.; Lutters, G.; Martin, E. B.; Mato, P.; Minten, A.; Miquel, R.; Mir, Ll. M.; Moneta, L.; Oest, T.; Pacheco, A.; Pusztaszeri, J. F.; Ranjard, F.; Rensing, P.; Rolandi, L.; Schlatter, D.; Schmelling, M.; Schneider, O.; Tejessy, W.; Tomalin, I. R.; Venturi, A.; Wachsmuth, H.; Wagner, A.; Ajaltouni, Z.; Barrès, A.; Boyer, C.; Falvard, A.; Gay, P.; Guicheney, C.; Henrard, P.; Jousset, J.; Michel, B.; Monteil, S.; Montret, J. C.; Pallin, D.; Perret, P.; Podlyski, F.; Proriol, J.; Rosnet, P.; Rossignol, J. M.; Fearnley, T.; Hansen, J. B.; Hansen, J. D.; Hansen, J. R.; Hansen, P. H.; Nilsson, B. S.; Wäänänen, A.; Kyriakis, A.; Markou, C.; Simopoulou, E.; Siotis, I.; Vayaki, A.; Zachariadou, K.; Blondel, A.; Brient, J. C.; Rougé, A.; Rumpf, M.; Valassi, A.; Videau, H.; Focardi, E.; Parrini, G.; Corden, M.; Georgiopoulos, C.; Jaffe, D. E.; Antonelli, A.; Bencivenni, G.; Bologna, G.; Bossi, F.; Campana, P.; Capon, G.; Casper, D.; Chiarella, V.; Felici, G.; Laurelli, P.; Mannocchi, G.; Murtas, F.; Murtas, G. P.; Passalacqua, L.; Pepe-Altarelli, M.; Curtis, L.; Dorris, S. J.; Halley, A. W.; Knowles, I. G.; Lynch, J. G.; O'Shea, V.; Raine, C.; Reeves, P.; Scarr, J. M.; Smith, K.; Thompson, A. S.; Thomson, F.; Thorn, S.; Turnbull, R. M.; Becker, U.; Geweniger, C.; Graefe, G.; Hanke, P.; Hansper, G.; Hepp, V.; Kluge, E. E.; Putzer, A.; Rensch, B.; Schmidt, M.; Sommer, J.; Stenzel, H.; Tittel, K.; Werner, S.; Wunsch, M.; Abbaneo, D.; Beuselinck, R.; Binnie, D. M.; Cameron, W.; Dornan, P. J.; Moutoussi, A.; Nash, J.; Sedgbeer, J. K.; Stacey, A. M.; Williams, M. D.; Dissertori, G.; Girtler, P.; Kuhn, D.; Rudolph, G.; Betteridge, A. P.; Bowdery, C. K.; Colrain, P.; Crawford, G.; Finch, A. J.; Foster, F.; Hughes, G.; Sloan, T.; Whelan, E. P.; Williams, M. I.; Galla, A.; Greene, A. M.; Hoffmann, C.; Kleinknecht, K.; Quast, G.; Renk, B.; Rohne, E.; Sander, H. G.; van Gemmeren, P.; Zeitnitz, C.; Aubert, J. J.; Bencheikh, A. M.; Benchouk, C.; Bonissent, A.; Bujosa, G.; Calvet, D.; Carr, J.; Diaconu, C.; Konstantinidis, N.; Payre, P.; Rousseau, D.; Talby, M.; Sadouki, A.; Thulasidas, M.; Tilquin, A.; Trabelsi, K.; Aleppo, M.; Ragusa, F.; Abt, I.; Assmann, R.; Bauer, C.; Blum, W.; Dietl, H.; Dydak, F.; Ganis, G.; Gotzhein, C.; Jakobs, K.; Kroha, H.; Lütjens, G.; Lutz, G.; Männer, W.; Moser, H. G.; Richter, R.; Rosado-Schlosser, A.; Schael, S.; Settles, R.; Seywerd, H.; St. Denis, R.; Wiedenmann, W.; Wolf, G.; Boucrot, J.; Callot, O.; Cordier, A.; Davier, M.; Duflot, L.; Grivaz, J. F.; Heusse, Ph.; Höcker, A.; Jacquet, M.; Kim, D. W.; Le Diberder, F.; Lefrançois, J.; Lutz, A. M.; Nikolic, I.; Park, H. J.; Park, I. C.; Schune, M. H.; Simion, S.; Veillet, J. J.; Videau, I.; Zerwas, D.; Azzurri, P.; Bagliesi, G.; Batignain, G.; Bettarini, S.; Bozzi, C.; Calderini, G.; Carpinelli, M.; Ciocci, M. A.; Ciulli, V.; Dell'Orso, R.; Fantechi, R.; Ferrante, I.; Giassi, A.; Gregorio, A.; Ligabue, F.; Lusiani, A.; Marrocchesi, P. S.; Messineo, A.; Palla, F.; Rizzo, G.; Sanguinetti, G.; Sciabà, A.; Spagnolo, P.; Steinberger, J.; Tenchini, R.; Tonelli, G.; Vannini, C.; Verdini, P. G.; Walsh, J.; Blair, G. A.; Bryant, L. M.; Cerutti, F.; Chambers, J. T.; Gao, Y.; Green, M. G.; Medcalf, T.; Perrodo, P.; Strong, J. A.; von Wimmersperg-Toeller, J. H.; Botterill, D. R.; Clifft, R. W.; Edgecock, T. R.; Haywood, S.; Maley, P.; Norton, P. R.; Thompson, J. C.; Wright, A. E.; Bloch-Devaux, B.; Colas, P.; Emery, S.; Kozanecki, W.; Lançon, E.; Lemaire, M. C.; Locci, E.; Marx, B.; Perez, P.; Rander, J.; Renardy, J. F.; Roussarie, A.; Schuller, J. P.; Schwindling, J.; Trabelsi, A.; Vallage, B.; Black, S. N.; Dann, J. H.; Johnson, R. P.; Kim, H. Y.; Litke, A. M.; McNeil, M. A.; Taylor, G.; Booth, C. N.; Boswell, R.; Brew, C. A. J.; Cartwright, S.; Combley, F.; Koksal, A.; Letho, M.; Newton, W. M.; Reeve, J.; Thompson, L. F.; Böhrer, A.; Brandt, S.; Büscher, V.; Cowan, G.; Grupen, C.; Saraiva, P.; Smolik, L.; Stephan, F.; Apollonio, M.; Bosisio, L.; Della Marina, R.; Giannini, G.; Gobbo, B.; Musolino, G.; Putz, J.; Rothberg, J.; Wasserbaech, S.; Williams, R. W.; Armstrong, S. R.; Bellantoni, L.; Elmer, P.; Feng, Z.; Ferguson, D. P. S.; Gao, Y. S.; González, S.; Grahl, J.; Greening, T. C.; Hayes, O. J.; Hu, H.; McNamara, P. A.; Nachtman, J. M.; Orejudos, W.; Pan, Y. B.; Saadi, Y.; Schmitt, M.; Scott, I. J.; Walsh, A. M.; Wu, Sau Lan; Wu, X.; Yamartino, J. M.; Zheng, M.; Zobernig, G.

    1996-03-01

    The four-jet final state is analyzed to search for hadronic decays of pair-produced heavy particles. The analysis uses the ALEPH data collected at LEP in November 1995 at centre-of-mass energies of 130 and 136 GeV, corresponding to a total integrated luminosity of 5.7 pb-1. An excess of four-jet events is observed with respect to the standard model predictions. In addition, these events exhibit an enhancement in the sum of the two di-jet masses around 105 GeV/ c 2. The properties of these events are studied and compared to the expectations from standard processes and to pair production hypotheses.

  4. Preparation and characterization of Ge2Sb2Te5 phase change films on elastic substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Hongbing; Thelander, Erik; Benke, Julia; Rauschenbach, Bernd [Leibniz Institute of Surface Modification, 04318 Leipzig (Germany)

    2012-07-01

    Ge{sub 2}Sb{sub 2}Te{sub 5} (GST) thin films have attracted a great deal of interest as an active layer for data storage media due to its high switching rate and extremely good reversibility. Here we demonstrate the preparation of high-quality GST films on elastic polyimide substrates by pulsed laser deposition (PLD). The composition and chemical state of the films were investigated by energy dispersive X-ray spectroscopy (EDX) and X-ray photoelectron spectroscopy (XPS), respectively. The effect of annealing temperature on the crystalline nature of the films was also studied. As-deposited films were found to be amorphous. Crystalline phases with face-centered cubic and hexagonal structures appeared at 180 and 300 degrees, respectively. Importantly, no phase separation could be seen in the annealed films. Furthermore, reflectivity measurements were performed to characterize the as-deposited and annealed films, showing a high reflectivity contrast (up to 23%) between full crystalline and amorphous films. Our results indicate that PLD deposited GST film on polyimide substrate is a promising candidate for use in future flexible memory devices.

  5. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  6. Straining Ge bulk and nanomembranes for optoelectronic applications: a systematic numerical analysis

    International Nuclear Information System (INIS)

    Scopece, Daniele; Montalenti, Francesco; Bonera, Emiliano; Bollani, Monica; Chrastina, Daniel

    2014-01-01

    Germanium is known to become a direct band gap material when subject to a biaxial tensile strain of 2% (Vogl et al 1993 Phys. Scr. T49B 476) or uniaxial tensile strain of 4% (Aldaghri et al 2012 J. Appl. Phys. 111 053106). This makes it appealing for the integration of optoelectronics into current CMOS technology. It is known that the induced strain is highly dependent on the geometry and composition of the whole system (stressors and substrate), leaving a large number of variables to the experimenters willing to realize this transition and just a trial-and-error procedure. The study in this paper aims at reducing this freedom. We adopt a finite element approach to systematically study the elastic strain induced by different configurations of lithographically-created SiGe nanostructures on a Ge substrate, by focusing on their composition and geometries. We numerically investigate the role played by the Ge substrate by comparing the strain induced on a bulk or on a suspended membrane. These results and their interpretation can provide the community starting guidelines to choose the appropriate subset of parameters to achieve the desired strain. A case of a very large optically active area of a Ge membrane is reported. (paper)

  7. Interfacial processes in the Pd/a-Ge:H system

    Science.gov (United States)

    Edelman, F.; Cytermann, C.; Brener, R.; Eizenberg, M.; Weil, R.; Beyer, W.

    1993-06-01

    The kinetics of phase transformation has been studied in a two-layer structure of Pd/a-Ge:H after vacuum annealing at temperatures from 180 to 500°C. The a-Ge:H was deposited at 250°C on both c-Si and cleaved NaCl substrates in an RF glow discharge from a GeH 4/H 2 mixture. It was found that, similarly to the Pd/c-Ge and the Pd/a-Ge (e-gun deposited) systems, in the case of 0.15-0.2 μm Pd/0.6-1.0 μm a-Ge:H interfacial germanides formed first through the production of Pd 2Ge (plus a small amount of PdGe), and then PdGe was produced. The growth of both compounds was found to be diffusion-controlled. The nonreacted a-Ge:H layer beneath the germanide overlayer crystallized at 400-500°C. A reverse sequence of germanides formation was revealed in the case of 50 nm Pd/30 nm a-Ge:H, studied by in situ heat treatment in the TEM utilizing non-supported samples. The first germanide detected was PdGe and then, as a result of PdGe and Ge reaction or the PdGe decomposition, Pd 2Ge formed. The temperature dependence of the incubation time before the first ˜ 10 nm PdGe grains formed, followed an Arrhenius curve with an activation energy of 1.45 eV.

  8. Annealing-induced Ge/Si(100) island evolution

    International Nuclear Information System (INIS)

    Zhang Yangting; Drucker, Jeff

    2003-01-01

    Ge/Si(100) islands were found to coarsen during in situ annealing at growth temperature. Islands were grown by molecular-beam epitaxy of pure Ge and annealed at substrate temperatures of T=450, 550, 600, and 650 deg. C, with Ge coverages of 6.5, 8.0, and 9.5 monolayers. Three coarsening mechanisms operate in this temperature range: wetting-layer consumption, conventional Ostwald ripening, and Si interdiffusion. For samples grown and annealed at T=450 deg. C, consumption of a metastably thick wetting layer causes rapid initial coarsening. Slower coarsening at longer annealing times occurs by conventional Ostwald ripening. Coarsening of samples grown and annealed at T=550 deg. C occurs via a combination of Si interdiffusion and conventional Ostwald ripening. For samples grown and annealed at T≥600 deg. C, Ostwald ripening of SiGe alloy clusters appears to be the dominant coarsening mechanism

  9. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  10. Optical response of Cu3Ge thin films

    OpenAIRE

    Aboelfotoh, M. O.; Guizzetti, G.; Marabelli, F.; Pellegrino, Paolo; Sassella, A.

    1996-01-01

    We report an investigation on the optical properties of Cu3Ge thin films displaying very high conductivity, with thickness ranging from 200 to 2000 Å, deposited on Ge substrates. Reflectance, transmittance, and ellipsometric spectroscopy measurements were performed at room temperature in the 0.01-6.0, 0.01-0.6, and 1.4-5.0 eV energy range, respectively. The complex dielectric function, the optical conductivity, the energy-loss function, and the effective charge density were obtained over the ...

  11. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  12. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Science.gov (United States)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  13. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Directory of Open Access Journals (Sweden)

    Abd Rahim Alhan Farhanah

    2017-01-01

    Full Text Available In this paper, an investigation of design and simulation of silicon germanium (SiGe islands on silicon (Si was presented for potential visible metal semiconductor metal (MSM photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD tools. The different structures of the silicon germanium (SiGe island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM photodetector was evaluated by photo and dark current-voltage (I-V characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  14. Recovery Act : Near-Single-Crystalline Photovoltaic Thin Films on Polycrystalline, Flexible Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Venkat Selvamanickam; Alex Freundlich

    2010-11-29

    III-V photovoltaics have exhibited efficiencies above 40%, but have found only a limited use because of the high cost of single crystal substrates. At the other end of the spectrum, polycrystalline and amorphous thin film solar cells offer the advantage of low-cost fabrication, but have not yielded high efficiencies. Our program is based on single-crystalline-like thin film photovoltaics on polycrystalline substrates using biaxially-textured templates made by Ion Beam-Assisted Deposition (IBAD). MgO templates made by IBAD on flexible metal substrate have been successfully used for epitaxial growth of germanium films. In spite of a 4.5% lattice mismatch, heteroepitaxial growth of Ge was achieved on CeO2 that was grown on IBAD MgO template. Room temperature optical bandgap of the Ge films was identified at 0.67 eV indicating minimal residual strain. Refraction index and extinction coefficient values of the Ge films were found to match well with that measured from a reference Ge single crystal. GaAs has been successfully grown epitaxially on Ge on metal substrate by molecular beam epitaxy. RHEED patterns indicate self annihilation of antiphase boundaries and the growth of a single domain GaAs. The GaAs is found to exhibit strong photoluminescence signal and, an existence of a relatively narrow (FWHM~20 meV) band-edge excitons measured in this film indicates a good optoelectronic quality of deposited GaAs. While excellent epitaxial growth has been achieved in GaAs on flexible metal substrates, the defect density of the films as measured by High Resolution X-ray Diffraction and etch pit experiments showed a high value of 5 * 10^8 per cm^2. Cross sectional transmission electron microscopy of the multilayer architecture showed concentration of threading dislocations near the germanium-ceria interface. The defect density was found decrease as the Ge films were made thicker. The defects appear to originate from the MgO layer presumably because of large lattice mismatches

  15. Superthin Solar Cells Based on AIIIBV/Ge Heterostructures

    Science.gov (United States)

    Pakhanov, N. A.; Pchelyakov, O. P.; Vladimirov, V. M.

    2017-11-01

    A comparative analysis of the prospects of creating superthin, light-weight, and highly efficient solar cells based on AIIIBV/InGaAs and AIIIBV/Ge heterostructures is performed. Technological problems and prospects of each variant are discussed. A method of thinning of AIIIBV/Ge heterostructures with the use of an effective temporary carrier is proposed. The method allows the process to be performed almost with no risk of heterostructure fracture, thinning of the Ge junction down to several tens of micrometers (or even several micrometers), significant enhancement of the yield of good structures, and also convenient and reliable transfer of thinned solar cells to an arbitrary light and flexible substrate. Such a technology offers a possibility of creating high-efficiency thin and light solar cells for space vehicles on the basis of mass-produced AIIIBV/Ge heterostructures.

  16. Growth strategies to control tapering in Ge nanowires

    Directory of Open Access Journals (Sweden)

    P. Periwal

    2014-04-01

    Full Text Available We report the effect of PH3 on the morphology of Au catalyzed Ge nanowires (NWs. Ge NWs were grown on Si (111 substrate at 400 °C in the presence of PH3, using vapor-liquid-solid method by chemical vapor deposition. We show that high PH3/GeH4 ratio causes passivation at NW surface. At high PH3 concentration phosphorous atoms attach itself on NW surface and form a self-protection coating that prevents conformal growth and leads to taper free nanostructures. However, in case of low PH3 flux the combination of axial and radial growth mechanism occurs resulting in conical structure. We have also investigated axial PH3-intrinsic junctions in Ge NWs. The unusual NW shape is attributed to a combination of catalyzed, uncatalyzed and diffusion induced growth.

  17. Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ross, Ulrich; Lotnyk, Andriy, E-mail: andriy.lotnyk@iom-leipzig.de; Thelander, Erik; Rauschenbach, Bernd

    2016-08-15

    The thin film deposition and structure of highly oriented telluride compounds is of particular interest for phase-change applications in next-generation non-volatile memory such as heterostructure designs, as well as for the investigation of novel optical, thermoelectric and ferroelectric properties in layered telluride compounds. In this work, epitaxial Ge-Sb-Te thin films were successfully produced by pulsed laser deposition on silicon with and without amorphous SiO{sub x} interlayer at elevated process temperatures from a Ge{sub 2}Sb{sub 2}Te{sub 5} target. Aberration-corrected high-resolution scanning transmission electron microscopy (STEM) imaging reveals a distinct interface configuration of the trigonal phase connected by a quasi van der Waals gap (vacancy) to the Sb/Te-passivated single crystalline Si substrate, yet also an intermediate textured growth regime in which the substrate symmetry is only weakly coupled to the thin film orientation, as well as strong deviation of composition at high deposition temperatures. Textured growth of Ge-Sb-Te thin film was also observed on SiO{sub x}/Si substrate with no evidence of an intermediate Sb/Te surface layer on top of an SiO{sub x} layer. In addition, particular defect structures formed by local reorganization of the stacking sequence across the vacancy gap are observed and appear to be intrinsic to these van der Waals-layered compounds. Theoretical image simulations of preferred stacking sequences can be matched to individual building blocks in the Ge-Sb-Te grain. - Highlights: • Atomic-resolution Cs-corrected STEM imaging of PLD deposited Ge-Sb-Te thin films. • Changing of overall composition with increasing deposition temperature. • Direct imaging of surface passivation Sb/Te layer at the Ge-Sb-Te/Si(111) interface. • The Sb/Te passivation layer is not a prerequisite for highly oriented growth of Ge-Sb-Te thin films.

  18. MAu2GeS4-Chalcogel (M = Co, Ni): Heterogeneous Intra- and Intermolecular Hydroamination Catalysts

    KAUST Repository

    Davaasuren, Bambar

    2017-08-08

    High surface area macroporous chalcogenide aerogels (chalcogels) MAu2GeS4 (M = Co, Ni) were prepared from K2Au2GeS4 precursor and Co(OAc)2 or NiCl2 by one-pot sol-gel metathesis reactions in aqueous media. The MAu2GeS4-chalcogels were screened for catalytic intramolecular hydroamination of 4-pentyn-1-amine substrate at different temperatures. 87% and 58% conversion was achieved at 100 °C, using CoAu2GeS4- and NiAu2GeS4-chalcogels respectively, and the reaction kinetics follows the first order. It was established that the catalytic performance of the aerogels is associated with the M(2+) centers present in the structure. Intermolecular hydroamination of aniline with 1-R-4-ethynylbenzene (R = -H, -OCH3, -Br, -F) was carried out at 100 °C using CoAu2GeS4-chalcogel catalyst, due to its promising catalytic performance. The CoAu2GeS4-chalcogel regioselectively converted the pair of substrates to respective Markovnikov products, (E)-1-(4-R-phenyl)-N-phenylethan-1-imine, with 38% to 60% conversion.

  19. MAu2GeS4-Chalcogel (M = Co, Ni): Heterogeneous Intra- and Intermolecular Hydroamination Catalysts

    KAUST Repository

    Davaasuren, Bambar; Emwas, Abdul-Hamid M.; Rothenberger, Alexander

    2017-01-01

    High surface area macroporous chalcogenide aerogels (chalcogels) MAu2GeS4 (M = Co, Ni) were prepared from K2Au2GeS4 precursor and Co(OAc)2 or NiCl2 by one-pot sol-gel metathesis reactions in aqueous media. The MAu2GeS4-chalcogels were screened for catalytic intramolecular hydroamination of 4-pentyn-1-amine substrate at different temperatures. 87% and 58% conversion was achieved at 100 °C, using CoAu2GeS4- and NiAu2GeS4-chalcogels respectively, and the reaction kinetics follows the first order. It was established that the catalytic performance of the aerogels is associated with the M(2+) centers present in the structure. Intermolecular hydroamination of aniline with 1-R-4-ethynylbenzene (R = -H, -OCH3, -Br, -F) was carried out at 100 °C using CoAu2GeS4-chalcogel catalyst, due to its promising catalytic performance. The CoAu2GeS4-chalcogel regioselectively converted the pair of substrates to respective Markovnikov products, (E)-1-(4-R-phenyl)-N-phenylethan-1-imine, with 38% to 60% conversion.

  20. Molecular beam deposition of Al2O3 on p-Ge(001)/Ge0.95Sn0.05 heterostructure and impact of a Ge-cap interfacial layer

    International Nuclear Information System (INIS)

    Merckling, C.; Franquet, A.; Vincent, B.; Vandervorst, W.; Loo, R.; Caymax, M.; Sun, X.; Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Zaima, S.

    2011-01-01

    We investigated the molecular beam deposition of Al 2 O 3 on Ge 0.95 Sn 0.05 surface with and without an ultra thin Ge cap layer in between. We first studied the atomic configuration of both Ge 1-x Sn x and Ge/Ge 1-x Sn x surfaces after deoxidation by reflection high-energy electron diffraction and resulted, respectively, in a c(4x2) and (2x1) surface reconstructions. After in situ deposition of an Al 2 O 3 high-κ gate dielectric we evidenced using time-of-flight secondary ion mass spectroscopy analyses that Sn diffusion was at the origin of high leakage current densities in the Ge 1-x Sn x /Al 2 O 3 gate stack. This damage could be avoided by inserting a thin 5-nm-thick Ge cap between the oxide and the Ge 1-x Sn x layer. Finally, metal-oxide-semiconductor capacitors on the Ge capped sample showed well-behaved capacitance-voltage (C-V) characteristics with interface trap density (D it ) in the range of 10 12 eV -1 cm -2 in mid gap and higher close to the valence band edge.

  1. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  2. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  3. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  4. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  5. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100

    Directory of Open Access Journals (Sweden)

    Mastura Shafinaz Zainal Abidin

    2014-02-01

    Full Text Available The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100 substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100 orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  6. Thermoelectric cross-plane properties on p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Ferre Llin, L.; Samarelli, A. [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Cecchi, S.; Chrastina, D.; Isella, G. [L-NESS, Politecnico di Milano, Via Anzani 42, 22100 Como (Italy); Müller Gubler, E. [ETH, Electron Microscopy ETH Zurich, Wolgang-Pauli-Str. Ch-8093 Zurich (Switzerland); Etzelstorfer, T.; Stangl, J. [Johannes Kepler Universität, Institute of Semiconductor and Solid State Physics, A-4040 Linz (Austria); Paul, D.J., E-mail: Douglas.Paul@glasgow.ac.uk [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom)

    2016-03-01

    Silicon and germanium materials have demonstrated an increasing attraction for energy harvesting, due to their sustainability and integrability with complementary metal oxide semiconductor and micro-electro-mechanical-system technology. The thermoelectric efficiencies for these materials, however, are very poor at room temperature and so it is necessary to engineer them in order to compete with telluride based materials, which have demonstrated at room temperature the highest performances in literature [1]. Micro-fabricated devices consisting of mesa structures with integrated heaters, thermometers and Ohmic contacts were used to extract the cross-plane values of the Seebeck coefficient and the thermal conductivity from p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices. A second device consisting in a modified circular transfer line method structure was used to extract the electrical conductivity of the materials. A range of p-Ge/Si{sub 0.5}Ge{sub 0.5} superlattices with different doping levels was investigated in detail to determine the role of the doping density in dictating the thermoelectric properties. A second set of n-Ge/Si{sub 0.3}Ge{sub 0.7} superlattices was fabricated to study the impact that quantum well thickness might have on the two thermoelectric figures of merit, and also to demonstrate a further reduction of the thermal conductivity by scattering phonons at different wavelengths. This technique has demonstrated to lower the thermal conductivity by a 25% by adding different barrier thicknesses per period. - Highlights: • Growth of epitaxial Ge/SiGe superlattices on Si substrates as energy harvesters • Study of cross-plane thermoelectric properties of Ge/SiGe superlattices at 300 K • Thermoelectric figures of merit studied as a function of doping density • Phonon scattering at different wavelengths to reduce thermal transport.

  7. Heavy Ion Current Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  8. Plastic relaxation of GeSi/Si(001) films grown by molecular-beam epitaxy in the presence of the Sb surfactant

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu. B.; Deryabin, A. S.; Gutakovskii, A. K.; Kolesnikov, A. V.; Sokolov, L. V.

    2007-01-01

    Plastically relaxed GeSi films with the Ge fraction equal to 0.29-0.42 and thickness as large as 0.5 μm were grown on Si (001) substrates using the low-temperature (350 deg. C) buffer Si layer and Sb as a surfactant. It is shown that introduction of Sb that smoothens the film surface at the stage of pseudomorphic growth lowers the density of threading dislocations in the plastically relaxed heterostructure by 1-1.5 orders of magnitude and also reduces the final roughness of the surface. The root-mean-square value of roughness smaller than 1 nm was obtained for a film with the Ge content of 0.29 and the density of threading dislocations of about 10 6 cm -2 . It is assumed that the effect of surfactant is based on the fact that the activity of surface sources of dislocations is reduced in the presence of Sb

  9. The Impact of HCl Precleaning and Sulfur Passivation on the Al2O3/Ge Interface in Ge Metal-Oxide-Semiconductor Capacitors

    International Nuclear Information System (INIS)

    Xue Bai-Qing; Chang Hu-Dong; Sun Bing; Wang Sheng-Kai; Liu Hong-Gang

    2012-01-01

    Surface treatment for Ge substrates using hydrogen chlorine cleaning and chemical passivation are investigated on AuTi/Al 2 O 3 /Ge metal-oxide-semiconductor capacitors. After hydrogen chlorine cleaning, a smooth Ge surface almost free from native oxide is demonstrated by atomic force microscopy and x-ray photoelectron spectroscopy observations. Passivation using a hydrogen chlorine solution is found to form a chlorine-terminated surface, while aqueous ammonium sulfide pretreatment results in a surface terminated by Ge-S bonding. Compared with chlorine-passivated samples, the sulfur-passivated ones show less frequency dispersion and better thermal stability based on capacitance-voltage characterizations. The samples with HCl pre-cleaning and (NH 4 ) 2 S passivation show less frequency dispersion than the HF pre-cleaning and (NH 4 ) 2 S passivated ones. The surface treatment process using hydrogen chlorine cleaning followed by aqueous ammonium sulfide passivation demonstrates a promising way to improve gate dielectric/Ge interface quality. (condensed matter: structure, mechanical and thermal properties)

  10. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  11. Amorphous SiOx nanowires catalyzed by metallic Ge for optoelectronic applications

    International Nuclear Information System (INIS)

    Nie Tianxiao; Chen Zhigang; Wu Yueqin; Lin Jianhui; Zhang Jiuzhan; Fan Yongliang; Yang Xinju; Jiang Zuimin; Zou Jin

    2011-01-01

    Research highlights: → Metallic Ge has been demonstrated as an effective catalyst for the growth of SiO x nanowires on Si substrates. → Such a catalyst may avoid catalyst contamination caused by their unconsciousness left in the nanowires. → Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources. - Abstract: Amorphous SiO x nanowires, with diameters of ∼20 nm and lengths of tens of μm, were grown from self-organized GeSi quantum dots or GeSi alloy epilayers on Si substrates. The morphologies and yield of these amorphous nanowires depend strongly upon the synthesis temperature. Comparative experiments indicate that the present SiO x nanowires are induced by metallic Ge as catalysts via the solid liquid solid growth mechanism. Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources.

  12. Sub-5 nm, globally aligned graphene nanoribbons on Ge(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kiraly, Brian; Mannix, Andrew J. [Center for Nanoscale Materials, Argonne National Laboratory, 9700 South Cass Avenue, Building 440, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States); Jacobberger, Robert M.; Arnold, Michael S. [Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Fisher, Brandon L.; Guisinger, Nathan P., E-mail: nguisinger@anl.gov, E-mail: m-hersam@northwestern.edu [Center for Nanoscale Materials, Argonne National Laboratory, 9700 South Cass Avenue, Building 440, Argonne, Illinois 60439 (United States); Hersam, Mark C., E-mail: nguisinger@anl.gov, E-mail: m-hersam@northwestern.edu [Department of Materials Science and Engineering, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, 2220 Campus Drive, Evanston, Illinois 60208 (United States)

    2016-05-23

    Graphene nanoribbons (GNRs) hold great promise for future electronics because of their edge and width dependent electronic bandgaps and exceptional transport properties. While significant progress toward GNR devices has been made, the field has been limited by difficulties achieving narrow widths, global alignment, and atomically pristine GNR edges on technologically relevant substrates. A recent advance has challenged these limits by using Ge(001) substrates to direct the bottom-up growth of GNRs with nearly pristine armchair edges and widths near ∼10 nm via atmospheric pressure chemical vapor deposition. In this work, the growth of GNRs on Ge(001) is extended to ultra-high vacuum conditions, resulting in the realization of GNRs with widths narrower than 5 nm. Armchair graphene nanoribbons oriented along Ge 〈110〉 surface directions are achieved with excellent width control and relatively large bandgaps. The bandgap magnitude and electronic uniformity of these sub-5 nm GNRs are well-suited for emerging nanoelectronic applications.

  13. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    Energy Technology Data Exchange (ETDEWEB)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it [CNISM-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Nicotra, G. [IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy); Bollani, M. [CNR-IFN, LNESS, Via Anzani 42, I-22100 Como (Italy); Bonera, E.; Montalenti, F.; Picco, A.; Boioli, F. [Dipartimento di Scienza dei Materiali and L-NESS, Università Milano-Bicocca, via Cozzi 53, I-20125 Milano (Italy); Capellini, G. [Department of Sciences at the Università Roma Tre, Via Vasca Navale 79, 00146 Roma (Italy); Isella, G. [CNISM, LNESS, Dipartimento di Fisica, Politecnico di Milano (Polo di Como), Via Anzani 42, I-22100 Como (Italy); Osmond, J. [ICFO–The Institute of Photonic Sciences, Av. Carl Friedrich Gauss, 3, E-08860 Castelldefels (Barcelona) (Spain)

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by the surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.

  14. (Tl, Sb) and (Tl, Bi) binary surface reconstructions on Ge(111) substrate

    Science.gov (United States)

    Gruznev, D. V.; Bondarenko, L. V.; Tupchaya, A. Y.; Yakovlev, A. A.; Mihalyuk, A. N.; Zotov, A. V.; Saranin, A. A.

    2018-03-01

    2D compounds made of Group-III and Group-V elements on the surface of silicon and germanium attract considerable attention due to prospects of creating III-V binary monolayers, which are predicted to hold advanced physical properties. In the present work, we have investigated two such systems, (Tl, Sb)/Ge(111) and (Tl, Bi)/Ge(111) using scanning tunneling microscopy, low energy electron diffraction observations and density-functional-theory calculations. In addition to the previously reported surface structures of 2D (Tl, Sb) and (Tl, Bi) compounds on Si(111), we found new ones, namely, √{ 7} × √{ 7} and 3 × 3. Formation processes and plausible models of their atomic arrangements are discussed.

  15. The Role of Surface Passivation in Controlling Ge Nanowire Faceting.

    Science.gov (United States)

    Gamalski, A D; Tersoff, J; Kodambaka, S; Zakharov, D N; Ross, F M; Stach, E A

    2015-12-09

    In situ transmission electron microscopy observations of nanowire morphologies indicate that during Au-catalyzed Ge nanowire growth, Ge facets can rapidly form along the nanowire sidewalls when the source gas (here, digermane) flux is decreased or the temperature is increased. This sidewall faceting is accompanied by continuous catalyst loss as Au diffuses from the droplet to the wire surface. We suggest that high digermane flux and low temperatures promote effective surface passivation of Ge nanowires with H or other digermane fragments inhibiting diffusion and attachment of Au and Ge on the sidewalls. These results illustrate the essential roles of the precursor gas and substrate temperature in maintaining nanowire sidewall passivation, necessary to ensure the growth of straight, untapered, ⟨111⟩-oriented nanowires.

  16. Direct growth of Ge quantum dots on a graphene/SiO2/Si structure using ion beam sputtering deposition.

    Science.gov (United States)

    Zhang, Z; Wang, R F; Zhang, J; Li, H S; Zhang, J; Qiu, F; Yang, J; Wang, C; Yang, Y

    2016-07-29

    The growth of Ge quantum dots (QDs) using the ion beam sputtering deposition technique has been successfully conducted directly on single-layer graphene supported by SiO2/Si substrate. The results show that the morphology and size of Ge QDs on graphene can be modulated by tuning the Ge coverage. Charge transfer behavior, i.e. doping effect in graphene has been demonstrated at the interface of Ge/graphene. Compared with that of traditional Ge dots grown on Si substrate, the positions of both corresponding photoluminescence (PL) peaks of Ge QDs/graphene hybrid structure undergo a large red-shift, which can probably be attributed to the lack of atomic intermixing and the existence of surface states in this hybrid material. According to first-principles calculations, the Ge growth on the graphene should follow the so-called Volmer-Weber mode instead of the Stranski-Krastanow one which is observed generally in the traditional Ge QDs/Si system. The calculations also suggest that the interaction between Ge and graphene layer can be enhanced with the decrease of the Ge coverage. Our results may supply a prototype for fabricating novel optoelectronic devices based on a QDs/graphene hybrid nanostructure.

  17. Thermal annealing studies of GeTe-Sb2Te3 alloys with multiple interfaces

    Directory of Open Access Journals (Sweden)

    Valeria Bragaglia

    2017-08-01

    Full Text Available A high degree of vacancy ordering is obtained by annealing amorphous GeTe-Sb2Te3 (GST alloys deposited on a crystalline substrate, which acts as a template for the crystallization. Under annealing the material evolves from amorphous to disordered rocksalt, to ordered rocksalt with vacancies arranged into (111 oriented layers, and finally converts into the stable trigonal phase. The role of the interface in respect to the formation of an ordered crystalline phase is studied by comparing the transformation stages of crystalline GST with and without a capping layer. The capping layer offers another crystallization interface, which harms the overall crystalline quality.

  18. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  19. Optical properties of individual site-controlled Ge quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Grydlik, Martyna, E-mail: moritz.brehm@jku.at, E-mail: martyna.grydlik@jku.at [Institute of Semiconductor and Solid State Physics, Johannes Kepler University Linz, Altenbergerstrasse 69, 4040 Linz (Austria); Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstr. 20, Dresden 01069 (Germany); Center for Advancing Electronics Dresden, CfAED, TU Dresden (Germany); Brehm, Moritz, E-mail: moritz.brehm@jku.at, E-mail: martyna.grydlik@jku.at [Institute of Semiconductor and Solid State Physics, Johannes Kepler University Linz, Altenbergerstrasse 69, 4040 Linz (Austria); Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstr. 20, Dresden 01069 (Germany); Tayagaki, Takeshi [Institute for Chemical Research, Kyoto University, Uji, Kyoto 611-0011 (Japan); Research Center for Photovoltaics, National Institute of Advanced Industrial Science and Technology, 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan); Langer, Gregor; Schäffler, Friedrich [Institute of Semiconductor and Solid State Physics, Johannes Kepler University Linz, Altenbergerstrasse 69, 4040 Linz (Austria); Schmidt, Oliver G. [Institute for Integrative Nanosciences, IFW Dresden, Helmholtzstr. 20, Dresden 01069 (Germany); Center for Advancing Electronics Dresden, CfAED, TU Dresden (Germany)

    2015-06-22

    We report photoluminescence (PL) experiments on individual SiGe quantum dots (QDs) that were epitaxially grown in a site-controlled fashion on pre-patterned Si(001) substrates. We demonstrate that the PL line-widths of single QDs decrease with excitation power to about 16 meV, a value that is much narrower than any of the previously reported PL signals in the SiGe/Si heterosystem. At low temperatures, the PL-intensity becomes limited by a 25 meV high potential-barrier between the QDs and the surrounding Ge wetting layer (WL). This barrier impedes QD filling from the WL which collects and traps most of the optically excited holes in this type-II heterosystem.

  20. Morphology and chemical composition of cobalt germanide islands on Ge(001).

    Science.gov (United States)

    Ewert, M; Schmidt, Th; Flege, J I; Heidmann, I; Grzela, T; Klesse, W M; Foerster, M; Aballe, L; Schroeder, T; Falta, J

    2016-08-12

    The reactive growth of cobalt germanide on Ge(001) was investigated by means of in situ x-ray absorption spectroscopy photoemission electron microscopy (XAS-PEEM), micro-illumination low-energy electron diffraction (μ-LEED), and ex situ atomic force microscopy (AFM). At a Co deposition temperature of 670 °C, a rich morphology with different island shapes and dimensions is observed, and a correlation between island morphology and stoichiometry is found. By combining XAS-PEEM and μ-LEED, we were able to identify a large part of the islands to consist of CoGe2, with many of them having an unusual epitaxial relationship: CoGe2 [Formula: see text] [Formula: see text] Ge [Formula: see text]. Side facets with (112) and (113) orientation have been found for such islands. However, two additional phases were observed, most likely Co5Ge7 and CoGe. Comparing growth on Ge(001) single crystals and on Ge(001)/Si(001) epilayer substrates, the occurrence of these intermediate phases seems to be promoted by defects or residual strain.

  1. The hadronic final state in $e^{+}e^{-}$ annihilation at c.m. energies of 13, 17 and 27.4 GeV

    CERN Document Server

    Cashmore, R J; Braunschweig, W.; Gather, K.; Jaax, B.; Kadansky, V.; Lubelsmeyer, K.; Martyn, H.U.; Peise, G.; Rimkus, J.; Sander, H.G.; Schmitz, D.; Schultz von Dratzig, A.; Trines, D.; Wallraff, W.; Boerner, H.; Fischer, H.M.; Hartmann, H.; Hilger, E.; Hillen, W.; Knop, G.; Korbach, W.; Lohr, B.; Roth, F.; Ruhmer, W.; Wedemeyer, R.; Wermes, N.; Wollstadt, M.; Buhring, R.; Heyland, D.; Hultschig, H.; Joos, P.; Koch, W.; Kotz, U.; Kowalski, H.; Ladage, A.; Luke, D.; Lynch, H.L.; Mikenberg, G.; Notz, D.; Pyrlik, J.; Riethmuller, R.; Schliwa, M.; Soding, P.; Wiik, B.H.; Wolf, G.; Fohrmann, R.; Poelz, G.; Ringel, J.; Romer, O.; Rusch, R.; Schmueser, Peter; Binnie, D.M.; Dornan, P.J.; Downie, N.A.; Garbutt, D.A.; Jones, W.G.; Lloyd, S.L.; Pandoulas, D.; Youngman, C.; Barlow, Roger J.; Illingworth, J.; Ogg, M.; Salmon, G.L.; Bell, Kenneth Watson; Chinowsky, W.; Foster, B.; Hart, J.C.; Proudfoot, J.; Quarrie, D.R.; Saxon, D.H.; Woodworth, P.L.

    1979-01-01

    Results on the hadronic final state in e/sup +/e/sup -/ annihilation at 13, 17 and 27.4 GeV are presented. There is no compelling evidence for the existence of the t quark in these data, which are in general agreement with a simple quark parton model. Some tentative indications of QCD effects are observed in the p/sub T//sup 2/ distributions.

  2. Chemical states and optical properties of thermally evaporated Ge-Te and Ge-Sb-Te amorphous thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, S.; Singh, D.; Shandhu, S. [Semiconductor Laboratory, Department of Physics, Guru Nanak Dev University Amritsar (India); Thangaraj, R., E-mail: rthangaraj@rediffmail.com [Semiconductor Laboratory, Department of Physics, Guru Nanak Dev University Amritsar (India)

    2012-07-15

    Thin amorphous films of Ge{sub 22}Sb{sub 22}Te{sub 56} and Ge{sub 50}Te{sub 50} have been prepared from their respective polycrystalline bulk on glass substrates by thermal evaporation technique. The amorphous nature of the films was checked with X-ray diffraction studies. Amorphous-to-crystalline transition of the films has been induced by thermal annealing and the structural phases have been identified by X-ray diffraction. The phase transformation temperature of the films was evaluated by temperature dependent sheet resistance measurement. The chemical structure of the amorphous films has been investigated using X-ray photoelectron spectroscopy and the role of Sb in phase change Ge{sub 22}Sb{sub 22}Te{sub 56} film is discussed. Survey and core level (Ge 3d, Te 3d, Te 4d, Sb 3p, Sb 3d, O 1s, C 1s) band spectra has been recorded and analyzed. For optical studies, the transmittance and the reflectance spectra were measured over the wavelength ranges 400-2500 nm using UV-vis-NIR spectroscopy. The optical band gap, refractive index and extinction coefficient are also presented for thermally evaporated amorphous thin films.

  3. Growth and evolution of nickel germanide nanostructures on Ge(001)

    International Nuclear Information System (INIS)

    Grzela, T; Capellini, G; Schubert, M A; Schroeder, T; Koczorowski, W; Czajka, R; Curson, N J; Heidmann, I; Schmidt, Th; Falta, J

    2015-01-01

    Nickel germanide is deemed an excellent material system for low resistance contact formation for future Ge device modules integrated into mainstream, Si-based integrated circuit technologies. In this study, we present a multi-technique experimental study on the formation processes of nickel germanides on Ge(001). We demonstrate that room temperature deposition of ∼1 nm of Ni on Ge(001) is realized in the Volmer–Weber growth mode. Subsequent thermal annealing results first in the formation of a continuous Ni_xGe_y wetting layer featuring well-defined terrace morphology. Upon increasing the annealing temperature to 300 °C, we observed the onset of a de-wetting process, characterized by the appearance of voids on the Ni_xGe_y terraces. Annealing above 300 °C enhances this de-wetting process and the surface evolves gradually towards the formation of well-ordered, rectangular Ni_xGe_y 3D nanostructures. Annealing up to 500 °C induces an Ostwald ripening phenomenon, with smaller nanoislands disappearing and larger ones increasing their size. Subsequent annealing to higher temperatures drives the Ni-germanide diffusion into the bulk and the consequent formation of highly ordered, {111} faceted Ni-Ge nanocrystals featuring an epitaxial relationship with the substrate Ni-Ge (101); (010) || Ge(001); (110). (paper)

  4. Raman scattering from Ge{sub 1-x}Sn{sub x} (x ≤ 0.14) alloys

    Energy Technology Data Exchange (ETDEWEB)

    Navarro C, H.; Rodriguez, A. G.; Vidal, M. A. [Universidad Autonoma de San Luis Potosi, Coordinacion para la Innovacion y la Aplicacion de la Ciencia y la Tecnologia, Alvaro Obregon No. 64, 78000 San Luis Potosi, S. L. P. (Mexico); Perez Ladron de G, H. [Universidad de Guadalajara, Centro Universitario de los Lagos, Av. Enrique Diaz de Leon No. 1144, Col. Paseos de la Montana, 47460 Lagos de Moreno, Jalisco (Mexico)

    2015-07-01

    Ge{sub 1-x}Sn{sub x} alloys with x concentration up to 0.14 were grown on Ge(001) and GaAs(001) substrates in a conventional R. F. Magnetron Sputtering system at low substrate temperatures. The structural characteristics of these alloys were studied for different Sn concentrations between 1 to 14% by high resolution X-ray diffraction, and Raman spectroscopy. Contrasting characteristics of the grown layers are observed if the Sn concentration is larger or smaller than 6% as revealed by X-ray diffraction and Raman spectroscopy. (Author)

  5. Electrical and Optical Properties of GeSi−:H Thin Films Prepared by Thermal Evaporation Method

    Directory of Open Access Journals (Sweden)

    A. A. J. Al-Douri

    2010-01-01

    Full Text Available Thin a-GeSi1−:H films were grown successfully by fabrication of designated ingot followed by evaporation onto glass slides. A range of growth conditions, Ge contents, dopant concentration (Al and As, and substrate temperature, were employed. Stoichiometry of the thin films composition was confirmed using standard surface techniques. The structure of all films was amorphous. Film composition and deposition parameters were investigated for their bearing on film electrical and optical properties. More than one transport mechanism is indicated. It was observed that increasing substrate temperature, Ge contents, and dopant concentration lead to a decrease in the optical energy gap of those films. The role of the deposition conditions on values of the optical constants was determined. Accordingly, models of the density of states for the Ge0.5Si0.5:H thin films as pure, doped with 3.5% of Al (p-type and that doped with 3.5% As (n-type, were proposed.

  6. Growth and characterization of Ge nano-structures on Si(113) by adsorbate-mediated epitaxy; Wachstum und Charakterisierung von Ge-Nanostrukturen auf Si(113) durch Adsorbat-modifizierte Epitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Clausen, T.

    2006-11-15

    In the work presented here Ge nano-structures on Si(113) substrates have been grown by adsorbate-mediated epitaxy at sample temperatures between 400 C and 700 C. The Ge nano-islands and nano-layers have been investigated regarding their atomic reconstruction, morphology, strain state, chemical composition and defect structure. Various in-situ and ex-situ experimental techniques have been used, as there are low-energy electron diffraction, low-energy electron microscopy, X-ray photoemission electron microscopy, spot profile analysis low-energy electron diffraction, grazing incidence X-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy and transmission electron microscopy. On a clean Si(113) surface Ge preferentially nucleates at surface step edges and forms a wetting layer exhibiting a Ge-(2 x 2) surface reconstruction. With increasing growth temperature the Ge islands are elongated in the [33 anti 2] direction. Simultaneously, the average island size increases with decreasing island density. From the Arrhenius-like behaviour of the island density, a Ge adatom diffusion barrier height of about 0.53 eV is deduced. At 600 C the Si concentration of the islands amounts to about 41% and the residual lattice strain of the islands is found to about 23 %. The adsorption of Gallium on a clean Si(113) substrate leads to the formation of well ordered surface facets in the [1 anti 10] direction with a periodicity of about 43 nm in the [33 anti 2] direction. From reciprocal space maps in different ({kappa} {sub perpendicular} {sub to} -{kappa} {sub parallel}) planes both facet angles are determined to be about 9.8 with respect to the [113] direction. Thus the facet orientations are identified to be (112) and (115), showing (6 x 1) and (4 x 1) surface reconstructions, respectively. Ge deposition on the faceted Si(113) leads to a high density of ordered 3D Ge nano-islands beaded at the surface facets. The size of these islands is

  7. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    Science.gov (United States)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  8. SiGe HBTs Optimization for Wireless Power Amplifier Applications

    Directory of Open Access Journals (Sweden)

    Pierre-Marie Mans

    2010-01-01

    Full Text Available This paper deals with SiGe HBTs optimization for power amplifier applications dedicated to wireless communications. In this work, we investigate the fT-BVCEO tradeoff by various collector optimization schemes such as epilayer thickness and dopant concentration, and SIC and CAP characteristics. Furthermore, a new trapezoidal base Germanium (Ge profile is proposed. Thanks to this profile, precise control of Ge content at the metallurgical emitter-base junction is obtained. Gain stability is obtained for a wide range of temperatures through tuning the emitter-base junction Ge percent. Finally, a comprehensive investigation of Ge introduction into the collector (backside Ge profile is conducted in order to improve the fT values at high injection levels.

  9. Microscopic parameters of heterostructures containing nanoclusters and thin layers of Ge in Si matrix

    CERN Document Server

    Erenburg, S B; Stepina, N P; Nikiforov, A I; Nenashev, A V; Mazalov, L N

    2001-01-01

    GeK XAFS measurements have been performed using the total electron yield detection mode for pseudomorphous Ge films deposited on Si(0 0 1) substrate via molecular beam epitaxy at 300 deg. C. The samples have been produced by thrice repeating the growing procedure separated by deposition of blocking Si layers at 500 deg. C. The local microstructure parameters (interatomic distances, Ge coordination numbers) are linked to nanostructure morphology and adequate models are suggested and discussed. It was established that pseudomorphous 4-monolayer Ge films contain 50% of Si atoms on the average. Pyramid-like, pure Ge islands formed in the Stranski-Krastanov growth are characterized by the interatomic Ge-Ge distances of 2.41 A (by 0.04 A less than in bulk Ge) and the Ge-Si distances of 2.37 A. It was revealed that the pure Ge nanoclusters are covered by a 1-2-monolayer film with admixture on the average of a 50% Si atom impurity from blocking Si layers.

  10. Comparative Study of the Tuning Performances of the Nominal and Long L* CLIC Final Focus System at √s = 380 GeV

    CERN Document Server

    Plassard, F; Marin, E; Tomás, R

    2017-01-01

    Mitigation of static imperfections for emittance preservation is one of the most important and challenging tasks faced by the Compact Linear Collider (CLIC) beam delivery system. A simulation campaign has been performed to recover the nominal luminosity by means of different alignment procedures. The state of the art of the tuning studies is drawn up. Comparative studies of the tuning performances and a tuning-based final focus system design optimization for two L options are presented. The effectiveness of the tuning techniques applied to these different lattices will be decisive for the final layout of the CLIC final focus system at √s = 380 GeV.

  11. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  12. In situ control of As dimer orientation on Ge(100) surfaces

    International Nuclear Information System (INIS)

    Brückner, Sebastian; Döscher, Henning; Supplie, Oliver; Luczak, Johannes; Barrigón, Enrique; Rey-Stolle, Ignacio; Kleinschmidt, Peter; Hannappel, Thomas

    2012-01-01

    We investigated the preparation of single domain Ge(100):As surfaces in a metal-organic vapor phase epitaxy reactor. In situ reflection anisotropy spectra (RAS) of vicinal substrates change when arsenic is supplied either by tertiarybutylarsine or by background As 4 during annealing. Low energy electron diffraction shows mutually perpendicular orientations of dimers, scanning tunneling microscopy reveals distinct differences in the step structure, and x-ray photoelectron spectroscopy confirms differences in the As coverage of the Ge(100):As samples. Their RAS signals consist of contributions related to As dimer orientation and to step structure, enabling precise in situ control over preparation of single domain Ge(100):As surfaces.

  13. In situ control of As dimer orientation on Ge(100) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Brueckner, Sebastian; Doescher, Henning [Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Technische Universitaet Ilmenau, Institut fuer Physik, Postfach 10 05 65, 98684 Ilmenau (Germany); Supplie, Oliver; Luczak, Johannes [Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Barrigon, Enrique; Rey-Stolle, Ignacio [Instituto de Energia Solar, Universidad Politecnica de Madrid, Avda. Complutense s/n, 28040 Madrid (Spain); Kleinschmidt, Peter [Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); CiS Forschungsinstitut fuer Mikrosensorik und Photovoltaik GmbH, Konrad-Zuse-Strasse 14, 99099 Erfurt (Germany); Hannappel, Thomas [Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Technische Universitaet Ilmenau, Institut fuer Physik, Postfach 10 05 65, 98684 Ilmenau (Germany); CiS Forschungsinstitut fuer Mikrosensorik und Photovoltaik GmbH, Konrad-Zuse-Strasse 14, 99099 Erfurt (Germany)

    2012-09-17

    We investigated the preparation of single domain Ge(100):As surfaces in a metal-organic vapor phase epitaxy reactor. In situ reflection anisotropy spectra (RAS) of vicinal substrates change when arsenic is supplied either by tertiarybutylarsine or by background As{sub 4} during annealing. Low energy electron diffraction shows mutually perpendicular orientations of dimers, scanning tunneling microscopy reveals distinct differences in the step structure, and x-ray photoelectron spectroscopy confirms differences in the As coverage of the Ge(100):As samples. Their RAS signals consist of contributions related to As dimer orientation and to step structure, enabling precise in situ control over preparation of single domain Ge(100):As surfaces.

  14. Study of Ge loss during Ge condensation process

    International Nuclear Information System (INIS)

    Xue, Z.Y.; Di, Z.F.; Ye, L.; Mu, Z.Q.; Chen, D.; Wei, X.; Zhang, M.; Wang, X.

    2014-01-01

    Ge loss during Ge condensation process was investigated by transmission electron microscopy, Raman spectroscopy, secondary ion mass spectrometry and Rutherford backscattering spectrometry. This work reveals that Ge loss can be attributed to the Ge oxidation at SiO 2 /SiGe interface, Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface. During Ge condensation process, with the increase of the Ge content, the Si atoms become insufficient for selective oxidation at the oxide/SiGe interface. Consequently, the Si and Ge are oxidized simultaneously. When the Ge composition in SiGe layer increases further and approaches 100%, the Ge atoms begin to diffuse into the top SiO 2 layer and buried SiO 2 layer. However, the X-ray photoelectron spectrometry analysis manifests that the chemical states of the Ge in top SiO 2 layer are different from those in buried SiO 2 layer, as the Ge atoms diffused into top SiO 2 layer are oxidized to form GeO 2 in the subsequent oxidation step. With the increase of the diffusion time, a quantity of Ge atoms diffuse through buried SiO 2 layer and pile up at buried SiO 2 /Si interface due to the interfacial trapping. The SiO 2 /Si interface acts like a pump, absorbing Ge from a Ge layer continuously through a pipe-buried SiO 2 layer. With the progress of Ge condensation process, the quantity of Ge accumulated at SiO 2 /Si interface increases remarkably. - Highlights: • Ge loss during Ge condensation process is attributed to the Ge oxidation at SiO 2 /SiGe interface. • Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface • When Ge content in SiGe layer approaches 100%, Ge diffusion into the SiO 2 layer is observed. • Ge then gradually diffuses through buried SiO 2 layer and pile up at SiO 2 /Si interface

  15. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  16. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  17. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  18. Oblique roughness replication in strained SiGe/Si multilayers

    NARCIS (Netherlands)

    Holy, V.; Darhuber, A.A.; Stangl, J.; Bauer, G.; Nützel, J.-F.; Abstreiter, G.

    1998-01-01

    The replication of the interface roughness in SiGe/Si multilayers grown on miscut Si(001) substrates has been studied by means of x-ray reflectivity reciprocal space mapping. The interface profiles were found to be highly correlated and the direction of the maximal replication was inclined with

  19. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  20. Oxidation-resistant Ge-doped silicide coating on Cr-Cr2Nb alloys by pack cementation

    International Nuclear Information System (INIS)

    He Yirong

    1997-01-01

    The halide-activated pack cementation process was modified to produce a Ge-doped silicide diffusion coating on Cr-Cr 2 Nb alloys in a single processing step. The morphology and composition of the coating depended both on the pack composition and processing schedule and also on the composition and microstructure of the substrate. Higher Ge content in the pack suppressed the formation of CrSi 2 and reduced the growth kinetics of the coating. Ge was not homogeneously distributed in the coatings. Under cyclic and isothermal oxidation conditions, the Ge-doped silicide coating protected the Cr-Nb alloys from significant oxidation and from pesting by the formation of a Ge-doped silica film. (orig.)

  1. Final results of the searches for neutral Higgs bosons in $e^{+}e^{-}$ collisions at $\\sqrt{s}$ up to 209 GeV

    CERN Document Server

    Heister, A.; Barate, R.; Bruneliere, R.; De Bonis, I.; Decamp, D.; Goy, C.; Jezequel, S.; Lees, J.P.; Martin, F.; Merle, E.; Minard, M.N.; Pietrzyk, B.; Trocme, B.; Boix, G.; Bravo, S.; Casado, M.P.; Chmeissani, M.; Crespo, J.M.; Fernandez, E.; Fernandez-Bosman, M.; Garrido, L.; Grauges, E.; Lopez, J.; Martinez, M.; Merino, G.; Miquel, R.; Mir, L.M.; Pacheco, A.; Paneque, D.; Ruiz, H.; Colaleo, A.; Creanza, D.; De Filippis, N.; de Palma, M.; Iaselli, G.; Maggi, G.; Maggi, M.; Nuzzo, S.; Ranieri, A.; Raso, G.; Ruggieri, F.; Selvaggi, G.; Silvestris, L.; Tempesta, P.; Tricomi, A.; Zito, G.; Huang, X.; Lin, J.; Ouyang, Q.; Wang, T.; Xie, Y.; Xu, R.; Xue, S.; Zhang, J.; Zhang, L.; Zhao, W.; Abbaneo, D.; Azzurri, P.; Barklow, T.; Buchmuller, O.; Cattaneo, M.; Cerutti, F.; Clerbaux, B.; Drevermann, H.; Forty, R.W.; Frank, M.; Gianotti, F.; Greening, T.C.; Hansen, J.B.; Harvey, J.; Hutchcroft, D.E.; Janot, P.; Jost, B.; Kado, M.; Maley, P.; Mato, P.; Moutoussi, A.; Ranjard, F.; Rolandi, Gigi; Schlatter, D.; Sguazzoni, G.; Tejessy, W.; Teubert, F.; Valassi, A.; Videau, I.; Ward, J.J.; Badaud, F.; Dessagne, S.; Falvard, A.; Fayolle, D.; Gay, P.; Jousset, J.; Michel, B.; Monteil, S.; Pallin, D.; Pascolo, J.M.; Perret, P.; Hansen, J.D.; Hansen, J.R.; Hansen, P.H.; Nilsson, B.S.; Waananen, A.; Kyriakis, A.; Markou, C.; Simopoulou, E.; Vayaki, A.; Zachariadou, K.; Blondel, A.; Brient, J.C.; Machefert, F.; Rouge, A.; Swynghedauw, M.; Tanaka, R.; Videau, H.; Ciulli, V.; Focardi, E.; Parrini, G.; Antonelli, A.; Antonelli, M.; Bencivenni, G.; Bologna, G.; Bossi, F.; Campana, P.; Capon, G.; Chiarella, V.; Laurelli, P.; Mannocchi, G.; Murtas, F.; Murtas, G.P.; Passalacqua, L.; Pepe-Altarelli, M.; Spagnolo, P.; Kennedy, J.; Lynch, J.G.; Negus, P.; O'Shea, V.; Smith, D.; Thompson, A.S.; Wasserbaech, S.; Cavanaugh, R.; Dhamotharan, S.; Geweniger, C.; Hanke, P.; Hepp, V.; Kluge, E.E.; Leibenguth, G.; Putzer, A.; Stenzel, H.; Tittel, K.; Werner, S.; Wunsch, M.; Beuselinck, R.; Binnie, D.M.; Cameron, W.; Davies, G.; Dornan, P.J.; Girone, M.; Hill, R.D.; Marinelli, N.; Nowell, J.; Przysiezniak, H.; Rutherford, S.A.; Sedgbeer, J.K.; Thompson, J.C.; White, R.; Ghete, V.M.; Girtler, P.; Kneringer, E.; Kuhn, D.; Rudolph, G.; Bouhova-Thacker, E.; Bowdery, C.K.; Clarke, D.P.; Ellis, G.; Finch, A.J.; Foster, F.; Hughes, G.; Jones, R.W.L.; Pearson, M.R.; Robertson, N.A.; Smizanska, M.; Lemaitre, V.; Blumenschein, U.; Holldorfer, F.; Jakobs, K.; Kayser, F.; Kleinknecgt, K.; Muller, A.S.; Quast, G.; Renk, B.; Sander, H.G.; Schmeling, S.; Wachsmuth, H.; Zeitnitz, C.; Ziegler, T.; Bonissent, A.; Carr, J.; Coyle, P.; Curtil, C.; Ealet, A.; Fouchez, D.; Leroy, O.; Kachelhoffer, T.; Payre, P.; Rousseau, D.; Tilquin, A.; Ragusa, F.; David, A.; Dietl, H.; Ganis, G.; Huttmann, K.; Lutjens, G.; Mannert, C.; Manner, W.; Moser, H.G.; Settles, R.; Wolf, G.; Boucrot, J.; Callot, O.; Davier, M.; Duflot, L.; Grivaz, J.F.; Heusse, P.; Jacholkowska, A.; Loomis, C.; Serin, L.; Veillet, J.J.; de Vivie de Regie, J.B.; Yuan, C.; Bagliesi, Giuseppe; Boccali, T.; Foa, L.; Giammanco, A.; Giassi, A.; Ligabue, F.; Messineo, A.; Palla, F.; Sanguinetti, G.; Sciaba, A.; Tenchini, R.; Venturi, A.; Verdini, P.G.; Awunor, O.; Blair, G.A.; Coles, J.; Cowan, G.; Garcia-Bellido, A.; Green, M.G.; Jones, L.T.; Medcalf, T.; Misiejuk, A.; Strong, J.A.; Teixeira-Dias, P.; Clifft, R.W.; Edgecock, T.R.; Norton, P.R.; Tomalin, I.R.; Bloch-Devaux, Brigitte; Boumediene, D.; Colas, P.; Fabbro, B.; Lancon, E.; Lemaire, M.C.; Locci, E.; Perez, P.; Rander, J.; Renardy, J.F.; Rosowsky, A.; Seager, P.; Trabelsi, A.; Tuchming, B.; Vallage, B.; Konstantinidis, N.; Litke, A.M.; Taylor, G.; Booth, C.N.; Cartwright, S.; Combley, F.; Hodgson, P.N.; Lehto, M.; Thompson, L.F.; Affholderbach, K.; Boehrer, Armin; Brandt, S.; Grupen, C.; Hess, J.; Ngac, A.; Prange, G.; Sieler, U.; Borean, C.; Giannini, G.; He, H.; Putz, J.; Rothberg, J.; Armstrong, S.R.; Berkelman, Karl; Cranmer, K.; Ferguson, D.P.S.; Gao, Y.; Gonzalez, S.; Hayes, O.J.; Hu, H.; Jin, S.; Kile, J.; McNamara, P.A., III; Nielsen, J.; Pan, Y.B.; von Wimmersperg-Toeller, J.H.; Wiedenmann, W.; Wu, J.; Wu, Sau Lan; Wu, X.; Zobernig, G.

    2002-01-01

    The final results of the ALEPH search for the Standard Model Higgs boson at LEP, with data collected in the year 2000 at centre-of-mass energies up to 209,GeV, are presented. The changes with respect to the preceding publication are described and a complete study of systematic effects is reported. The findings of this final analysis confirm the preliminary results published in November 2000 shortly after the closing down of the LEP collider: a significant excess of events is observed, consistent with the production of a $115, Gcs$ Standard Model Higgs boson.% The final results of the searches for the neutral Higgs bosons of the MSSM are also reported, in terms of limits on $mh$, $mA$ and $anb$.% Limits are also set on $mh$ in the case of invisible decays.

  2. Effect of Ge surface termination on oxidation behavior

    Science.gov (United States)

    Lee, Younghwan; Park, Kibyung; Cho, Yong Soo; Lim, Sangwoo

    2008-09-01

    Sulfur-termination was formed on the Ge(1 0 0) surface using (NH 4) 2S solution. Formation of Ge-S and the oxidation of the S-terminated Ge surface were monitored with multiple internal reflection Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. In the 0.5, 5, or 20% (NH 4) 2S solution, H-termination on the Ge(1 0 0) surface was substituted with S-termination in 1 min. When the S-terminated Ge(1 0 0) surface was exposed in air ambient, the oxidation was retarded for about 3600 min. The preservation time of the oxide layer up to one monolayer of S-terminated Ge(1 0 0) surface was about 120 times longer than for the H-terminated Ge(1 0 0) surface. However, the oxidation of S-terminated Ge(1 0 0) surface drastically increased after the threshold time. There was no significant difference in threshold time between S-terminations formed in 0.5, 5, and 20% (NH 4) 2S solutions. With the surface oxidation, desorption of S on the Ge surface was observed. The desorption behavior of sulfur on the S-terminated Ge(1 0 0) surface was independent of the concentration of the (NH 4) 2S solution that forms S-termination. Non-ideal S-termination on Ge surfaces may be related to drastic oxidation of the Ge surface. Finally, with the desulfurization on the S-terminated Ge(1 0 0) surface, oxide growth is accelerated.

  3. Room-temperature light-emission from Ge quantum dots in photonic crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xia Jinsong [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan)], E-mail: jxia@sc.musashi-tech.ac.jp; Nemoto, Koudai; Ikegami, Yuta [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan); Usami, Noritaka [Institute of Materials Research, Tohoku University, 2-2-1 Katahira, Aoba-ku, Sendai Japan (Japan)], E-mail: usa@imr.tohoku.ac.jp; Nakata, Yasushi [Horiba, Ltd., 1-7-8 Higashi-Kanda, Chiyoda-ku, Tokyo 101-0031 (Japan)], E-mail: yasushi.nakata@horiba.com; Shiraki, Yasuhiro [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan)

    2008-11-03

    Multiple layers of Ge self-assembled quantum dots were embedded into two-dimensional silicon photonic crystal microcavities fabricated on silicon-on-insulator substrates. Microphotoluminescence was used to study the light-emission characteristic of the Ge quantum dots in the microcavities. Strong resonant room-temperature light-emission was observed in the telecommunication wavelength region. Significant enhancement of the luminescence from Ge dots was obtained due to the resonance in the cavities. Multiple sharp resonant peaks dominated the spectrum, showing strong optical resonance inside the cavity. By changing the lattice constant of photonic crystal structure, the wavelengths of the resonant peaks are tuned in the wide wavelength range from 1.2 to 1.6 {mu}m.

  4. Multi-photon final states in $e^+ e^-$ collisions at $\\sqrt{s}$ = 130-172 GeV

    CERN Document Server

    Ackerstaff, K; Allison, J; Altekamp, N; Anderson, K J; Anderson, S; Arcelli, S; Asai, S; Axen, D A; Azuelos, Georges; Ball, A H; Barberio, E; Barlow, R J; Bartoldus, R; Batley, J Richard; Baumann, S; Bechtluft, J; Beeston, C; Behnke, T; Bell, A N; Bell, K W; Bella, G; Bentvelsen, Stanislaus Cornelius Maria; Bethke, Siegfried; Biebel, O; Biguzzi, A; Bird, S D; Blobel, Volker; Bloodworth, Ian J; Bloomer, J E; Bobinski, M; Bock, P; Bonacorsi, D; Boutemeur, M; Bouwens, B T; Braibant, S; Brigliadori, L; Brown, R M; Burckhart, Helfried J; Burgard, C; Bürgin, R; Capiluppi, P; Carnegie, R K; Carter, A A; Carter, J R; Chang, C Y; Charlton, D G; Chrisman, D; Clarke, P E L; Cohen, I; Conboy, J E; Cooke, O C; Cuffiani, M; Dado, S; Dallapiccola, C; Dallavalle, G M; Davis, R; De Jong, S; del Pozo, L A; Desch, Klaus; Dienes, B; Dixit, M S; do Couto e Silva, E; Doucet, M; Duchovni, E; Duckeck, G; Duerdoth, I P; Eatough, D; Edwards, J E G; Estabrooks, P G; Evans, H G; Evans, M; Fabbri, Franco Luigi; Fanti, M; Faust, A A; Fiedler, F; Fierro, M; Fischer, H M; Fleck, I; Folman, R; Fong, D G; Foucher, M; Fürtjes, A; Futyan, D I; Gagnon, P; Gary, J W; Gascon, J; Gascon-Shotkin, S M; Geddes, N I; Geich-Gimbel, C; Geralis, T; Giacomelli, G; Giacomelli, P; Giacomelli, R; Gibson, V; Gibson, W R; Gingrich, D M; Glenzinski, D A; Goldberg, J; Goodrick, M J; Gorn, W; Grandi, C; Gross, E; Grunhaus, Jacob; Gruwé, M; Hajdu, C; Hanson, G G; Hansroul, M; Hapke, M; Hargrove, C K; Hart, P A; Hartmann, C; Hauschild, M; Hawkes, C M; Hawkings, R; Hemingway, Richard J; Herndon, M; Herten, G; Heuer, R D; Hildreth, M D; Hill, J C; Hillier, S J; Hobson, P R; Homer, R James; Honma, A K; Horváth, D; Hossain, K R; Howard, R; Hüntemeyer, P; Hutchcroft, D E; Igo-Kemenes, P; Imrie, D C; Ingram, M R; Ishii, K; Jawahery, A; Jeffreys, P W; Jeremie, H; Jimack, Martin Paul; Joly, A; Jones, C R; Jones, G; Jones, M; Jost, U; Jovanovic, P; Junk, T R; Karlen, D A; Kartvelishvili, V G; Kawagoe, K; Kawamoto, T; Kayal, P I; Keeler, Richard K; Kellogg, R G; Kennedy, B W; Kirk, J; Klier, A; Kluth, S; Kobayashi, T; Kobel, M; Koetke, D S; Kokott, T P; Kolrep, M; Komamiya, S; Kress, T; Krieger, P; Von Krogh, J; Kyberd, P; Lafferty, G D; Lahmann, R; Lai, W P; Lanske, D; Lauber, J; Lautenschlager, S R; Layter, J G; Lazic, D; Lee, A M; Lefebvre, E; Lellouch, Daniel; Letts, J; Levinson, L; Lloyd, S L; Loebinger, F K; Long, G D; Losty, Michael J; Ludwig, J; Macchiolo, A; MacPherson, A L; Mannelli, M; Marcellini, S; Markus, C; Martin, A J; Martin, J P; Martínez, G; Mashimo, T; Mättig, P; McDonald, W J; McKenna, J A; McKigney, E A; McMahon, T J; McPherson, R A; Meijers, F; Menke, S; Merritt, F S; Mes, H; Meyer, J; Michelini, Aldo; Mikenberg, G; Miller, D J; Mincer, A; Mir, R; Mohr, W; Montanari, A; Mori, T; Morii, M; Müller, U; Mihara, S; Nagai, K; Nakamura, I; Neal, H A; Nellen, B; Nisius, R; O'Neale, S W; Oakham, F G; Odorici, F; Ögren, H O; Oh, A; Oldershaw, N J; Oreglia, M J; Orito, S; Pálinkás, J; Pásztor, G; Pater, J R; Patrick, G N; Patt, J; Pearce, M J; Pérez-Ochoa, R; Petzold, S; Pfeifenschneider, P; Pilcher, J E; Pinfold, J L; Plane, D E; Poffenberger, P R; Poli, B; Posthaus, A; Rees, D L; Rigby, D; Robertson, S; Robins, S A; Rodning, N L; Roney, J M; Rooke, A M; Ros, E; Rossi, A M; Routenburg, P; Rozen, Y; Runge, K; Runólfsson, O; Ruppel, U; Rust, D R; Rylko, R; Sachs, K; Saeki, T; Sarkisyan-Grinbaum, E; Sbarra, C; Schaile, A D; Schaile, O; Scharf, F; Scharff-Hansen, P; Schenk, P; Schieck, J; Schleper, P; Schmitt, B; Schmitt, S; Schöning, A; Schröder, M; Schultz-Coulon, H C; Schumacher, M; Schwick, C; Scott, W G; Shears, T G; Shen, B C; Shepherd-Themistocleous, C H; Sherwood, P; Siroli, G P; Sittler, A; Skillman, A; Skuja, A; Smith, A M; Snow, G A; Sobie, Randall J; Söldner-Rembold, S; Springer, R W; Sproston, M; Stephens, K; Steuerer, J; Stockhausen, B; Stoll, K; Strom, D; Szymanski, P; Tafirout, R; Talbot, S D; Tanaka, S; Taras, P; Tarem, S; Teuscher, R; Thiergen, M; Thomson, M A; Von Törne, E; Towers, S; Trigger, I; Trócsányi, Z L; Tsur, E; Turcot, A S; Turner-Watson, M F; Utzat, P; Van Kooten, R; Verzocchi, M; Vikas, P; Vokurka, E H; Voss, H; Wäckerle, F; Wagner, A; Ward, C P; Ward, D R; Watkins, P M; Watson, A T; Watson, N K; Wells, P S; Wermes, N; White, J S; Wilkens, B; Wilson, G W; Wilson, J A; Wolf, G; Wyatt, T R; Yamashita, S; Yekutieli, G; Zacek, V; Zer-Zion, D

    1998-01-01

    The process e^+e^- -> gamma gamma (gamma) is studied using data recorded with the OPAL detector at LEP. The data sample corresponds to a total integrated luminosity of 25.38 pb^{-1} taken at centre-of-mass energies of 130-172 GeV. The measured cross-sections agree well with the expectation from QED. In a combined fit using data from all centre-of-mass energies, the angular distribution is used to obtain improved limits on the cut-off parameters: Lambda_+ > 195 GeV and Lambda_- > 210 GeV (95% CL). In addition, limits on non-standard e^+e^-gamma couplings and contact interactions, as well as a 95% CL mass limit for an excited electron, M_{e^*} > 194 GeV for an e^+e^-gamma coupling kappa = 1, are determined.

  5. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  6. Structural properties of templated Ge quantum dot arrays: impact of growth and pre-pattern parameters.

    Science.gov (United States)

    Tempeler, J; Danylyuk, S; Brose, S; Loosen, P; Juschkin, L

    2018-07-06

    In this study we analyze the impact of process and growth parameters on the structural properties of germanium (Ge) quantum dot (QD) arrays. The arrays were deposited by molecular-beam epitaxy on pre-patterned silicon (Si) substrates. Periodic arrays of pits with diameters between 120 and 20 nm and pitches ranging from 200 nm down to 40 nm were etched into the substrate prior to growth. The structural perfection of the two-dimensional QD arrays was evaluated based on SEM images. The impact of two processing steps on the directed self-assembly of Ge QD arrays is investigated. First, a thin Si buffer layer grown on a pre-patterned substrate reshapes the pre-pattern pits and determines the nucleation and initial shape of the QDs. Subsequently, the deposition parameters of the Ge define the overall shape and uniformity of the QDs. In particular, the growth temperature and the deposition rate are relevant and need to be optimized according to the design of the pre-pattern. Applying this knowledge, we are able to fabricate regular arrays of pyramid shaped QDs with dot densities up to 7.2 × 10 10 cm -2 .

  7. Next Generation, Si-Compatible Materials and Devices in the Si-Ge-Sn System

    Science.gov (United States)

    2015-10-09

    and conclusions The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8...Abstract The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8, SnD4. The...AFRL-AFOSR-VA-TR-2016-0044 Next generation, Si -compatible materials and devices in the Si - Ge -Sn system John Kouvetakis ARIZONA STATE UNIVERSITY Final

  8. Electronic structure of Co islands grown on the {radical}3 x {radical}3-Ag/Ge(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Xiao-Lan; Chou, Chi-Hao; Lin, Chun-Liang; Tomaszewska, Agnieszka; Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw

    2011-09-30

    By means of room temperature scanning tunneling spectroscopy (RT STS), we have studied the electronic structure of two different Ag/Ge(111) phases as well as Co islands grown on the {radical}3 x {radical}3-Ag/Ge (111) forming either {radical}13 x {radical}13 or 2 x 2 patterns. The spectrum obtained from 4 x 4-Ag/Ge(111) structure shows the existence of a shoulder at 0.7 V which is also present in the electronic structure of the Ge(111)-c2 x 8 and indicates donation of Ge electrons to electronic states of the Ag-driven phase. However, this fact is not supported by the electronic spectrum taken from the {radical}3 x {radical}3-Ag/Ge (111). The complexity of the Co-{radical}13 x {radical}13 islands bonding with the substrate is mirrored by a large number of peaks in their electronic spectra. The spectra obtained from the Co-2 x 2 islands which had grown on the step differ from those taken from Co-2 x 2 islands located along the edge of the terrace by a number of peaks at negative sample bias. This discrepancy is elucidated in terms of dissimilarities of Co-substrate interaction accompanying Co islands growth on different areas of the stepped surface.

  9. Band alignment study of lattice-matched In{sub 0.49}Ga{sub 0.51}P and Ge using x-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Man Hon Samuel, E-mail: m.owen.sg@ieee.org, E-mail: yeo@ieee.org; Zhou, Qian; Gong, Xiao; Yeo, Yee-Chia, E-mail: m.owen.sg@ieee.org, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 119260 (Singapore); Zhang, Zheng; Pan, Ji Sheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt [School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Nanyang Avenue, Singapore 639798 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2014-09-08

    Lattice-matched In{sub 0.49}Ga{sub 0.51}P was grown on a p-type Ge(100) substrate with a 10° off-cut towards the (111) by low temperature molecular beam epitaxy, and the band-alignment of In{sub 0.49}Ga{sub 0.51}P on Ge substrate was obtained by high resolution x-ray photoelectron spectroscopy. The valence band offset for the InGaP/Ge(100) interface was found to be 0.64 ± 0.12 eV, with a corresponding conduction band offset of 0.60 ± 0.12 eV. The InGaP/Ge interface is found to be of the type I band alignment.

  10. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    Science.gov (United States)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  11. The Effect of Ge Content on the Optical and Electrical Properties of A-Sige: H Thin Films

    Directory of Open Access Journals (Sweden)

    Mursal Mursal

    2014-07-01

    Full Text Available The effect of Ge content on the optical and electrical properties of a-SiGe:H thin films deposited by HWC-PECVD had been investigated. The a-SiGe:H films ware grown on corning glass 7059 substrate using 10% diluted mixture of GeH4 and SiH4 gases, respectively. The GeH4 gas flow rate was varied from 2.5 – 12.5 sccm, while the flow rate of SiH4 was kept constant at 70 sccm. The results showed that the deposition rate of a-SiGe:H thin films increased by  increasing of GeH4 gas flow rate. In addition, the Ge content in the film increased and  the optical band gap decreased. The dark conductivity of a-SiGe:H films were relatively constant, whereas the photo conductivity decreased with increasing of Ge content.

  12. Optical transitions in Ge/SiGe multiple quantum wells with Ge-rich barriers

    Science.gov (United States)

    Bonfanti, M.; Grilli, E.; Guzzi, M.; Virgilio, M.; Grosso, G.; Chrastina, D.; Isella, G.; von Känel, H.; Neels, A.

    2008-07-01

    Direct-gap and indirect-gap transitions in strain-compensated Ge/SiGe multiple quantum wells with Ge-rich SiGe barriers have been studied by optical transmission spectroscopy and photoluminescence experiments. An sp3d5s∗ tight-binding model has been adopted to interpret the experimental results. Photoluminescence spectra and their comparison with theoretical calculations prove the existence of type-I band alignment in compressively strained Ge quantum wells grown on relaxed Ge-rich SiGe buffers. The high quality of the transmission spectra opens up other perspectives for application of these structures in near-infrared optical modulators.

  13. Charge storage characteristics and tunneling mechanism of amorphous Ge-doped HfO{sub x} films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, X.Y.; Zhang, S.Y.; Zhang, T.; Wang, R.X.; Li, L.T.; Zhang, Y. [Southwest University, School of Physical Science and Technology, Chongqing (China); Dai, J.Y. [The Hong Kong Polytechnic University, Department of Applied Physics, Hong Kong (China)

    2016-09-15

    Amorphous Ge-doped HfO{sub x} films have been deposited on p-Si(100) substrates by means of RF magnetron sputtering. Microstructural investigations reveal the partial oxidation of doped Ge atoms in the amorphous HfO{sub x} matrix and the existence of HfSiO{sub x} interfacial layer. Capacitance-voltage hysteresis of the Ag-/Ge-doped HfO{sub x}/Si/Ag memory capacitor exhibits a memory window of 3.15 V which can maintain for >5 x 10{sup 4} cycles. Current-voltage characteristics reveal that Poole-Frenkel tunneling is responsible for electron transport in the Ge-doped HfO{sub x} film. (orig.)

  14. Monolithically Integrated Ge-on-Si Active Photonics

    Directory of Open Access Journals (Sweden)

    Jifeng Liu

    2014-07-01

    Full Text Available Monolithically integrated, active photonic devices on Si are key components in Si-based large-scale electronic-photonic integration for future generations of high-performance, low-power computation and communication systems. Ge has become an interesting candidate for active photonic devices in Si photonics due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS processing. In this paper, we present a review of the recent progress in Ge-on-Si active photonics materials and devices for photon detection, modulation, and generation. We first discuss the band engineering of Ge using tensile strain, n-type doping, Sn alloying, and separate confinement of Γ vs. L electrons in quantum well (QW structures to transform the material towards a direct band gap semiconductor for enhancing optoelectronic properties. We then give a brief overview of epitaxial Ge-on-Si materials growth, followed by a summary of recent investigations towards low-temperature, direct growth of high crystallinity Ge and GeSn alloys on dielectric layers for 3D photonic integration. Finally, we review the most recent studies on waveguide-integrated Ge-on-Si photodetectors (PDs, electroabsorption modulators (EAMs, and laser diodes (LDs, and suggest possible future research directions for large-scale monolithic electronic-photonic integrated circuits on a Si platform.

  15. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  16. Forbidden energy band gap in diluted a-Ge1−xSix:N films

    International Nuclear Information System (INIS)

    Guarneros, C.; Rebollo-Plata, B.; Lozada-Morales, R.; Espinosa-Rosales, J.E.; Portillo-Moreno, J.; Zelaya-Angel, O.

    2012-01-01

    By means of electron gun evaporation Ge 1−x Si x :N thin films, in the entire range 0 ≤ x ≤ 1, were prepared on Si (100) and glass substrates. The initial vacuum reached was 6.6 × 10 −4 Pa, then a pressure of 2.7 × 10 −2 Pa of high purity N 2 was introduced into the chamber. The deposition time was 4 min. Crucible-substrate distance was 18 cm. X-ray diffraction patterns indicate that all the films were amorphous (a-Ge 1−x Si x :N). The nitrogen concentration was of the order of 1 at% for all the films. From optical absorption spectra data and by using the Tauc method the energy band gap (E g ) was calculated. The Raman spectra only reveal the presence of Si-Si, Ge-Ge, and Si-Ge bonds. Nevertheless, infrared spectra demonstrate the existence of Si-N and Ge-N bonds. The forbidden energy band gap (E g ) as a function of x in the entire range 0 ≤ x ≤ 1 shows two well defined regions: 0 ≤ x ≤ 0.67 and 0.67 ≤ x ≤ 1, due to two different behaviors of the band gap, where for x > 0.67 exists an abruptly change of E g (x). In this case E g (x) versus x is different to the variation of E g in a-Ge 1−x Si x and a-Ge 1−x Si x :H. This fact can be related to the formation of Ge 3 N 4 and GeSi 2 N 4 when x ≤ 0.67, and to the formation of Si 3 N 4 and GeSi 2 N 4 for 0.67 ≤ x. - Highlights: ► Nitrogen doped amorphous Ge 1-x Si x thin films are grown by electron gun technique. ► Nitrogen atoms on E g of the a-Ge 1-x Si x films in the 0 £ x £ 1 range are analyzed. ► Variation in 0 £ x £ 1 range shows a warped change of E g in 1.0 – 3.6 eV range. ► The change in E g (x) behavior when x ∼ 0.67 was associated with Ge 2 SiN 4 presence.

  17. Growth and evolution of nickel germanide nanostructures on Ge(001).

    Science.gov (United States)

    Grzela, T; Capellini, G; Koczorowski, W; Schubert, M A; Czajka, R; Curson, N J; Heidmann, I; Schmidt, Th; Falta, J; Schroeder, T

    2015-09-25

    Nickel germanide is deemed an excellent material system for low resistance contact formation for future Ge device modules integrated into mainstream, Si-based integrated circuit technologies. In this study, we present a multi-technique experimental study on the formation processes of nickel germanides on Ge(001). We demonstrate that room temperature deposition of ∼1 nm of Ni on Ge(001) is realized in the Volmer-Weber growth mode. Subsequent thermal annealing results first in the formation of a continuous NixGey wetting layer featuring well-defined terrace morphology. Upon increasing the annealing temperature to 300 °C, we observed the onset of a de-wetting process, characterized by the appearance of voids on the NixGey terraces. Annealing above 300 °C enhances this de-wetting process and the surface evolves gradually towards the formation of well-ordered, rectangular NixGey 3D nanostructures. Annealing up to 500 °C induces an Ostwald ripening phenomenon, with smaller nanoislands disappearing and larger ones increasing their size. Subsequent annealing to higher temperatures drives the Ni-germanide diffusion into the bulk and the consequent formation of highly ordered, {111} faceted Ni-Ge nanocrystals featuring an epitaxial relationship with the substrate Ni-Ge (101); (010) || Ge(001); (110).

  18. Final design of kaon beam K2 at KEK

    International Nuclear Information System (INIS)

    Kurokawa, Shin-ichi; Yamamoto, Akira.

    1977-09-01

    Final design of the 2.3 GeV/c kaon beam K2 is given. The K2 beam starts from the production target in slow extracted beam. Momentum range is 1 GeV/c through 2.3 GeV/c. Nominal total beam length is 27.9 m and solid-angle momentum acceptance is 6.25 msr%ΔP/P. Using a platinum target of diameter 3 mm and length 6 cm, 2.0 GeV/c beam fluxes of 1.0 x 10 6 K + and 5.2 x 10 5 K - per 10 12 13 GeV/c incident protons are expected at the final focus. (auth.)

  19. Whispering Gallery Mode Resonances from Ge Micro-Disks on Suspended Beams

    Directory of Open Access Journals (Sweden)

    Abdelrahman Zaher Al-Attili

    2015-05-01

    Full Text Available Ge is considered to be one of the most promising materials for realizing full monolithic integration of a light source on a silicon (Si photonic chip. Tensile-strain is required to convert Ge into an optical gain material and to reduce the pumping required for population inversion. Several methods of strain application to Ge are proposed in literature, of which the use of free-standing beams fabricated by micro-electro-mechanical systems (MEMS processes are capable of delivering very high strain values. However, it is challenging to make an optical cavity within free-standing Ge beams, and here, we demonstrate the fabrication of a simple cavity while imposing tensile strain by suspension using Ge-On-Insulator (GOI wafers. Ge micro-disks are made on top of suspended SiO$_{2}$ beams by partially removing the supporting Si substrate. According to Raman spectroscopy, a slight tensile strain was applied to the Ge disks through the bending of the SiO2 beams. Whispering-Gallery-Mode (WGM resonances were observed from a disk with a diameter of 3um, consistent with the finite-domain time-difference simulations. The quality (Q factor was 192, and upon increasing the pumping power, the Q-factor was degraded due to the red-shift of Ge direct-gap absorption edge caused by heating.

  20. Surface sensitive mode XAFS measurement of local structure of ordered Ge nanoclusters (quantum dots) on Si(0 0 1)

    CERN Document Server

    Erenburg, S B; Mazalov, L N; Nikiforov, A I; Stepina, N P; Nenashev, A V

    2001-01-01

    Pseudomorphous Ge films have been deposited on Si(0 0 1) substrate using molecular beam epitaxy at 300 deg. C up to the critical thickness of four monolayers. As a result of the following deposition pyramid-like Ge islands have been grown in Stranski-Krastanov mode. The islands revealing quantum dots (QD) properties are self-organized during the growth in uniform Ge nanostructures with lateral sizes approx 15 nm and height approx 1.5 nm. Ge K XAFS measurements have been performed using total electron yield detection mode. It was established that pseudomorphous 4-monolayer Ge films contain about 50% Si atoms. It has been found that the Ge QD are characterized by interatomic Ge-Ge distances of 2.41 A which is 0.04 A less than in bulk Ge.

  1. Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors

    Science.gov (United States)

    2016-05-16

    AFRL-AFOSR-JP-TR-2016-0054 Silicon based mid infrared SiGeSn heterostrcture emitters and detectors Greg Sun UNIVERSITY OF MASSACHUSETTS Final Report... Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors ” February 10, 2016 Principal Investigator: Greg Sun Engineering...diodes are incompatible with the CMOS process and therefore cannot be easily integrated with Si electronics . The GeSn mid IR detectors developed in

  2. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  3. Understanding the growth mechanism of graphene on Ge/Si(001) surfaces.

    Science.gov (United States)

    Dabrowski, J; Lippert, G; Avila, J; Baringhaus, J; Colambo, I; Dedkov, Yu S; Herziger, F; Lupina, G; Maultzsch, J; Schaffus, T; Schroeder, T; Kot, M; Tegenkamp, C; Vignaud, D; Asensio, M-C

    2016-08-17

    The practical difficulties to use graphene in microelectronics and optoelectronics is that the available methods to grow graphene are not easily integrated in the mainstream technologies. A growth method that could overcome at least some of these problems is chemical vapour deposition (CVD) of graphene directly on semiconducting (Si or Ge) substrates. Here we report on the comparison of the CVD and molecular beam epitaxy (MBE) growth of graphene on the technologically relevant Ge(001)/Si(001) substrate from ethene (C2H4) precursor and describe the physical properties of the films as well as we discuss the surface reaction and diffusion processes that may be responsible for the observed behavior. Using nano angle resolved photoemission (nanoARPES) complemented by transport studies and Raman spectroscopy as well as density functional theory (DFT) calculations, we report the direct observation of massless Dirac particles in monolayer graphene, providing a comprehensive mapping of their low-hole doped Dirac electron bands. The micrometric graphene flakes are oriented along two predominant directions rotated by 30° with respect to each other. The growth mode is attributed to the mechanism when small graphene "molecules" nucleate on the Ge(001) surface and it is found that hydrogen plays a significant role in this process.

  4. Thermoelectric energy conversion in layered structures with strained Ge quantum dots grown on Si surfaces

    Science.gov (United States)

    Korotchenkov, Oleg; Nadtochiy, Andriy; Kuryliuk, Vasyl; Wang, Chin-Chi; Li, Pei-Wen; Cantarero, Andres

    2014-03-01

    The efficiency of the energy conversion devices depends in many ways on the materials used and various emerging cost-effective nanomaterials have promised huge potentials in highly efficient energy conversion. Here we show that thermoelectric voltage can be enhanced by a factor of 3 using layer-cake growth of Ge quantum dots through thermal oxidation of SiGe layers stacked in SiO2/Si3N4 multilayer structure. The key to achieving this behavior has been to strain the Ge/Si interface by Ge dots migrating to Si substrate. Calculations taking into account the carrier trapping in the dot with a quantum transmission into the neighboring dot show satisfactory agreement with experiments above ≈200 K. The results may be of interest for improving the functionality of thermoelectric devices based on Ge/Si.

  5. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  6. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  7. DFT study of cyanide oxidation on surface of Ge-embedded carbon nanotube

    Science.gov (United States)

    Gao, Wei; Milad Abrishamifar, Seyyed; Ebrahimzadeh Rajaei, Gholamreza; Razavi, Razieh; Najafi, Meysam

    2018-03-01

    In recent years, the discovery of suitable catalyst to oxidation of the cyanide (CN) has high importance in the industry. In present study, in the first step, the carbon nanotube (CNT) with the Ge atom embedded and the surface of Ge-CNT via the O2 molecule activated. In second step, the oxidation of CN on surface of the Ge-CNT via the Langmuir Hinshelwood (LH) and the Eley Rideal (ER) mechanisms was investigated. Results show that O2-Ge-CNT oxidized the CN molecule via the Ge-CNT-O-O∗ + CN → Ge-CNT-O-O∗-CN → Ge-CNT-O∗ + OCN and the Ge-CNT-O∗ + CN → Ge-CNT + OCN reactions. Results show that oxidation of CN on surface of Ge-CNT via the LH mechanism has lower energy barrier than ER mechanism. Finally, calculated parameters reveal that Ge-CNT is acceptable catalyst with high performance for CN oxidation, form theoretical point of view.

  8. Si/Ge intermixing during Ge Stranski–Krastanov growth

    Directory of Open Access Journals (Sweden)

    Alain Portavoce

    2014-12-01

    Full Text Available The Stranski–Krastanov growth of Ge islands on Si(001 has been widely studied. The morphology changes of Ge islands during growth, from nucleation to hut/island formation and growth, followed by hut-to-dome island transformation and dislocation nucleation of domes, have been well described, even at the atomic scale, using techniques such as scanning tunneling microscopy and transmission electron microscopy. Although it is known that these islands do not consist of pure Ge (due to Si/Ge intermixing, the composition of the Ge islands is not precisely known. In the present work, atom probe tomography was used to study the composition of buried dome islands at the atomic scale, in the three-dimensional space. The core of the island was shown to contain about 55 atom % Ge, while the Ge composition surrounding this core decreases rapidly in all directions in the islands to reach a Ge concentration of about 15 atom %. The Ge distribution in the islands follows a cylindrical symmetry and Ge segregation is observed only in the {113} facets of the islands. The Ge composition of the wetting layer is not homogeneous, varying from 5 to 30 atom %.

  9. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  10. Influence of ZnO encapsulation on the luminescence property of GeO2 nanowires

    International Nuclear Information System (INIS)

    Kim, Hyunsu; Jin, Changhyun; Park, Sunghoon; Lee, Chongmu; Kwon, Youngjae; Lee, Sangmin

    2012-01-01

    GeO 2 -core/ZnO-shell nanowires were synthesized on (100) Si substrates by thermal evaporation of Ge powders, followed by atomic layer deposition of ZnO. X-ray diffraction, scanning electron microscopy and transmission electron microscopy analyses showed that the mean diameter and lengths of the core-shell nanowires were approximately 100 nm and from a few tens to a few hundreds of micrometers, respectively. Photoluminescence measurements showed that pure GeO 2 nanowires had a violet emission band centered at approximately 430 nm. In contrast, GeO 2 -core/ZnO-shell nanowires had both a sharp near-band edge (NBE) emission band centered at approximately 380 nm and a broad deep-level (DL) emission band centered at approximately 590 nm, which is characteristic of ZnO. GeO 2 -core/ZnO-shell nanowires showed a higher intensity ratio of NBE emission to DL emission than either GeO 2 or ZnO nanowires. In addition, the origin of the enhancement of luminescence in GeO 2 nanowires by ZnO encapsulation is discussed.

  11. Ge/graded-SiGe multiplication layers for low-voltage and low-noise Ge avalanche photodiodes on Si

    Science.gov (United States)

    Miyasaka, Yuji; Hiraki, Tatsurou; Okazaki, Kota; Takeda, Kotaro; Tsuchizawa, Tai; Yamada, Koji; Wada, Kazumi; Ishikawa, Yasuhiko

    2016-04-01

    A new structure is examined for low-voltage and low-noise Ge-based avalanche photodiodes (APDs) on Si, where a Ge/graded-SiGe heterostructure is used as the multiplication layer of a separate-absorption-carrier-multiplication structure. The Ge/SiGe heterojunction multiplication layer is theoretically shown to be useful for preferentially enhancing impact ionization for photogenerated holes injected from the Ge optical-absorption layer via the graded SiGe, reflecting the valence band discontinuity at the Ge/SiGe interface. This property is effective not only for the reduction of operation voltage/electric field strength in Ge-based APDs but also for the reduction of excess noise resulting from the ratio of the ionization coefficients between electrons and holes being far from unity. Such Ge/graded-SiGe heterostructures are successfully fabricated by ultrahigh-vacuum chemical vapor deposition. Preliminary pin diodes having a Ge/graded-SiGe multiplication layer act reasonably as photodetectors, showing a multiplication gain larger than those for diodes without the Ge/SiGe heterojunction.

  12. Investigation of structural and electrical properties on substrate material for high frequency metal-oxide-semiconductor (MOS) devices

    Science.gov (United States)

    Kumar, M.; Yang, Sung-Hyun; Janardhan Reddy, K.; JagadeeshChandra, S. V.

    2017-04-01

    Hafnium oxide (HfO2) thin films were grown on cleaned P-type Ge and Si substrates by using atomic layer deposition technique (ALD) with thickness of 8 nm. The composition analysis of as-deposited and annealed HfO2 films was characterized by XPS, further electrical measurements; we fabricated the metal-oxide-semiconductor (MOS) devices with Pt electrode. Post deposition annealing in O2 ambient at 500 °C for 30 min was carried out on both Ge and Si devices. Capacitance-voltage (C-V) and conductance-voltage (G-V) curves measured at 1 MHz. The Ge MOS devices showed improved interfacial and electrical properties, high dielectric constant (~19), smaller EOT value (0.7 nm), and smaller D it value as Si MOS devices. The C-V curves shown significantly high accumulation capacitance values from Ge devices, relatively when compare with the Si MOS devices before and after annealing. It could be due to the presence of very thin interfacial layer at HfO2/Ge stacks than HfO2/Si stacks conformed by the HRTEM images. Besides, from current-voltage (I-V) curves of the Ge devices exhibited similar leakage current as Si devices. Therefore, Ge might be a reliable substrate material for structural, electrical and high frequency applications.

  13. Alloyed surfaces: New substrates for graphene growth

    Science.gov (United States)

    Tresca, C.; Verbitskiy, N. I.; Fedorov, A.; Grüneis, A.; Profeta, G.

    2017-11-01

    We report a systematic ab-initio density functional theory investigation of Ni(111) surface alloyed with elements of group IV (Si, Ge and Sn), demonstrating the possibility to use it to grow high quality graphene. Ni(111) surface represents an ideal substrate for graphene, due to its catalytic properties and perfect matching with the graphene lattice constant. However, Dirac bands of graphene growth on Ni(111) are completely destroyed due to the strong hybridization between carbon pz and Ni d orbitals. Group IV atoms, namely Si, Ge and Sn, once deposited on Ni(111) surface, form an ordered alloyed surface with √{ 3} ×√{ 3} -R30° reconstruction. We demonstrate that, at variance with the pure Ni(111) surface, alloyed surfaces effectively decouple graphene from the substrate, resulting unstrained due to the nearly perfect lattice matching and preserves linear Dirac bands without the strong hybridization with Ni d states. The proposed surfaces can be prepared before graphene growth without resorting on post-growth processes which necessarily alter the electronic and structural properties of graphene.

  14. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  15. Growth and characterization of polycrystalline Ge1-xCx by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez, M.P.; Farias, M.H.; Castillon, F.F.; Diaz, Jesus A.; Avalos, M.; Ulloa, L.; Gallegos, J.A.; Yee-Madeiros, H.

    2011-01-01

    Polycrystalline thin films of Ge-C were grown on Si (1 1 1) substrates by means of reactive pulsed laser deposition with methane pressure of 100 mTorr. Effect substrate temperature, T s , on C incorporation to substitutional sites (x) in Ge 1-x C x was investigated systematically by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) analyzes. The substrate temperatures were ranging from 250 to 400 deg. C. The substitutional C composition x in the films by XRD were estimated using the Vegard's linear law. The maximum value of x calculated by XRD was 0.032 for T s of 350 deg. C. The position of the C 1s peak at 283.4 eV in the XPS spectrum confirmed the germanium-carbon alloys. XRD measurements indicated that x increased with T s from 250 deg. C to 350 deg. C. At T s = 400 deg. C, the estimation of x was lowered. However, the C content calculated by XPS analyzes increased with T s being more these values than substitutional C composition x. XPS and XRD analyzes demonstrate that the remaining C atoms are incorporated to interstitial sites. The use of the T s plays important roles in the incorporation of substitutional C and in restraining C-cluster formation in the reactive pulsed laser deposition growth of Ge-C/Si.

  16. Passivation of Ge/high-κ interface using RF Plasma nitridation

    Science.gov (United States)

    Dushaq, Ghada; Nayfeh, Ammar; Rasras, Mahmoud

    2018-01-01

    In this paper, plasma nitridation of a germanium surface using NH3 and N2 gases is performed with a standard RF-PECVD method at a substrate temperature of 250 °C. The structural and optical properties of the Ge surface have been investigated using Atomic Force Microscopy (AFM), Fourier Transform Infrared Spectroscopy (FT-IR), and Variable Angle Spectroscopic Ellipsometery (VASE). Study of the Ge (100) surface revealed that it is nitrated after plasma treatment while the GeO2 regrowth on the surface has been suppressed. Also, stability of the treated surface under air exposure is observed, where all the measurements were performed at room ambient. The electrical characteristics of fabricated Al/Ti/HfO2/GeON/p-Ge capacitors using the proposed surface treatment technique have been investigated. The C-V curves indicated a negligible hysteresis compared to ˜500 mV observed in untreated samples. Additionally, the C-V characteristic is used to extract the high-κ/Ge interface trap density using the most commonly used methods in determining the interface traps. The discussion includes the Dit calculation from the high-low frequency (Castagné-Vapaille) method and Terman (high-frequency) method. The high-low frequency method indicated a low interface trap density of ˜2.5 × 1011 eV-1.cm-2 compared to the Terman method. The J-V measurements revealed more than two orders of magnitude reduction of the gate leakage. This improved Ge interface quality is a promising low-temperature technique for fabricating high-performance Ge MOSFETs.

  17. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  18. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  19. 76 FR 14437 - Economic Simplified Boiling Water Reactor Standard Design: GE Hitachi Nuclear Energy; Issuance of...

    Science.gov (United States)

    2011-03-16

    ... NUCLEAR REGULATORY COMMISSION [NRC-2011-0055] Economic Simplified Boiling Water Reactor Standard Design: GE Hitachi Nuclear Energy; Issuance of Final Design Approval The U.S. Nuclear Regulatory Commission has issued a final design approval (FDA) to GE Hitachi Nuclear Energy (GEH) for the economic...

  20. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  1. Shaping Ge islands on Si(001) surfaces with misorientation angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2010-01-22

    A complete description of Ge growth on vicinal Si(001) surfaces in the angular miscut range 0 degrees -8 degrees is presented. The key role of substrate vicinality is clarified from the very early stages of Ge deposition up to the nucleation of 3D islands. By a systematic scanning tunneling microscopy investigation we are able to explain the competition between step-flow growth and 2D nucleation and the progressive elongation of the 3D islands along the miscut direction [110]. Using finite element calculations, we find a strict correlation between the morphological evolution and the energetic factors which govern the {105} faceting at atomic scale.

  2. From plastic to elastic stress relaxation in highly mismatched SiGe/Si heterostructures

    International Nuclear Information System (INIS)

    Isa, Fabio; Salvalaglio, Marco; Dasilva, Yadira Arroyo Rojas; Jung, Arik; Isella, Giovanni; Erni, Rolf; Niedermann, Philippe; Gröning, Pierangelo; Montalenti, Francesco; Känel, Hans von

    2016-01-01

    We present a detailed experimental and theoretical analysis of the epitaxial stress relaxation process in micro-structured compositionally graded alloys. We focus on the pivotal SiGe/Si(001) system employing patterned Si substrates at the micrometre-size scale to address the distribution of threading and misfit dislocations within the heterostructures. SiGe alloys with linearly increasing Ge content were deposited by low energy plasma enhanced chemical vapour deposition resulting in isolated, tens of micrometre tall 3D crystals. We demonstrate that complete elastic relaxation is achieved by appropriate choice of the Ge compositional grading rate and Si pillar width. We investigate the nature and distribution of dislocations along the [001] growth direction in SiGe crystals by transmission electron microscopy, chemical defect etching and etch pit counting. We show that for 3 μm wide Si pillars and a Ge grading rate of 1.5% μm −1 , only misfit dislocations are present while their fraction is reduced for higher Ge grading rates and larger structures due to dislocation interactions. The experimental results are interpreted with the help of theoretical calculations based on linear elasticity theory describing the competition between purely elastic and plastic stress relaxation with increasing crystal width and Ge compositional grading rate.

  3. Room Temperature Direct Band Gap Emission from Ge p-i-n Heterojunction Photodiodes

    Directory of Open Access Journals (Sweden)

    E. Kasper

    2012-01-01

    Full Text Available Room temperature direct band gap emission is observed for Si-substrate-based Ge p-i-n heterojunction photodiode structures operated under forward bias. Comparisons of electroluminescence with photoluminescence spectra allow separating emission from intrinsic Ge (0.8 eV and highly doped Ge (0.73 eV. Electroluminescence stems from carrier injection into the intrinsic layer, whereas photoluminescence originates from the highly n-doped top layer because the exciting visible laser wavelength is strongly absorbed in Ge. High doping levels led to an apparent band gap narrowing from carrier-impurity interaction. The emission shifts to higher wavelengths with increasing current level which is explained by device heating. The heterostructure layer sequence and the light emitting device are similar to earlier presented photodetectors. This is an important aspect for monolithic integration of silicon microelectronics and silicon photonics.

  4. Preparation and characterization of co-evaporated Cu{sub 2}ZnGeSe{sub 4} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Uday Bhaskar, P.; Suresh Babu, G.; Kishore Kumar, Y.B.; Sundara Raja, V., E-mail: sundararajav@rediffmail.com

    2013-05-01

    Cu{sub 2}ZnGeSe{sub 4} (CZGSe), a member of Cu{sub 2}–II–IV–VI{sub 4} family, is a promising material for solar cell absorber layer in thin film heterojunction solar cells like Cu{sub 2}ZnSnS{sub 4} and Cu{sub 2}ZnSnSe{sub 4} which have been explored in recent years as alternate to CuInGaSe{sub 2} solar cells. The effect of substrate temperature (523 K–723 K) on the growth of CZGSe films is investigated by studying their structural, morphological and optical properties. Raman spectroscopy studies have been done to identify the phases in addition to X-ray diffraction studies. CZGSe films deposited at different substrate temperatures and annealed at 723 K in selenium atmosphere are Cu-rich and Ge-poor and contained secondary phases Cu{sub (2−x)}Se and ZnSe. CZGSe films obtained by reducing the starting Cu mass by 10% were found to be single phase with stannite structure, the lattice parameters being a = 0.563 nm, c = 1.101 nm. The direct optical band gap of CZGSe films is found to be 1.63 eV which is close to ideal band gap of 1.50 eV for the highest photovoltaic conversion efficiency. The films are found to be p-type. - Highlights: • Synthesis of Cu{sub 2}ZnGeSe{sub 4} films for solar cell absorber layer • Effect of substrate temperature on the growth of co-evaporated Cu{sub 2}ZnGeSe{sub 4} films • X-ray diffraction, Raman and morphological studies of Cu{sub 2}ZnGeSe{sub 4} thin films.

  5. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Anisotropic phase separation through the metal-insulator transition in amorphous Mo-Ge and Fe-Ge alloys

    International Nuclear Information System (INIS)

    Regan, M.J.

    1993-12-01

    Since an amorphous solid is often defined as that which lacks long-range order, the atomic structure is typically characterized in terms of the high-degree of short-range order. Most descriptions of vapor-deposited amorphous alloys focus on characterizing this order, while assuming that the material is chemically homogeneous beyond a few near neighbors. By coupling traditional small-angle x-ray scattering which probes spatial variations of the electron density with anomalous dispersion which creates a species-specific contrast, one can discern cracks and voids from chemical inhomogeneity. In particular, one finds that the chemical inhomogeneities which have been previously reported in amorphous Fe x Ge 1-x and Mo x Ge 1-x are quite anisotropic, depending significantly on the direction of film growth. With the addition of small amounts of metal atoms (x 2 or MoGe 3 . Finally, by manipulating the deposited power flux and rates of growth, Fe x Ge 1-x films which have the same Fe composition x can be grown to different states of phase separation. These results may help explain the difficulty workers have had in isolating the metal/insulator transition for these and other vapor-deposited amorphous alloys

  7. Physical characterization of Cu{sub 2}ZnGeSe{sub 4} thin films from annealing of Cu-Zn-Ge precursor layers

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: buffiere@imec.be [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium); ElAnzeery, H. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Microelectronics System Design department, Nile University, Cairo (Egypt); Oueslati, S.; Ben Messaoud, K. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Department of Physics, Faculty of Sciences of Tunis, El Manar (Tunisia); Brammertz, G.; Meuris, M. [Imec Division IMOMEC — Partner in Solliance, Diepenbeek (Belgium); Institute for Material Research (IMO) Hasselt University, Diepenbeek (Belgium); Poortmans, J. [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium)

    2015-05-01

    Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) can be considered as a potential alternative for wide band gap thin film devices. In this work, CZGeSe thin films were deposited on Mo-coated soda lime glass substrates by sequential deposition of sputtered Cu, Zn and e-beam evaporated Ge layers from elemental targets followed by annealing at high temperature using H{sub 2}Se gas. We report on the effect of the precursor stack order and composition and the impact of the annealing temperature on the physical properties of CZGeSe thin films. The optimal layer morphology was obtained when using a Mo/Cu/Zn/Ge precursor stack annealed at 460 °C. We have observed that the formation of secondary phases such as ZnSe can be prevented by tuning the initial composition of the stack, the stack order and the annealing conditions. This synthesis process allows synthesizing CZGeSe absorber with an optical band gap of 1.5 eV. - Highlights: • Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) thin films were deposited using a two-step process. • CZGeSe dense layers were obtained using a Mo/Cu/Zn/Ge precursor annealed at 460 °C. • Formation of ZnSe can be avoided by tuning the composition and order of the initial stack. • P-type CZGeSe absorber with an optical band gap of 1.5 eV was obtained.

  8. Analysis of threshold current of uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers.

    Science.gov (United States)

    Jiang, Jialin; Sun, Junqiang; Gao, Jianfeng; Zhang, Ruiwen

    2017-10-30

    We propose and design uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers with the stress along direction. The micro-bridge structure is adapted for introducing uniaxial stress in Ge/SiGe quantum well. To enhance the fabrication tolerance, full-etched circular gratings with high reflectivity bandwidths of ~500 nm are deployed in laser cavities. We compare and analyze the density of state, the number of states between Γ- and L-points, the carrier injection efficiency, and the threshold current density for the uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers. Simulation results show that the threshold current density of the Ge/SiGe quantum well laser is much higher than that of the bulk Ge laser, even combined with high uniaxial tensile stress owing to the larger number of states between Γ- and L- points and extremely low carrier injection efficiency. Electrical transport simulation reveals that the reduced effective mass of the hole and the small conduction band offset cause the low carrier injection efficiency of the Ge/SiGe quantum well laser. Our theoretical results imply that unlike III-V material, uniaxially tensile stressed bulk Ge outperforms a Ge/SiGe quantum well with the same strain level and is a promising approach for Si-compatible light sources.

  9. Short-range order structures of self-assembled Ge quantum dots probed by multiple-scattering extended x-ray absorption fine structure

    International Nuclear Information System (INIS)

    Sun Zhihu; Wei Shiqiang; Kolobov, A.V.; Oyanagi, H.; Brunner, K.

    2005-01-01

    Multiple-scattering extended x-ray absorption fine structure (MS-EXAFS) has been used to investigate the local structures around Ge atoms in self-assembled Ge-Si quantum dots (QDs) grown on Si(001) substrate. The MS effect of Ge QDs is dominated by the scattering path Ge 0 →B 1 →B 2 →Ge 0 (DS2), which contributes a signal destructively interfering with that of the second shell single-scattering path (SS2). MS-EXAFS analysis reveals that the degree of Ge-Si intermixing for Ge-Si QDs strongly depends on the temperature at which the silicon cap layer is overgrown. It is found that the interatomic distances (R Ge-Ge and R Ge-Si ) within the third nearest-neighbor shells in Ge-Si QDs indicate the compressively strained nature of QDs. The present study demonstrates that the MS-EXAFS provides detailed information on the QDs strain and the Ge-Si mixing beyond the nearest neighbors

  10. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    International Nuclear Information System (INIS)

    Ghandi, R.; Kolahdouz, M.; Hallstedt, J.; Wise, R.; Wejtmans, Hans; Radamson, H.H.

    2008-01-01

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si 1-x Ge x (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers

  11. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Ghandi, R. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: ghandi@kth.se; Kolahdouz, M.; Hallstedt, J. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden); Wise, R.; Wejtmans, Hans [Texas Instrument, 13121 TI Boulevard, Dallas, Tx 75243 (United States); Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-11-03

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si{sub 1-x}Ge{sub x} (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.

  12. GeSbTe deposition for the PRAM application

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Junghyun [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Choi, Sangjoon [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Lee, Changsoo [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Kang, Yoonho [Nano Fabrication Center, SAIT, Suwon, P.O. Box 111 (Korea, Republic of); Kim, Daeil [School of Materials Science and Engineering. University of Ulsan, San 29, Mugeo-Dong, Nam-Gu, Ulsan 680-749 (Korea, Republic of)]. E-mail: dkim84@mail.ulsan.ac.kr

    2007-02-15

    GeSbTe (GST) chalcogenide thin films for the phase-change random access memory (PRAM) were deposited by an atomic layer deposition (ALD) process. New precursors for GST thin films made with an ALD process were synthesized. Among the synthesized precursors, Ge(N(CH{sub 3}){sub 2}){sub 4}, Sb(N(CH{sub 3}){sub 2}){sub 4}, and Te(i-Pr){sub 2} (i-Pr = iso-propyl) were selected. Using the above precursors, GST thin films were deposited using an H{sub 2} plasma-assisted ALD process. Film resistivity abruptly changed after an N{sub 2} annealing process above a temperature of 350 deg. C. Cross-sectional scanning electron microscope (SEM) photographs of the GST films on the patterned substrate with aspect ratio of 7 shows that the step coverage is about 90%.

  13. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  14. Characteristics of hardron-nucleus interactions at 100 GeV/c

    International Nuclear Information System (INIS)

    Toothacker, W.S.; Whitmore, J.; Elcombe, P.A.

    1988-01-01

    We report on 100 GeV/c interactions of p and p-bar with Ag and Au targets. This is a subset of the data from Fermilab experiment E597 and was performed with the 30-inch bubble chamber and Downstream Particle Identifier. Final state protons with laboratory momentum less than 1.4 GeV/c have been identified by their ionization in the bubble chamber. Final state protons/antiprotons with laboratory momentum greater than 10 GeV/c have been identified using CRISIS, an ionization sampling drift chamber. The cross section and mean transverse momentum squared of the leading baryon from the reactions p+(Ag,Au)→p+X and p-bar+(Ag,Au)→p-bar+X are presented as a function of the rapidity loss of the leading baryon. The laboratory rapidity and transverse momentum squared of the associated pions are also presented

  15. Characteristics of hardron-nucleus interactions at 100 GeV/c

    Science.gov (United States)

    Toothacker, W. S.; Whitmore, J.; Elcombe, P. A.; Hill, J. C.; Neale, W. W.; Kowald, W.; Walker, W. D.; Lucas, P.; Voyvodic, L.; Ammar, R.; Coppage, D.; Davis, R.; Gress, J.; Kanekal, S.; Kwak, N.; Bishop, J. M.; Biswas, N. N.; Cason, N. M.; Kenney, V. P.; Mattingly, M. C. K.; Ruchti, R. C.; Shepard, W. D.; Ting, S. J. Y.

    1988-11-01

    We report on 100 GeV/c interactions of p and p¯ with Ag and Au targets. This is a subset of the data from Fermilab experiment E597 and was performed with the 30-inch bubble chamber and Downstream Particle Identifier. Final state protons with laboratory momentum less than 1.4 GeV/c have been identified by their ionization in the bubble chamber. Final state protons/antiprotons with laboratory momentum greater than 10 GeV/c have been identified using CRISIS, an ionization sampling drift chamber. The cross section and mean transverse momentum squared of the leading baryon from the reactions p+(Ag,Au)→p+X and p¯+(Ag,Au)→p¯+X are presented as a function of the rapidity loss of the leading baryon. The laboratory rapidity and transverse momentum squared of the associated pions are also presented.

  16. Materials and devices for quantum information processing in Si/SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sailer, Juergen

    2010-12-15

    In this thesis, we cover and discuss the complete way from material science, the fabrication of two-dimensional electron systems (2DES) in Si/SiGe heterostructures in molecular beam epitaxy (MBE), to quantum effects in few-electron devices based on these samples. We applied and compared two different approaches for the creation of pseudo-substrates that are as smooth, relaxed and defect free as possible. In the 'graded buffer' concept, starting from pure Si, the Ge content of the SiGe alloy is slowly and linearly increased until the desired Ge content is reached. In contrast, in the so-called 'low-temperature Si' concept, the SiGe alloy is deposited directly with the final Ge content, but onto a layer of highly defective Si. In terms of crystal defects, the 'graded buffer' turned out to be superior in comparison to the 'low-temperature Si' concept at the expense of a significantly higher material consumption. By continued optimization of the growth process, aiming at reducing the influence of the impurity, it nevertheless became possible to improve the charge carrier mobility from a mere 2000 cm{sup 2}/(Vs) to a record mobility exceeding 100 000 cm{sup 2}/(Vs). Within this work, we extended our MBE system with an electron beam evaporator for nuclear spin free {sup 28}Si. Together with the already existing effusion cell for {sup 70}Ge we were able to realize first 2DES in a nuclear spin free environment after successfully putting it to operation. The highest mobility 2DES in a nuclear spin free environment which have been realized in this thesis exhibited electron mobilities of up to 55 000 cm{sup 2}/(Vs). Quantum effects in Si/SiGe have been investigated in two- and zero-dimensional nanostructures. A remarkable phenomenon in the regime of the integer quantum Hall effect in Si/SiGe 2DES has been discovered and researched. For applications in quantum information processing and for the creation of qubits it is mandatory to

  17. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  18. Anti pp elastic scattering at 30 GeV/c incident momentum in the momentum transfer range 0. 5<-t<5. 8(GeV/c)/sup 2/

    Energy Technology Data Exchange (ETDEWEB)

    Asa' d, Z.; Coupland, M.; Davis, D.G.; Duff, B.G.; Fearnley, T.; Heymann, F.F.; Imrie, D.C.; Lush, G.J.; Phillips, M. (University Coll., London (UK)); Baglin, A.

    1983-10-27

    The anti pp elastic differential cross section at 30 GeV/c incident momentum has been measured in a two-arm spectrometer experiment (WA7) at the CERN SPS. The vertical stroketvertical stroke-range covered extends from 0.5 to 5.8 (GeV/c)/sup 2/. A pronounced dip-bump structure is observed, with a sharp minimum around vertical stroketvertical strokeapprox.=1.7 (GeV/c)/sup 2/. The results are compared with existing anti pp data at lower energies and with our earlier anti pp data at 50 GeV/c. A number of model predictions are discussed. We also compare the anti pp 30 GeV/c differential cross section with that of pp at the same momentum. Finally, the energy dependence of the anti pp fixed-vertical stroketvertical stroke differential cross section in the incident momentum range 3.6 to 50 GeV/c is presented.

  19. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  20. High-performance flexible resistive memory devices based on Al2O3:GeOx composite

    Science.gov (United States)

    Behera, Bhagaban; Maity, Sarmistha; Katiyar, Ajit K.; Das, Samaresh

    2018-05-01

    In this study a resistive switching random access memory device using Al2O3:GeOx composite thin films on flexible substrate is presented. A bipolar switching characteristic was observed for the co-sputter deposited Al2O3:GeOx composite thin films. Al/Al2O3:GeOx/ITO/PET memory device shows excellent ON/OFF ratio (∼104) and endurance (>500 cycles). GeOx nanocrystals embedded in the Al2O3 matrix have been found to play a significant role in enhancing the switching characteristics by facilitating oxygen vacancy formation. Mechanical endurance was retained even after several bending. The conduction mechanism of the device was qualitatively discussed by considering Ohmic and SCLC conduction. This flexible device is a potential candidate for next-generation electronics device.

  1. Elevated transition temperature in Ge doped VO2 thin films

    Science.gov (United States)

    Krammer, Anna; Magrez, Arnaud; Vitale, Wolfgang A.; Mocny, Piotr; Jeanneret, Patrick; Guibert, Edouard; Whitlow, Harry J.; Ionescu, Adrian M.; Schüler, Andreas

    2017-07-01

    Thermochromic GexV1-xO2+y thin films have been deposited on Si (100) substrates by means of reactive magnetron sputtering. The films were then characterized by Rutherford backscattering spectrometry (RBS), four-point probe electrical resistivity measurements, X-ray diffraction, and atomic force microscopy. From the temperature dependent resistivity measurements, the effect of Ge doping on the semiconductor-to-metal phase transition in vanadium oxide thin films was investigated. The transition temperature was shown to increase significantly upon Ge doping (˜95 °C), while the hysteresis width and resistivity contrast gradually decreased. The precise Ge concentration and the film thickness have been determined by RBS. The crystallinity of phase-pure VO2 monoclinic films was confirmed by XRD. These findings make the use of vanadium dioxide thin films in solar and electronic device applications—where higher critical temperatures than 68 °C of pristine VO2 are needed—a viable and promising solution.

  2. Self-assembly of tin wires via phase transformation of heteroepitaxial germanium-tin on germanium substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-06-14

    This work demonstrates and describes for the first time an unusual strain-relaxation mechanism by the formation and self-assembly of well-ordered tin wires during the thermal annealing of epitaxial Ge{sub 0.83}Sn{sub 0.17}-on-Ge(001) substrate. Fully strained germanium-tin alloys (Ge{sub 0.83}Sn{sub 0.17}) were epitaxially grown on Ge(001) substrate by molecular beam epitaxy. The morphological and compositional evolution of Ge{sub 0.83}Sn{sub 0.17} during thermal annealing is studied by atomic force microscopy, X-ray diffraction, transmission electron microscopy. Under certain annealing conditions, the Ge{sub 0.83}Sn{sub 0.17} layer decomposes into two stable phases, and well-defined Sn wires that are preferentially oriented along two orthogonal 〈100〉 azimuths are formed. The formation of the Sn wires is related to the annealing temperature and the Ge{sub 0.83}Sn{sub 0.17} thickness, and can be explained by the nucleation of a grain with Sn islands on the outer front, followed by grain boundary migration. The Sn wire formation process is found to be thermally activated, and an activation enthalpy (E{sub c}) of 0.41 eV is extracted. This thermally activated phase transformation, i.e., 2D epitaxial layer to 3D wires, occurs via a mechanism akin to “cellular precipitation.” This synthesis route of Sn wires opens new possibilities for creation of nanoscale patterns at high-throughput without the need for lithography.

  3. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  4. Ion Beam Synthesis of Ge Nanowires. rev. ed.

    Energy Technology Data Exchange (ETDEWEB)

    Mueller, T.

    2001-01-01

    The formation of Ge nanowires in V-grooves has been studied experimentally as well as theoretically. As substrate oxide covered Si V-grooves were used formed by anisotropic etching of (001)Si wafers and subsequent oxidation of their surface. Implantation of 1 x 10{sup 17} Ge{sup +}cm{sup -2} at 70 keV was carried out into the oxide layer covering the V-grooves. Ion irradiation induces shape changes of the V-grooves, which are captured in a novel continuum model of surface evolution. It describes theoretically the effects of sputtering, redeposition of sputtered atoms, and swelling. Thereby, the time evolution of the target surface is determined by a nonlinear integro-differential equation, which was solved numerically for the V-groove geometry. A very good agreement is achieved for the predicted surface shape and the shape observed in XTEM images. Surprisingly, the model predicts material (Si, O, Ge) transport into the V-groove bottom which also suggests an Ge accumulation there proven by STEM-EDX investigations. In this Ge rich bottom region, subsequent annealing in N{sub 2} atmosphere results in the formation of a nanowire by coalescence of Ge precipitates shown by XTEM images. The process of phase separation during the nanowire growth was studied by means of kinetic 3D lattice Monte-Carlo simulations. These simulations also indicate the disintegration of continuous wires into droplets mediated by thermal fluctuations. Energy considerations have identified a fragmentation threshold and a lower boundary for the droplet radii which were confirmed by the Monte Carlo simulation. The here given results indicate the possibility of achieving nanowires being several nanometers wide by further growth optimizations as well as chains of equally spaced clusters with nearly uniform diameter. (orig.)

  5. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  6. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  7. Four-jet final state production in e+e- collisions at centre-of-mass energies of 130 and 136 GeV

    CERN Document Server

    Buskulic, Damir; Décamp, D; Ghez, P; Goy, C; Lees, J P; Lucotte, A; Minard, M N; Odier, P; Pietrzyk, B; Casado, M P; Chmeissani, M; Crespo, J M; Delfino, M C; Efthymiopoulos, I; Fernández, E; Fernández-Bosman, M; Garrido, L; Juste, A; Martínez, M; Orteu, S; Padilla, C; Pascual, A; Perlas, J A; Riu, I; Sánchez, F; Teubert, F; Colaleo, A; Creanza, D; De Palma, M; Gelao, G; Girone, M; Iaselli, Giuseppe; Maggi, G; Maggi, M; Marinelli, N; Nuzzo, S; Ranieri, A; Raso, G; Ruggieri, F; Selvaggi, G; Silvestris, L; Tempesta, P; Zito, G; Huang, X; Lin, J; Ouyang, Q; Wang, T; Xie, Y; Xu, R; Xue, S; Zhang, J; Zhang, L; Zhao, W; Alemany, R; Bazarko, A O; Cattaneo, M; Comas, P; Coyle, P; Drevermann, H; Forty, Roger W; Frank, M; Hagelberg, R; Harvey, J; Janot, P; Jost, B; Kneringer, E; Knobloch, J; Lehraus, Ivan; Lutters, G; Martin, E B; Mato, P; Minten, Adolf G; Miquel, R; Mir, L M; Moneta, L; Oest, T; Pacheco, A; Pusztaszeri, J F; Ranjard, F; Rensing, P E; Rolandi, Luigi; Schlatter, W D; Schmelling, M; Schneider, O; Tejessy, W; Tomalin, I R; Venturi, A; Wachsmuth, H W; Wagner, A; Ajaltouni, Ziad J; Barrès, A; Boyer, C; Falvard, A; Gay, P; Guicheney, C; Henrard, P; Jousset, J; Michel, B; Monteil, S; Montret, J C; Pallin, D; Perret, P; Podlyski, F; Proriol, J; Rosnet, P; Rossignol, J M; Fearnley, Tom; Hansen, J B; Hansen, J D; Hansen, J R; Hansen, P H; Nilsson, B S; Wäänänen, A; Kyriakis, A; Markou, C; Simopoulou, Errietta; Siotis, I; Vayaki, Anna; Zachariadou, K; Blondel, A; Brient, J C; Rougé, A; Rumpf, M; Valassi, Andrea; Videau, H L; Focardi, E; Parrini, G; Corden, M; Georgiopoulos, C H; Jaffe, D E; Antonelli, A; Bencivenni, G; Bologna, G; Bossi, F; Campana, P; Capon, G; Casper, David William; Chiarella, V; Felici, G; Laurelli, P; Mannocchi, G; Murtas, F; Murtas, G P; Passalacqua, L; Pepé-Altarelli, M; Curtis, L; Dorris, S J; Halley, A W; Knowles, I G; Lynch, J G; O'Shea, V; Raine, C; Reeves, P; Scarr, J M; Smith, K; Thompson, A S; Thomson, F; Thorn, S; Turnbull, R M; Becker, U; Geweniger, C; Graefe, G; Hanke, P; Hansper, G; Hepp, V; Kluge, E E; Putzer, A; Rensch, B; Schmidt, M; Sommer, J; Stenzel, H; Tittel, K; Werner, S; Wunsch, M; Abbaneo, D; Beuselinck, R; Binnie, David M; Cameron, W; Dornan, Peter J; Moutoussi, A; Nash, J; Sedgbeer, J K; Stacey, A M; Williams, M D; Dissertori, G; Girtler, P; Kuhn, D; Rudolph, G; Betteridge, A P; Bowdery, C K; Colrain, P; Crawford, G; Finch, A J; Foster, F; Hughes, G; Sloan, Terence; Whelan, E P; Williams, M I; Galla, A; Greene, A M; Hoffmann, C; Kleinknecht, K; Quast, G; Renk, B; Rohne, E; Sander, H G; Van Gemmeren, P; Zeitnitz, C; Aubert, Jean-Jacques; Bencheikh, A M; Benchouk, C; Bonissent, A; Bujosa, G; Calvet, D; Carr, J; Diaconu, C A; Konstantinidis, N P; Payre, P; Rousseau, D; Talby, M; Sadouki, A; Thulasidas, M; Tilquin, A; Trabelsi, K; Aleppo, M; Ragusa, F; Abt, I; Assmann, R W; Bauer, C; Blum, Walter; Dietl, H; Dydak, Friedrich; Ganis, G; Gotzhein, C; Jakobs, K; Kroha, H; Lütjens, G; Lutz, Gerhard; Männer, W; Moser, H G; Richter, R H; Rosado-Schlosser, A; Schael, S; Settles, Ronald; Seywerd, H C J; Saint-Denis, R; Wiedenmann, W; Wolf, G; Boucrot, J; Callot, O; Cordier, A; Davier, M; Duflot, L; Grivaz, J F; Heusse, P; Höcker, A; Jacquet, M; Kim, D W; Le Diberder, F R; Lefrançois, J; Lutz, A M; Nikolic, I A; Park, H J; Park, I C; Schune, M H; Simion, S; Veillet, J J; Videau, I; Zerwas, D; Azzurri, P; Bagliesi, G; Batignani, G; Bettarini, S; Bozzi, C; Calderini, G; Carpinelli, M; Ciocci, M A; Ciulli, V; Dell'Orso, R; Fantechi, R; Ferrante, I; Giassi, A; Gregorio, A; Ligabue, F; Lusiani, A; Marrocchesi, P S; Messineo, A; Palla, Fabrizio; Rizzo, G; Sanguinetti, G; Sciabà, A; Spagnolo, P; Steinberger, Jack; Tenchini, Roberto; Tonelli, G; Vannini, C; Verdini, P G; Walsh, J; Blair, G A; Bryant, L M; Cerutti, F; Chambers, J T; Gao, Y; Green, M G; Medcalf, T; Perrodo, P; Strong, J A; Von Wimmersperg-Töller, J H; Botterill, David R; Clifft, R W; Edgecock, T R; Haywood, S; Maley, P; Norton, P R; Thompson, J C; Wright, A E; Bloch-Devaux, B; Colas, P; Emery, S; Kozanecki, Witold; Lançon, E; Lemaire, M C; Locci, E; Marx, B; Pérez, P; Rander, J; Renardy, J F; Roussarie, A; Schuller, J P; Schwindling, J; Trabelsi, A; Vallage, B; Black, S N; Dann, J H; Johnson, R P; Kim, H Y; Litke, A M; McNeil, M A; Taylor, G; Booth, C N; Boswell, R; Brew, C A J; Cartwright, S L; Combley, F; Köksal, A; Lehto, M H; Newton, W M; Reeve, J; Thompson, L F; Böhrer, A; Brandt, S; Büscher, V; Cowan, G D; Grupen, Claus; Saraiva, P; Smolik, L; Stephan, F; Apollonio, M; Bosisio, L; Della Marina, R; Giannini, G; Gobbo, B; Musolino, G; Pütz, J; Rothberg, J E; Wasserbaech, S R; Williams, R W; Armstrong, S R; Bellantoni, L; Elmer, P; Feng, Z; Ferguson, D P S; Gao, Y S; González, S; Grahl, J; Greening, T C; Hayes, O J; Hu, H; McNamara, P A; Nachtman, J M; Orejudos, W; Pan, Y B; Saadi, Y; Schmitt, M; Scott, I J; Walsh, A M; Wu Sau Lan; Wu, X; Yamartino, J M; Zheng, M; Zobernig, G

    1996-01-01

    The four-jet final state is analyzed to search for hadronic decays of pair-produced heavy particles. The analysis uses the ALEPH data collected at LEP in November 1995 at centre-of-mass energies of 130 and 136~GeV, corresponding to a total integrated luminosity of 5.7~\\inpb. An excess of four-jet events is observed with respect to the standard model predictions. In addition, these events exhibit an enhancement in the sum of the two di-jet masses around 105~\\Gcs. The properties of these events are studied and compared to the expectations from standard processes and to pair production hypotheses.

  8. Synthesis and characterization of Ge–Cr-based intermetallic compounds: GeCr3, GeCCr3, and GeNCr3

    International Nuclear Information System (INIS)

    Lin, S.; Tong, P.; Wang, B.S.; Huang, Y.N.; Song, W.H.; Sun, Y.P.

    2014-01-01

    Highlights: • Polycrystalline samples of GeCr 3 , GeCCr 3 , and GeNCr 3 are synthesized by using solid state reaction method. • A good quality of our samples is verified by the Rietveld refinement and electrical transport measurement. • We present a comprehensive understanding of physical properties of GeCr 3 , GeCCr 3 , and GeNCr 3 . -- Abstract: We report the synthesis of GeCr 3 , GeCCr 3 , and GeNCr 3 polycrystalline compounds, and present a systematic study of this series by the measurements of X-ray diffraction (XRD), magnetism, electrical/thermal transport, specific heat, and Hall coefficient. Good quality of our samples is verified by quite small value of residual resistivity and considerably large residual resistivity ratio. Based on the Rietveld refinement of XRD data, the crystallographic parameters are obtained, and, correspondingly, the sketches of crystal structure are plotted for all the samples. The ground states of GeCr 3 , GeCCr 3 , and GeNCr 3 are paramagnetic/antiferromagnetic metal, and even a Fermi-liquid behavior is observed in electrical transport at low temperatures. Furthermore, the analysis of the thermal conductivity data suggests the electron thermal conductivity plays a major role in total thermal conductivity for GeCr 3 at low temperatures, while the phonon thermal conductivity is dominant for GeCCr 3 and GeNCr 3 at high temperatures. The negative value of Seebeck coefficient and Hall coefficient indicate that the charge carriers are electron-type for GeCr 3 , GeCCr 3 , and GeNCr 3

  9. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  10. Structural study of Ge/GaAs thin films

    International Nuclear Information System (INIS)

    Lazarov, V K; Lari, L; Lytvyn, P M; Kholevchuk, V V; Mitin, V F

    2012-01-01

    Ge/GaAs heterostructure research is largely motivated by the application of this material in solar cells, metal-oxide-semiconductor field-effect transistors, mm-wave mixer diodes, temperature sensors and photodetectors. Therefore, understanding of how the properties of Ge/GaAs heterostructure depend on its preparation (growth) is of importance for various high-efficiency devices. In this work, by using thermal Ge evaporation on GaAs(100), we studied structural properties of these films as a function of the deposition rate. Film grains size and morphology show strong dependence of the deposition rate. Low deposition rates results in films with large crystal grains and rough surface. At high deposition rates films become flatter and their crystal grains size decreases, while at very high deposition rates films become amorphous. Cross-sectional TEM of the films show that the Ge films are granular single crystal epitaxially grown on GaAs. The Ge/GaAs interface is atomically abrupt and free from misfit dislocations. Stacking faults along the [111] directions that originate at the interface were also observed. Finally by using the Kelvin probe microscopy we show that work function changes are related to the grain structure of the film.

  11. Surface potential, charging and local current transport of individual Ge quantum dots grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Singha, R.K. [Department of Physics, Visva-Bharati, Santiniketan 731235 (India); Manna, S.; Bar, R.; Das, S. [Department of Physics, Indian Institute of Technology-Kharagpur, Kharagpur 721302 (India); Ray, S.K., E-mail: physkr@phy.iitkgp.ernet.in [Department of Physics, Indian Institute of Technology-Kharagpur, Kharagpur 721302 (India)

    2017-06-15

    Highlights: We have elaborately explained the individual Ge QD charging phenomena and current transport, which is very important to understand the Ge/Si nano devices. This paper will give a flavor to properly understand these phenomena linked together along with the photocurrent mechanism which is related to the Ge/Si valence band offset. • Both the CAFM and KPFM techniques point out the functionality of doping nature of the underneath Si substrate on the aforementioned characteristics of Ge QDs. • Analysis of the surface potential mapping using KPFM technique yields an approximate valence band offset measurement which is required to understand the intra-valence transition of holes for the realization of long wavelength infrared photodetector. • KPFM and CAFM can be utilized to explore the charging/discharging phenomena of dots and their composition variations. • Current-voltage (I–V) characteristics of the individual Ge QD strongly depends on the individual QD size. • Energy band diagrams for diamond tip and Ge QD shows the higher barrier for electrons and lower barrier for holes allowing the easy tunneling for holes to dominate the transport. - Abstract: It is fundamentally important to understand the nanoscale electronic properties of a single quantum dot (QD) contrary to an ensemble of QDs. Kelvin probe force microscopy (KPFM) and conductive atomic force microscopy (CAFM) are two important tools, which could be employed to probe surface potential, charging phenomena, and current transport mechanism of individual QD. We demonstrate the aforementioned characteristics of self-assembled Ge QDs, which was grown on Si substrates by solid source molecular beam epitaxy driven by the Stranski-Krastanov method. Study reveals that each Ge QD acts as charge storage node even at zero applied bias. The shape, size and density of QDs could be well probed by CAFM and KPFM, whereas QD facets could be better resolved by the conductive tip. The CAFM investigation

  12. Study of Z Pair Production and Anomalous Couplings in $e^+ e^-$ Collisions at $\\sqrt{s}$ between 190 GeV and 209 GeV

    CERN Document Server

    Abbiendi, G.; Akesson, P.F.; Alexander, G.; Allison, John; Amaral, P.; Anagnostou, G.; Anderson, K.J.; Arcelli, S.; Asai, S.; Axen, D.; Azuelos, G.; Bailey, I.; Barberio, E.; Barlow, R.J.; Batley, R.J.; Bechtle, P.; Behnke, T.; Bell, Kenneth Watson; Bell, P.J.; Bella, G.; Bellerive, A.; Benelli, G.; Bethke, S.; Biebel, O.; Boeriu, O.; Bock, P.; Boutemeur, M.; Braibant, S.; Brigliadori, L.; Brown, Robert M.; Buesser, K.; Burckhart, H.J.; Campana, S.; Carnegie, R.K.; Caron, B.; Carter, A.A.; Carter, J.R.; Chang, C.Y.; Charlton, David G.; Csilling, A.; Cuffiani, M.; Dado, S.; De Roeck, A.; De Wolf, E.A.; Desch, K.; Dienes, B.; Donkers, M.; Dubbert, J.; Duchovni, E.; Duckeck, G.; Duerdoth, I.P.; Etzion, E.; Fabbri, F.; Feld, L.; Ferrari, P.; Fiedler, F.; Fleck, I.; Ford, M.; Frey, A.; Furtjes, A.; Gagnon, P.; Gary, John William; Gaycken, G.; Geich-Gimbel, C.; Giacomelli, G.; Giacomelli, P.; Giunta, Marina; Goldberg, J.; Gross, E.; Grunhaus, J.; Gruwe, M.; Gunther, P.O.; Gupta, A.; Hajdu, C.; Hamann, M.; Hanson, G.G.; Harder, K.; Harel, A.; Harin-Dirac, M.; Hauschild, M.; Hawkes, C.M.; Hawkings, R.; Hemingway, R.J.; Hensel, C.; Herten, G.; Heuer, R.D.; Hill, J.C.; Hoffman, Kara Dion; Horvath, D.; Igo-Kemenes, P.; Ishii, K.; Jeremie, H.; Jovanovic, P.; Junk, T.R.; Kanaya, N.; Kanzaki, J.; Karapetian, G.; Karlen, D.; Kawagoe, K.; Kawamoto, T.; Keeler, R.K.; Kellogg, R.G.; Kennedy, B.W.; Kim, D.H.; Klein, K.; Klier, A.; Kluth, S.; Kobayashi, T.; Kobel, M.; Komamiya, S.; Kormos, Laura L.; Kramer, T.; Krieger, P.; von Krogh, J.; Kruger, K.; Kuhl, T.; Kupper, M.; Lafferty, G.D.; Landsman, H.; Lanske, D.; Layter, J.G.; Leins, A.; Lellouch, D.; Lettso, J.; Levinson, L.; Lillich, J.; Lloyd, S.L.; Loebinger, F.K.; Lu, J.; Ludwig, J.; Macpherson, A.; Mader, W.; Marcellini, S.; Martin, A.J.; Masetti, G.; Mashimo, T.; Mattig, Peter; McDonald, W.J.; McKenna, J.; McMahon, T.J.; McPherson, R.A.; Meijers, F.; Menges, W.; Merritt, F.S.; Mes, H.; Michelini, A.; Mihara, S.; Mikenberg, G.; Miller, D.J.; Moed, S.; Mohr, W.; Mori, T.; Mutter, A.; Nagai, K.; Nakamura, I.; Nanjo, H.; Neal, H.A.; Nisius, R.; O'Neale, S.W.; Oh, A.; Okpara, A.; Oreglia, M.J.; Orito, S.; Pahl, C.; Pasztor, G.; Pater, J.R.; Patrick, G.N.; Pilcher, J.E.; Pinfold, J.; Plane, David E.; Poli, B.; Polok, J.; Pooth, O.; Przybycien, M.; Quadt, A.; Rabbertz, K.; Rembser, C.; Renkel, P.; Rick, H.; Roney, J.M.; Rosati, S.; Rozen, Y.; Runge, K.; Sachs, K.; Saeki, T.; Sarkisyan, E.K.G.; Schaile, A.D.; Schaile, O.; Scharff-Hansen, P.; Schieck, J.; Schoerner-Sadenius, Thomas; Schroder, Matthias; Schumacher, M.; Schwick, C.; Scott, W.G.; Seuster, R.; Shears, T.G.; Shen, B.C.; Sherwood, P.; Siroli, G.; Skuja, A.; Smith, A.M.; Sobie, R.; Soldner-Rembold, S.; Spano, F.; Stahl, A.; Stephens, K.; Strom, David M.; Strohmer, R.; Tarem, S.; Tasevsky, M.; Taylor, R.J.; Teuscher, R.; Thomson, M.A.; Torrence, E.; Toya, D.; Tran, P.; Trigger, I.; Trocsanyi, Z.; Tsur, E.; Turner-Watson, M.F.; Ueda, I.; Ujvari, B.; Vollmer, C.F.; Vannerem, P.; Vertesi, R.; Verzocchi, M.; Voss, H.; Vossebeld, J.; Waller, D.; Ward, C.P.; Ward, D.R.; Warsinsky, M.; Watkins, P.M.; Watson, A.T.; Watson, N.K.; Wells, P.S.; Wengler, T.; Wermes, N.; Wetterling, D.; Wilson, G.W.; Wilson, J.A.; Wolf, G.; Wyatt, T.R.; Yamashita, S.; Zer-Zion, D.; Zivkovic, Lidija

    2003-01-01

    A study of Z-boson pair production in e+e- annihilation at center-of-mass energies between 190 GeV and 209 GeV is reported. Final states containing only leptons, (l+l-l+l- and l+l-nn), quark and lepton pairs, (qql+l-, qqnn) and only hadrons (qqqq) are considered. In all states with at least one Z boson decaying hadronically, lifetime, lepton and event-shape tags are used to separate bb pairs from qq final state. Limits on anomalous ZZgamma and ZZZ couplings are derived from the measured cross sections and from event kinematics using an optimal observable method. Limits on low scale gravity with large dimensions are derived from the cross sections and their dependence on polar angle.

  13. Z boson pair production in $e^{+}e^{-}$ collisions at $\\sqrt{s}$ = 183 and 189 GeV

    CERN Document Server

    Abbiendi, G.; Akesson, P.F.; Alexander, G.; Allison, John; Anderson, K.J.; Arcelli, S.; Asai, S.; Ashby, S.F.; Axen, D.; Azuelos, G.; Bailey, I.; Ball, A.H.; Barberio, E.; Barlow, Roger J.; Batley, J.R.; Baumann, S.; Behnke, T.; Bell, Kenneth Watson; Bella, G.; Bellerive, A.; Bentvelsen, S.; Bethke, S.; Biebel, O.; Biguzzi, A.; Bloodworth, I.J.; Bock, P.; Bohme, J.; Boeriu, O.; Bonacorsi, D.; Boutemeur, M.; Braibant, S.; Bright-Thomas, P.; Brigliadori, L.; Brown, Robert M.; Burckhart, H.J.; Cammin, J.; Capiluppi, P.; Carnegie, R.K.; Carter, A.A.; Carter, J.R.; Chang, C.Y.; Charlton, David G.; Chrisman, D.; Ciocca, C.; Clarke, P.E.L.; Clay, E.; Cohen, I.; Cooke, O.C.; Couchman, J.; Couyoumtzelis, C.; Coxe, R.L.; Cuffiani, M.; Dado, S.; Dallavalle, G.Marco; Dallison, S.; Davis, R.; de Roeck, A.; Dervan, P.; Desch, K.; Dienes, B.; Dixit, M.S.; Donkers, M.; Dubbert, J.; Duchovni, E.; Duckeck, G.; Duerdoth, I.P.; Estabrooks, P.G.; Etzion, E.; Fabbri, F.; Fanfani, A.; Fanti, M.; Faust, A.A.; Feld, L.; Ferrari, P.; Fiedler, F.; Fierro, M.; Fleck, I.; Frey, A.; Furtjes, A.; Futyan, D.I.; Gagnon, P.; Gary, J.W.; Gaycken, G.; Geich-Gimbel, C.; Giacomelli, G.; Giacomelli, P.; Gingrich, D.M.; Glenzinski, D.; Goldberg, J.; Gorn, W.; Grandi, C.; Graham, K.; Gross, E.; Grunhaus, J.; Gruwe, M.; Gunther, P.O.; Hajdu, C.; Hanson, G.G.; Hansroul, M.; Hapke, M.; Harder, K.; Harel, A.; Hargrove, C.K.; Harin-Dirac, M.; Hauke, A.; Hauschild, M.; Hawkes, C.M.; Hawkings, R.; Hemingway, R.J.; Hensel, C.; Herten, G.; Heuer, R.D.; Hildreth, M.D.; Hill, J.C.; Hobson, P.R.; Hocker, James Andrew; Hoffman, Kara Dion; Homer, R.J.; Honma, A.K.; Horvath, D.; Hossain, K.R.; Howard, R.; Huntemeyer, P.; Igo-Kemenes, P.; Imrie, D.C.; Ishii, K.; Jacob, F.R.; Jawahery, A.; Jeremie, H.; Jimack, M.; Jones, C.R.; Jovanovic, P.; Junk, T.R.; Kanaya, N.; Kanzaki, J.; Karapetian, G.; Karlen, D.; Kartvelishvili, V.; Kawagoe, K.; Kawamoto, T.; Kayal, P.I.; Keeler, R.K.; Kellogg, R.G.; Kennedy, B.W.; Kim, D.H.; Klein, K.; Klier, A.; Kobayashi, T.; Kobel, M.; Kokott, T.P.; Kolrep, M.; Komamiya, S.; Kowalewski, Robert V.; Kress, T.; Krieger, P.; von Krogh, J.; Kuhl, T.; Kupper, M.; Kyberd, P.; Lafferty, G.D.; Landsman, H.; Lanske, D.; Lawson, I.; Layter, J.G.; Leins, A.; Lellouch, D.; Letts, J.; Levinson, L.; Liebisch, R.; Lillich, J.; List, B.; Littlewood, C.; Lloyd, A.W.; Lloyd, S.L.; Loebinger, F.K.; Long, G.D.; Losty, M.J.; Lu, J.; Ludwig, J.; Macchiolo, A.; Macpherson, A.; Mader, W.; Mannelli, M.; Marcellini, S.; Marchant, T.E.; Martin, A.J.; Martin, J.P.; Martinez, G.; Mashimo, T.; Mattig, Peter; McDonald, W.John; McKenna, J.; McMahon, T.J.; McPherson, R.A.; Meijers, F.; Mendez-Lorenzo, P.; Merritt, F.S.; Mes, H.; Meyer, I.; Michelini, A.; Mihara, S.; Mikenberg, G.; Miller, D.J.; Mohr, W.; Montanari, A.; Mori, T.; Nagai, K.; Nakamura, I.; Neal, H.A.; Nisius, R.; O'Neale, S.W.; Oakham, F.G.; Odorici, F.; Ogren, H.O.; Okpara, A.; Oreglia, M.J.; Orito, S.; Pasztor, G.; Pater, J.R.; Patrick, G.N.; Patt, J.; Perez-Ochoa, R.; Pfeifenschneider, P.; Pilcher, J.E.; Pinfold, J.; Plane, David E.; Poli, B.; Polok, J.; Przybycien, M.; Quadt, A.; Rembser, C.; Rick, H.; Robins, S.A.; Rodning, N.; Roney, J.M.; Rosati, S.; Roscoe, K.; Rossi, A.M.; Rozen, Y.; Runge, K.; Runolfsson, O.; Rust, D.R.; Sachs, K.; Saeki, T.; Sahr, O.; Sang, W.M.; Sarkisian, E.K.G.; Sbarra, C.; Schaile, A.D.; Schaile, O.; Scharff-Hansen, P.; Schmitt, S.; Schoning, A.; Schroder, Matthias; Schumacher, M.; Schwick, C.; Scott, W.G.; Seuster, R.; Shears, T.G.; Shen, B.C.; Shepherd-Themistocleous, C.H.; Sherwood, P.; Siroli, G.P.; Skuja, A.; Smith, A.M.; Snow, G.A.; Sobie, R.; Soldner-Rembold, S.; Spagnolo, S.; Sproston, M.; Stahl, A.; Stephens, K.; Stoll, K.; Strom, David M.; Strohmer, R.; Surrow, B.; Talbot, S.D.; Tarem, S.; Taylor, R.J.; Teuscher, R.; Thiergen, M.; Thomas, J.; Thomson, M.A.; Torrence, E.; Towers, S.; Trefzger, T.; Trigger, I.; Trocsanyi, Z.; Tsur, E.; Turner-Watson, M.F.; Ueda, I.; Van Kooten, Rick J.; Vannerem, P.; Verzocchi, M.; Voss, H.; Waller, D.; Ward, C.P.; Ward, D.R.; Watkins, P.M.; Watson, A.T.; Watson, N.K.; Wells, P.S.; Wengler, T.; Wermes, N.; Wetterling, D.; White, J.S.; Wilson, G.W.; Wilson, J.A.; Wyatt, T.R.; Yamashita, S.; Zacek, V.; Zer-Zion, D.

    2000-01-01

    A study of Z boson pair production in e+e- annihilation at center-of-mass energies near 183 GeV and 189 GeV is reported. Final states containing only leptons, (l+l-l+l- and l+l-nu nubar), quark and lepton pairs, (q qbar l+l-, q qbar nu nubar) and the all-hadronic final state (q qbar q qbar) are considered. In all states with at least one Z boson decaying hadronically, q qbar and b bbar final states are considered separately using lifetime and event-shape tags, thereby improving the cross-section measurement. At sqrt(s) = 189 GeV the Z-pair cross section was measured to be 0.80 (+0.14-0.13, stat.) (+0.06-0.05, syst.) pb, consistent with the Standard Model prediction. At sqrt(s) = 183 GeV the 95.L. upper limit is 0.55 pb. Limits on anomalous ZZgamma and ZZZ couplings are derived.

  14. Growth and phase transformations of Ir on Ge(111)

    Science.gov (United States)

    Mullet, C. H.; Stenger, B. H.; Durand, A. M.; Morad, J. A.; Sato, Y.; Poppenheimer, E. C.; Chiang, S.

    2017-12-01

    The growth of Ir on Ge(111) as a function of temperature between 23 °C and 820 °C is characterized with low energy electron microscopy (LEEM), low energy electron diffraction (LEED), scanning tunneling microscopy (STM), and x-ray photoemission spectroscopy (XPS). Deposition onto a substrate at 350 °C revealed a novel growth mode consisting of multilayer Ir islands with (√3 × √3)R30° (abbreviated as √3) structure interconnected by ;bridges; of single-layer Ir several atoms wide. For deposition onto substrates above 500 °C, the √3 Ir phase grows with dendritic morphology, and substrate step bunches act as barriers to √3 Ir growth. LEEM images showed Stranski-Krastanov growth for 650-820 °C: after the √3 phase covers the surface, corresponding to 2 monolayers (ML) Ir coverage, multilayer hexagonal-shaped Ir islands form, surrounded by regions of IrGe alloy. Hexagonal-shaped Ir islands also formed upon heating 1.2 ML of √3 Ir beyond 830 °C, which resulted in the elimination of √3 structure from the surface. The transformation from √3 to (1 × 1) structure upon heating to 830 °C was an irreversible surface phase transition. Annealing > 2.0 ML of Ir in the √3 phase above the 830 °C disorder temperature, followed by cooling, produced a (3 × 1) structure. Subsequent heating and cooling through 830 °C give evidence for a reversible (3 × 1) to (1 × 1) phase transition.

  15. Fractional Quantum Hall States in a Ge Quantum Well.

    Science.gov (United States)

    Mironov, O A; d'Ambrumenil, N; Dobbie, A; Leadley, D R; Suslov, A V; Green, E

    2016-04-29

    Measurements of the Hall and dissipative conductivity of a strained Ge quantum well on a SiGe/(001)Si substrate in the quantum Hall regime are reported. We analyze the results in terms of thermally activated quantum tunneling of carriers from one internal edge state to another across saddle points in the long-range impurity potential. This shows that the gaps for different filling fractions closely follow the dependence predicted by theory. We also find that the estimates of the separation of the edge states at the saddle are in line with the expectations of an electrostatic model in the lowest spin-polarized Landau level (LL), but not in the spin-reversed LL where the density of quasiparticle states is not high enough to accommodate the carriers required.

  16. The fabrication and application of patterned Si(001) substrates with ordered pits via nanosphere lithography

    International Nuclear Information System (INIS)

    Chen Peixuan; Fan Yongliang; Zhong Zhenyang

    2009-01-01

    A new scalable approach has been developed for fabricating large-scale pit patterns with controllable periodicity on Si(001) substrates. The fabrication processes start with self-assembling a monolayer of polystyrene (PS) spheres on hydrogenated Si(001) substrates. A novel net-like mask in combination of the Au pattern thermally evaporated in between the PS spheres and the Au-catalyzed SiO 2 around them is naturally formed. After selective etching of Si by KOH solution, two-dimensionally ordered pits with a periodicity equal to the diameter of the PS spheres in the range from micrometers to less than 100 nm can be obtained. The shape of the pits can be modulated by controlling the chemical etching time. Such pit-patterned Si substrates facilitate the formation of ordered Si-based nanostructures, such as ordered self-assembled GeSi quantum dots, by deposition of Ge using molecular beam epitaxy.

  17. Forbidden energy band gap in diluted a-Ge{sub 1-x}Si{sub x}:N films

    Energy Technology Data Exchange (ETDEWEB)

    Guarneros, C.; Rebollo-Plata, B. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Lozada-Morales, R., E-mail: rlozada@fcfm.buap.mx [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Espinosa-Rosales, J.E. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Portillo-Moreno, J. [Facultad de Ciencias Quimicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Zelaya-Angel, O. [Departamento de Fisica, Centro de Investigacion y de Estudios Avanzados del IPN, PO Box 14-740, Mexico 07360 D.F. (Mexico)

    2012-06-01

    By means of electron gun evaporation Ge{sub 1-x}Si{sub x}:N thin films, in the entire range 0 {<=} x {<=} 1, were prepared on Si (100) and glass substrates. The initial vacuum reached was 6.6 Multiplication-Sign 10{sup -4} Pa, then a pressure of 2.7 Multiplication-Sign 10{sup -2} Pa of high purity N{sub 2} was introduced into the chamber. The deposition time was 4 min. Crucible-substrate distance was 18 cm. X-ray diffraction patterns indicate that all the films were amorphous (a-Ge{sub 1-x}Si{sub x}:N). The nitrogen concentration was of the order of 1 at% for all the films. From optical absorption spectra data and by using the Tauc method the energy band gap (E{sub g}) was calculated. The Raman spectra only reveal the presence of Si-Si, Ge-Ge, and Si-Ge bonds. Nevertheless, infrared spectra demonstrate the existence of Si-N and Ge-N bonds. The forbidden energy band gap (E{sub g}) as a function of x in the entire range 0 {<=} x {<=} 1 shows two well defined regions: 0 {<=} x {<=} 0.67 and 0.67 {<=} x {<=} 1, due to two different behaviors of the band gap, where for x > 0.67 exists an abruptly change of E{sub g}(x). In this case E{sub g}(x) versus x is different to the variation of E{sub g} in a-Ge{sub 1-x}Si{sub x} and a-Ge{sub 1-x}Si{sub x}:H. This fact can be related to the formation of Ge{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} when x {<=} 0.67, and to the formation of Si{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} for 0.67 {<=} x. - Highlights: Black-Right-Pointing-Pointer Nitrogen doped amorphous Ge{sub 1-x}Si{sub x} thin films are grown by electron gun technique. Black-Right-Pointing-Pointer Nitrogen atoms on E{sub g} of the a-Ge{sub 1-x}Si{sub x} films in the 0 Pound-Sign x Pound-Sign 1 range are analyzed. Black-Right-Pointing-Pointer Variation in 0 Pound-Sign x Pound-Sign 1 range shows a warped change of E{sub g} in 1.0 - 3.6 eV range. Black-Right-Pointing-Pointer The change in E{sub g}(x) behavior when x {approx} 0.67 was associated with Ge{sub 2}SiN{sub 4

  18. Templated self-assembly of SiGe quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Dais, Christian

    2009-08-19

    This PhD thesis reports on the fabrication and characterization of exact aligned SiGe quantum dot structures. In general, SiGe quantum dots which nucleate via the Stranski-Krastanov growth mode exhibit broad size dispersion and nucleate randomly on the surface. However, to tap the full potential of SiGe quantum dots it is necessary to control the positioning and size of the dots on a nanometer length, e.g. for electronically addressing of individual dots. This can be realized by so-called templated self-assembly, which combines top-down lithography with bottom-up selfassembly. In this process the lithographically defined pits serve as pre-defined nucleation points for the epitaxially grown quantum dots. In this thesis, extreme ultraviolet interference lithography at a wavelength of e=13.4 nm is employed for prepatterning of the Si substrates. This technique allows the precise and fast fabrication of high-resolution templates with a high degree of reproducibility. The subsequent epitaxial deposition is either performed by molecular beam epitaxy or low-pressure chemical vapour deposition. It is shown that the dot nucleation on pre-patterned substrates depends strongly on the lithography parameters, e.g. size and periodicity of the pits, as well as on the epitaxy parameters, e.g. growth temperature or material coverage. The interrelations are carefully analyzed by means of scanning force microscopy, transmission electron microscopy and X-ray diffraction measurements. Provided that correct template and overgrowth parameters are chosen, perfectly aligned and uniform SiGe quantum dot arrays of different period, size as well as symmetry are created. In particular, the quantum dot arrays with the so far smallest period (35 nm) and smallest size dispersion are fabricated in this thesis. Furthermore, the strain fields of the underlying quantum dots allow the fabrication of vertically aligned quantum dot stacks. Combining lateral and vertical dot alignment results in three

  19. Systematic study of GeSn heterostructure-based light-emitting diodes towards mid-infrared applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Yiyin; Dou, Wei; Pham, Thach; Ghetmiri, Seyed Amir; Mosleh, Aboozar; Alher, Murtadha; Naseem, Hameed; Yu, Shui-Qing [Department of Electrical Engineering, University of Arkansas, Fayetteville, Arkansas 72701 (United States); Du, Wei, E-mail: weidu@uark.edu [Department of Electrical Engineering, University of Arkansas, Fayetteville, Arkansas 72701 (United States); Department of Chemistry and Physics, University of Arkansas at Pine Bluff, Pine Bluff, Arkansas 71601 (United States); Al-Kabi, Sattar [Department of Electrical Engineering, University of Arkansas, Fayetteville, Arkansas 72701 (United States); Department of Physics, Wasit University, Kut 52001 (Iraq); Margetis, Joe; Tolle, John [ASM, 3440 East University Drive, Phoenix, Arizona 85034 (United States); Sun, Greg; Soref, Richard [Department of Engineering, University of Massachusetts Boston, Boston, Massachusetts 02125 (United States); Li, Baohua [Arktonics, LLC, 1339 South Pinnacle Drive, Fayetteville, Arkansas 72701 (United States); Mortazavi, Mansour [Department of Chemistry and Physics, University of Arkansas at Pine Bluff, Pine Bluff, Arkansas 71601 (United States)

    2016-07-14

    Temperature-dependent characteristics of GeSn light-emitting diodes with Sn composition up to 9.2% have been systematically studied. Such diodes were based on Ge/GeSn/Ge double heterostructures (DHS) that were grown directly on a Si substrate via a chemical vapor deposition system. Both photoluminescence and electroluminescence spectra have been characterized at temperatures from 300 to 77 K. Based on our theoretical calculation, all GeSn alloys in this study are indirect bandgap materials. However, due to the small energy separation between direct and indirect bandgap, and the fact that radiative recombination rate greater than non-radiative, the emissions are mainly from the direct Γ-valley to valence band transitions. The electroluminescence emissions under current injection levels from 102 to 357 A/cm{sup 2} were investigated at 300 K. The monotonic increase of the integrated electroluminescence intensity was observed for each sample. Moreover, the electronic band structures of the DHS were discussed. Despite the indirect GeSn bandgap owing to the compressive strain, type-I band alignment was achieved with the barrier heights ranging from 11 to 47 meV.

  20. Commissioning of the 123 MeV injector for 12 GeV CEBAF

    International Nuclear Information System (INIS)

    Wang, Yan; Hofler, Alicia S.; Kazimi, Reza

    2015-09-01

    The upgrade of CEBAF to 12GeV included modifications to the injector portion of the accelerator. These changes included the doubling of the injection energy and relocation of the final transport elements to accommodate changes in the CEBAF recirculation arcs. This paper will describe the design changes and the modelling of the new 12GeV CEBAF injector. Stray magnetic fields have been a known issue for the 6 GeV CEBAF injector, the results of modelling the new 12GeV injector and the resulting changes implemented to mitigate this issue are described in this paper. The results of beam commissioning of the injector are also presented.

  1. Superheating and supercooling of Ge nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Xu, Q; Sharp, I D; Yuan, C W; Yi, D O; Liao, C Y; Glaeser, A M; Minor, A M; Beeman, J W; Ridgway, M C; Kluth, P; Iii, J W Ager; Chrzan, D C; Haller, E E

    2007-01-01

    Free-standing nanocrystals exhibit a size-dependant thermodynamic melting point reduction relative to the bulk melting point that is governed by the surface free energy. The presence of an encapsulating matrix, however, alters the interface free energy of nanocrystals and their thermodynamic melting point can either increase or decrease relative to bulk. Furthermore, kinetic contributions can significantly alter the melting behaviours of embedded nanoscale materials. To study the effect of an encapsulating matrix on the melting behaviour of nanocrystals, we performed in situ electron diffraction measurements on Ge nanocrystals embedded in a silicon dioxide matrix. Ge nanocrystals were formed by multi-energy ion implantation into a 500 nm thick silica thin film on a silicon substrate followed by thermal annealing at 900 deg. C for 1 h. We present results demonstrating that Ge nanocrystals embedded in SiO 2 exhibit a 470 K melting/solidification hysteresis that is approximately symmetric about the bulk melting point. This unique behaviour, which is thought to be impossible for bulk materials, is well described using a classical thermodynamic model that predicts both kinetic supercooling and kinetic superheating. The presence of the silica matrix suppresses surface pre-melting of nanocrystals. Therefore, heterogeneous nucleation of both the liquid phase and the solid phase are required during the heating and cooling cycle. The magnitude of melting hysteresis is governed primarily by the value of the liquid Ge/solid Ge interface free energy, whereas the relative values of the solid Ge/matrix and liquid Ge/matrix interface free energies govern the position of the hysteresis loop in absolute temperature

  2. Isomeric rations study for the α + 70 Ge

    International Nuclear Information System (INIS)

    Hora Villano, M.H. da.

    1984-12-01

    Isomeric ratios for 73 Se F,I produced in the reaction α + 70 Ge with incidence laboratory energy ranging from 8 to 28 MeV, have been measured using off-line γ-ray spectroscopy. Relative formation cross-section for isomeric and ground states were obtained with NAT Ge targets. Compound nucleus statistical analyses were performed using computer codes Alice and Julian. Unlike to Alice code, the Julian code predictions agreed quite well with the experimental results. This agreement may be explained by the inclusion of the γ competition in the deexcitation channels of the compound nucleus and by the correct level density calculation of the emission probabilities in the Julian code. Finally angular momentum populations for isomers formations in the reaction 70 Ge(α, n) 73 have been determined. (author)

  3. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    Science.gov (United States)

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  4. Enhancing crystalline silicon solar cell efficiency with SixGe1-x layers

    Science.gov (United States)

    Ali, Adnan; Cheow, S. L.; Azhari, A. W.; Sopian, K.; Zaidi, Saleem H.

    Crystalline silicon (c-Si) solar cell represents a cost effective, environment-friendly, and proven renewable energy resource. Industrially manufacturing of c-Si solar has now matured in terms of efficiency and cost. Continuing cost-effective efficiency enhancement requires transition towards thinner wafers in near term and thin-films in the long term. Successful implementation of either of these alternatives must address intrinsic optical absorption limitation of Si. Bandgap engineering through integration with SixGe1-x layers offers an attractive, inexpensive option. With the help of PC1D software, role of SixGe1-x layers in conventional c-Si solar cells has been intensively investigated in both wafer and thin film configurations by varying Ge concentration, thickness, and placement. In wafer configuration, increase in Ge concentration leads to enhanced absorption through bandgap broadening with an efficiency enhancement of 8% for Ge concentrations of less than 20%. At higher Ge concentrations, despite enhanced optical absorption, efficiency is reduced due to substantial lowering of open-circuit voltage. In 5-25-μm thickness, thin-film solar cell configurations, efficiency gain in excess of 30% is achievable. Therefore, SixGe1-x based thin-film solar cells with an order of magnitude reduction in costly Si material are ideally-suited both in terms of high efficiency and cost. Recent research has demonstrated significant improvement in epitaxially grown SixGe1-x layers on nanostructured Si substrates, thereby enhancing potential of this approach for next generation of c-Si based photovoltaics.

  5. Influence of Ge addition on the morphology and properties of TiN thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Sandu, C.S.; Sanjines, R.; Benkahoul, M.; Parlinska-Wojtan, M.; Karimi, A.; Levy, F.

    2006-01-01

    Thin films of TM-X-N (TM stands for early transition metal and X = Si, Al, etc.) are used as protective coatings. The most investigated among the ternary composite systems is Ti-Si-N. The system Ti-Ge-N has been chosen to extend the knowledge about the formation of nanocomposite films. Ti-Ge-N thin films were deposited by reactive magnetron sputtering on Si and WC-Co substrates at T s = 240 deg. C, from confocal Ti and Ge targets in mixed Ar/N 2 atmosphere. The nitrogen partial pressure and the power on the Ti target were kept constant, while the power on the Ge target was varied in order to obtain various Ge concentrations in the films. No presence of Ge-N bonds was detected, while X-ray photoelectron spectroscopy measurements revealed the presence of Ti-Ge bonds. Transmission Electron Microscopy investigations have shown important changes induced by Ge addition in the morphology and structure of Ti-Ge-N films. Electron Energy-Loss Spectrometry study revealed a significant increase of Ge content at the grain boundaries. The segregation of Ge atoms to the TiN crystallite surface appears to be responsible for limitation of crystal growth and formation of a TiGe y amorphous phase

  6. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices

    Science.gov (United States)

    Liao, P. H.; Peng, K. P.; Lin, H. C.; George, T.; Li, P. W.

    2018-05-01

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO2/SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5–95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5–4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si1‑x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si1‑x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core ‘building block’ required for the fabrication of Ge-based MOS devices.

  7. Ge/SiGe superlattices for nanostructured thermoelectric modules

    International Nuclear Information System (INIS)

    Chrastina, D.; Cecchi, S.; Hague, J.P.; Frigerio, J.; Samarelli, A.; Ferre–Llin, L.; Paul, D.J.; Müller, E.; Etzelstorfer, T.; Stangl, J.; Isella, G.

    2013-01-01

    Thermoelectrics are presently used in a number of applications for both turning heat into electricity and also for using electricity to produce cooling. Mature Si/SiGe and Ge/SiGe heteroepitaxial growth technology would allow highly efficient thermoelectric materials to be engineered, which would be compatible and integrable with complementary metal oxide silicon micropower circuits used in autonomous systems. A high thermoelectric figure of merit requires that electrical conductivity be maintained while thermal conductivity is reduced; thermoelectric figures of merit can be improved with respect to bulk thermoelectric materials by fabricating low-dimensional structures which enhance the density of states near the Fermi level and through phonon scattering at heterointerfaces. We have grown and characterized Ge-rich Ge/SiGe/Si superlattices for nanofabricated thermoelectric generators. Low-energy plasma-enhanced chemical vapor deposition has been used to obtain nanoscale-heterostructured material which is several microns thick. Crystal quality and strain control have been investigated by means of high resolution X-ray diffraction. High-resolution transmission electron microscopy images confirm the material and interface quality. Electrical conductivity has been characterized by the mobility spectrum technique. - Highlights: ► High-quality Ge/SiGe multiple quantum wells for thermoelectric applications ► Mobility spectra of systems featuring a large number of parallel conduction channels ► Competitive thermoelectric properties measured in single devices

  8. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  9. Structural transition in Ge growth on Si mediated by sub-monolayer carbon

    International Nuclear Information System (INIS)

    Itoh, Yuhki; Hatakeyama, Shinji; Washio, Katsuyoshi

    2014-01-01

    Ge growth on Si mediated by sub-monolayer (ML) carbon (C) covered directly on Si surface was studied. C and Ge layers were grown on Si(100) substrates by using solid-source molecular beam epitaxy system. After Si surface cleaning by heating up to 900 °C, C up to 0.45 ML was deposited and then 10 to 15-nm-thick Ge were deposited. Reflection high energy electron diffraction patterns after sub-ML C deposition changed from streaks to halo depending on C coverage. The Ge dots were formed at low C coverage of 0.08–0.16 ML. Octagonal dots had three same facet planes of (001), (111), and (113) and consisted of the mixture of single crystals with dislocations along [111]. This is due to the event that the incorporation of small amount of C into Si surface gave rise to a strain. As a result, Si surface weaved Si(100) 2 × 1 with Si-C c(4 × 4) and Ge atoms adsorbed selectively on Si(100) 2 × 1 forming dome-shaped dots. A drastic structural transition from dots to films occurred at C coverage of 0.20 ML. The Ge films, consisting of relaxed poly- and amorphous-Ge, formed at C coverage of 0.20–0.45 ML. This is because a large amount of Si-C bonds induced strong compressive strain and surface roughening. In consequence, the growth mode changed from three-dimensional (3D) to 2D due to the reduction of Ge diffusion length. - Highlights: • Ge growth on Si mediated by sub-monolayer (ML) carbon (C) was studied. • Ge dots were formed at low C coverage of 0.08–0.16 ML. • Drastic structural transition from dots to films occurred at C coverage of 0.20 ML. • Ge films consisted of relaxed poly- and amorphous-Ge at C coverage of 0.20–0.45 ML

  10. Charged hadron composition of the final state in e+e- annihilation up to 1.9 GeV/c at E/sub cm/ = 29 GeV

    International Nuclear Information System (INIS)

    Ong, P.P.

    1983-08-01

    The momentum distributions of hadrons produced in e+e - collisions at a center of mass energy of 29 GeV have been measured. The data used in this analysis were collected by the High Resolution Spectrometer (HRS) at the Positron Electron Project (PEP) in the Spring of 1982. The HRS is a general purpose particle detector consisting of a 16.2 kgauss solenoidal magnet, 17 layers of tracking chambers and multiple layers of lead-scintillator shower counters. The data consist of 8,200 hadronic events, corresponding to an integrated luminosity of 19.6 pbarn -1 at E/sub cm/ = 29 GeV. Using the barrel shower counters' time-of-flight (TOF) measurements, charged particles in the momentum range 0.5 - 1.9 GeV/c are separated statistically. Measurements of differential cross sections, d sigma /dp, scaled cross sections, S*(dsigma/dXp), and charged particle fractions are presented and compared with measurements made by the TASSO and the JADE groups

  11. Effect of Ge Content on the Formation of Ge Nanoclusters in Magnetron-Sputtered GeZrOx-Based Structures.

    Science.gov (United States)

    Khomenkova, L; Lehninger, D; Kondratenko, O; Ponomaryov, S; Gudymenko, O; Tsybrii, Z; Yukhymchuk, V; Kladko, V; von Borany, J; Heitmann, J

    2017-12-01

    Ge-rich ZrO 2 films, fabricated by confocal RF magnetron sputtering of pure Ge and ZrO 2 targets in Ar plasma, were studied by multi-angle laser ellipsometry, Raman scattering, Auger electron spectroscopy, Fourier transform infrared spectroscopy, and X-ray diffraction for varied deposition conditions and annealing treatments. It was found that as-deposited films are homogeneous for all Ge contents, thermal treatment stimulated a phase separation and a formation of crystalline Ge and ZrO 2 . The "start point" of this process is in the range of 640-700 °C depending on the Ge content. The higher the Ge content, the lower is the temperature necessary for phase separation, nucleation of Ge nanoclusters, and crystallization. Along with this, the crystallization temperature of the tetragonal ZrO 2 exceeds that of the Ge phase, which results in the formation of Ge crystallites in an amorphous ZrO 2 matrix. The mechanism of phase separation is discussed in detail.

  12. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  13. Dielectric coatings on metal substrates

    International Nuclear Information System (INIS)

    Glaros, S.S.; Baker, P.; Milam, D.

    1976-01-01

    Large aperture, beryllium substrate-based mirrors have been used to focus high intensity pulsed laser beams. Finished surfaces have high reflectivity, low wavefront distortion, and high laser damage thresholds. This paper describes the development of a series of metallic coatings, surface finishing techniques, and dielectric overcoatings to meet specified performance requirements. Beryllium substrates were coated with copper, diamond-machined to within 5 micro-inches to final contour, nickel plated, and abrasively figured to final contour. Bond strengths for several bonding processes are presented. Dielectric overcoatings were deposited on finished multimetallic substrates to increase both reflectivity and the damage thresholds. Coatings were deposited using both high and low temperature processes which induce varying stresses in the finished coating substrate system. Data are presented to show the evolution of wavefront distortion, reflectivity, and damage thresholds throughout the many steps involved in fabrication

  14. Impact of thickness on the structural properties of high tin content GeSn layers

    Science.gov (United States)

    Aubin, J.; Hartmann, J. M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V.

    2017-09-01

    We have grown various thicknesses of GeSn layers in a 200 mm industrial Reduced Pressure - Chemical Vapor Deposition cluster tool using digermane (Ge2H6) and tin tetrachloride (SnCl4). The growth pressure (100 Torr) and the F(Ge2H6)/F(SnCl4) mass-flow ratio were kept constant, and incorporation of tin in the range of 10-15% was achieved with a reduction in temperature: 325 °C for 10% to 301 °C for 15% of Sn. The layers were grown on 2.5 μm thick Ge Strain Relaxed Buffers, themselves on Si(0 0 1) substrates. We used X-ray Diffraction, Atomic Force Microscopy, Raman spectroscopy and Scanning Electron Microscopy to measure the Sn concentration, the strain state, the surface roughness and thickness as a function of growth duration. A dramatic degradation of the film was seen when the Sn concentration and layer thickness were too high resulting in rough/milky surfaces and significant Sn segregation.

  15. Structure of 78Ge from the 76Ge(t,p)78Ge reaction

    International Nuclear Information System (INIS)

    Ardouin, D.; Lebrun, C.; Guilbault, F.; Remaud, B.; Vergnes, M.N.; Rotbard, G.; Kumar, K.

    1978-01-01

    The 76 Ge(t,p) 78 Ge reaction has been performed at a bombarding energy of 17 MeV. Thirteen excited states below 3 MeV excitation are reported with Jsup(π) values obtained by comparison to DWBA analysis. A comparison to a dynamical deformation theory is made and the results suggest 78 Ge is a transitional nucleus nearing spherical shape due to the proximity of the N-50 closed shell

  16. Beneficial defects: exploiting the intrinsic polishing-induced wafer roughness for the catalyst-free growth of Ge in-plane nanowires.

    Science.gov (United States)

    Persichetti, Luca; Sgarlata, Anna; Mori, Stefano; Notarianni, Marco; Cherubini, Valeria; Fanfoni, Massimo; Motta, Nunzio; Balzarotti, Adalberto

    2014-01-01

    We outline a metal-free fabrication route of in-plane Ge nanowires on Ge(001) substrates. By positively exploiting the polishing-induced defects of standard-quality commercial Ge(001) wafers, micrometer-length wires are grown by physical vapor deposition in ultra-high-vacuum environment. The shape of the wires can be tailored by the epitaxial strain induced by subsequent Si deposition, determining a progressive transformation of the wires in SiGe faceted quantum dots. This shape transition is described by finite element simulations of continuous elasticity and gives hints on the equilibrium shape of nanocrystals in the presence of tensile epitaxial strain. 81.07.Gf; 68.35.bg; 68.35.bj; 62.23.Eg.

  17. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  18. Modified Stranski-Krastanov growth in Ge/Si heterostructures via nanostenciled pulsed laser deposition.

    Science.gov (United States)

    MacLeod, J M; Cojocaru, C V; Ratto, F; Harnagea, C; Bernardi, A; Alonso, M I; Rosei, F

    2012-02-17

    The combination of nanostenciling with pulsed laser deposition (PLD) provides a flexible, fast approach for patterning the growth of Ge on Si. Within each stencilled site, the morphological evolution of the Ge structures with deposition follows a modified Stranski-Krastanov (SK) growth mode. By systematically varying the PLD parameters (laser repetition rate and number of pulses) on two different substrate orientations (111 and 100), we have observed corresponding changes in growth morphology, strain and elemental composition using scanning electron microscopy, atomic force microscopy and μ-Raman spectroscopy. The growth behaviour is well predicted within a classical SK scheme, although the Si(100) growth exhibits significant relaxation and ripening with increasing coverage. Other novel aspects of the growth include the increased thickness of the wetting layer and the kinetic control of Si/Ge intermixing via the PLD repetition rate.

  19. Low Thermal Budget Fabrication of III-V Quantum Nanostructures on Si Substrates

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Sanguinetti, S; Koguchi, N; Isella, G; Chrastina, D; Fedorov, A

    2010-01-01

    We show the possibility to integrate high quality III-V quantum nanostructures tunable in shape and emission energy on Si-Ge Virtual Substrate. Strong photoemission is observed, also at room temperature, from two different kind of GaAs quantum nanostructures fabricated on Silicon substrate. Due to the low thermal budget of the procedure used for the fabrication of the active layer, Droplet Epitaxy is to be considered an excellent candidate for implementation of optoelectronic devices on CMOS circuits.

  20. Oxygen transport and GeO2 stability during thermal oxidation of Ge

    Science.gov (United States)

    da Silva, S. R. M.; Rolim, G. K.; Soares, G. V.; Baumvol, I. J. R.; Krug, C.; Miotti, L.; Freire, F. L.; da Costa, M. E. H. M.; Radtke, C.

    2012-05-01

    Oxygen transport during thermal oxidation of Ge and desorption of the formed Ge oxide are investigated. Higher oxidation temperatures and lower oxygen pressures promote GeO desorption. An appreciable fraction of oxidized Ge desorbs during the growth of a GeO2 layer. The interplay between oxygen desorption and incorporation results in the exchange of O originally present in GeO2 by O from the gas phase throughout the oxide layer. This process is mediated by O vacancies generated at the GeO2/Ge interface. The formation of a substoichiometric oxide is shown to have direct relation with the GeO desorption.

  1. A TEM study of strained SiGe/Si and related heteroepitaxial structures

    International Nuclear Information System (INIS)

    Benedetti, Alessandro

    2002-01-01

    The role of SiGe/Si heterostructures and related materials has become increasingly important within the last few decades. In order to increase the scale of integration, however, devices with active elements not larger than few tens of nanometer have been recently introduced. There is, therefore, a strong need for an analytical technique capable of giving information about submicron-sized components. An investigation on a nanometre scale can be performed by the combination of a fully equipped Transmission Electron Microscope (TEM) with a Field Emission Gun (PEG) electron source, which enables one to use a wide range of analytical techniques with an electron probe as small as 0.5 nm. In this work, two different types of SiGe/Si-based devices were investigated. Strained-Si n-channel MOSFETs. The use of Strained-Si n-channel grown on SiGe should improve both carrier mobility and transconductance with respect to conventional MOSFETs. Materials analysed in this work showed an extremely high transconductance but a rather low mobility. In order to relate their microstructural properties to their electrical performance, as well as to improve the device design, a full quantitative and qualitative structural characterisation was performed. SiGe Multiple Quantum Wells (MQW) IR detectors Light detection is achieved by collecting the photogenerated carriers, injected from the SiGe QWs layers into the Si substrate. A key factor is the Ge profile across a single QW, since it governs the band structure and therefore the device performances. Four different TEM techniques were used to determine the Ge distribution across a single well, showing an overall good agreement among the results. The Ge profiles broadening, consistent with data available in literature, was successfully explained and theoretically predicted by the combined effect of Ge segregation and gas dwell times within the reactor. (author)

  2. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    Science.gov (United States)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  3. Ge growth on vicinal si(001) surfaces: island's shape and pair interaction versus miscut angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2011-10-01

    A complete description of Ge growth on vicinal Si(001) surfaces is provided. The distinctive mechanisms of the epitaxial growth process on vicinal surfaces are clarified from the very early stages of Ge deposition to the nucleation of 3D islands. By interpolating high-resolution scanning tunneling microscopy measurements with continuum elasticity modeling, we assess the dependence of island's shape and elastic interaction on the substrate misorientation. Our results confirm that vicinal surfaces offer an additional degree of control over the shape and symmetry of self-assembled nanostructures.

  4. Preparation of high T/sup c/ Nb3Ge superconductors by chemical vapor deposition

    International Nuclear Information System (INIS)

    Newkirk, L.R.; Valencia, F.A.; Wallace, T.C.

    1975-01-01

    Bulk layers of Nb 3 Ge were deposited on copper substrates at 900 0 C by the hydrogen reduction of the chlorides of niobium and germanium with resistive T/sub c/'s as high as 22.5 0 K and current densities up to 1.8 x 10 6 amp cm -2 at 13.8 0 K. A detailed description of the coating process as well as empirical correlations between deposition parameters and T/sub c/ is given. Quantitative chlorination of Nb was found to be possible at T approximately equal to 250 0 C and for T greater than 900 0 C extending the range of delivery rates below those obtainable by powder feeding of NbCl 5 . Coatings in the range of 10 to 60 μm thick have been produced with a typical deposition efficiency of 50 to 65 percent for mass flow rates of the order of 1 g of salt per minute. The superconducting transition temperature has been correlated with a parameter of the form mole ratio x dilution x Reynolds number 0.22, where mole ratio is defined by moles Nb:moles (Ge + O) in the gas stream, and dilution by moles gas:moles salt. In addition, the relationship between mole ratio and dilution which determines the phase produced (Nb 3 Ge or Nb 5 Ge 3 ) is defined over the region of major interest. Lattice spacings are presented over a range of T/sub c/'s, and microstructure and substrate adherence are discussed. (U.S.)

  5. Synthesis and structure of Li{sub 4}GeS{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Matsushita, Y [National Inst. of Materials and Chemical Research, Tsukuba (Japan). High Pressure Lab.; Kanatzidis, M G [Michigan State Univ., East Lansing, MI (United States). Dept. of Chemistry

    1998-01-01

    The compound Li{sub 4}GeS{sub 4} has been prepared as transparent, light yellow moisture-sensitive crystals. Li{sub 4}GeS{sub 4} belongs to the space group Pnma with a = 14.107(6) A, b = 7.770(3) A and c = 6.162(2) A. The crystal structure was solved by direct-methods. The final R and R{sub w}-values are 1.85 and 1.65% for 866 observed reflections. The Li{sub 4}GeS{sub 4} structure has three crystallographically independent lithium sites and one germanium site. The lithium atoms adopt two different coordination types. The Li1 atom is coordinated to five sulfur atoms in a square pyramidal geometry, while the Li2 and Li3 atoms have distorted tetrahedral coordination. The Ge atom is tetrahedrally coordinated by four sulfur atoms and is found as [GeS{sub 4}]{sup 4-} units. The anisotropic three-dimensional crystal structure of Li{sub 4}GeS{sub 4} is described. (orig.) 57 refs.

  6. Phase diagram of the Ge-rich of the Ba–Ge system and characterisation of single-phase BaGe4

    International Nuclear Information System (INIS)

    Prokofieva, Violetta K.; Pavlova, Lydia M.

    2014-01-01

    Highlights: • The Ba-Ge phase diagram for the range 50–100 at.% Ge was constructed. • Single-phase BaGe 4 grown by the Czochralski method was characterised. • A phenomenological model for a liquid-liquid phase transition is proposed. - Abstract: The Ba–Ge binary system has been investigated by several authors, but some uncertainties remain regarding phases with Ba/Ge ⩽ 2. The goal of this work was to resolve the uncertainty about the current phase diagram of Ba–Ge by performing DTA, X-ray powder diffraction, metallographic and chemical analyses, and measurements of the electrical conductivity and viscosity. The experimental Ba–Ge phase diagram over the composition range of 50–100 at.% Ge was constructed from the cooling curves and single-phase BaGe 4 grown by the Czochralski crystal pulling method was characterised. Semiconducting BaGe 4 crystallised peritectically from the liquid phase near the eutectic. In the liquid state, the caloric effects were observed in the DTA curves at 1050 °C where there are no definite phase lines in the Ba–Ge phase diagram. These effects are confirmed by significant changes in the viscosity and electrical conductivity of a Ba–Ge alloy with eutectic composition at this temperature. A phenomenological model based on two different approaches, a phase approach and a chemical approach, is proposed to explain the isothermal liquid–liquid phase transition observed in the Ba–Ge system from the Ge side. Our results suggest that this transition is due to the peritectic reactions in the liquid phase. This reversible phase transition results in the formation of precursors of various metastable clathrate phases and is associated with sudden changes in the structure of Ba–Ge liquid alloys. Characteristics of both first- and second-order phase transitions are observed. Charge transfer appears to play an important role in this transition

  7. Uniaxially stressed Ge:Ga and Ge:Be

    Energy Technology Data Exchange (ETDEWEB)

    Dubon, Jr., Oscar Danilo [Univ. of California, Berkeley, CA (United States)

    1992-12-01

    The application of a large uniaxial stress to p-type Ge single crystals changes the character of both the valence band and the energy levels associated with the acceptors. Changes include the splitting of the fourfold degeneracy of the valence band top and the reduction of the ionization energy of shallow acceptors. In order to study the effect of uniaxial stress on transport properties of photoexcited holes, a variable temperature photo-Hall effect system was built in which stressed Ge:Ga and Ge:Be could be characterized. Results indicate that stress increases the lifetime and Hall mobility of photoexcited holes. These observations may help further the understanding of fundamental physical processes that affect the performance of stressed Ge photoconductors including the capture of holes by shallow acceptors.

  8. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    Science.gov (United States)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  9. Vibrational dynamics and band structure of methyl-terminated Ge(111)

    Energy Technology Data Exchange (ETDEWEB)

    Hund, Zachary M.; Nihill, Kevin J.; Sibener, S. J., E-mail: s-sibener@uchicago.edu [The James Franck Institute and Department of Chemistry, The University of Chicago, 929 E. 57" t" h Street, Chicago, Illinois 60637 (United States); Campi, Davide; Bernasconi, M. [Dipartimento di Scienza dei Materiali, Universita di Milano-Bicocca, Via Cozzi 53, 20125 Milano (Italy); Wong, Keith T.; Lewis, Nathan S. [Division of Chemistry and Chemical Engineering, Beckman Institute and Kavli Nanoscience Institute, California Institute of Technology, 210 Noyes Laboratory, 127-72, Pasadena, California 91125 (United States); Benedek, G. [Dipartimento di Scienza dei Materiali, Universita di Milano-Bicocca, Via Cozzi 53, 20125 Milano (Italy); Donostia International Physics Center (DIPC), Universidad del País Vasco (EHU), 20018 Donostia/San Sebastian (Spain)

    2015-09-28

    A combined synthesis, experiment, and theory approach, using elastic and inelastic helium atom scattering along with ab initio density functional perturbation theory, has been used to investigate the vibrational dynamics and band structure of a recently synthesized organic-functionalized semiconductor interface. Specifically, the thermal properties and lattice dynamics of the underlying Ge(111) semiconductor crystal in the presence of a commensurate (1 × 1) methyl adlayer were defined for atomically flat methylated Ge(111) surfaces. The mean-square atomic displacements were evaluated by analysis of the thermal attenuation of the elastic He diffraction intensities using the Debye-Waller model, revealing an interface with hybrid characteristics. The methyl adlayer vibrational modes are coupled with the Ge(111) substrate, resulting in significantly softer in-plane motion relative to rigid motion in the surface normal. Inelastic helium time-of-flight measurements revealed the excitations of the Rayleigh wave across the surface Brillouin zone, and such measurements were in agreement with the dispersion curves that were produced using density functional perturbation theory. The dispersion relations for H-Ge(111) indicated that a deviation in energy and lineshape for the Rayleigh wave was present along the nearest-neighbor direction. The effects of mass loading, as determined by calculations for CD{sub 3}-Ge(111), as well as by force constants, were less significant than the hybridization between the Rayleigh wave and methyl adlayer librations. The presence of mutually similar hybridization effects for CH{sub 3}-Ge(111) and CH{sub 3}-Si(111) surfaces extends the understanding of the relationship between the vibrational dynamics and the band structure of various semiconductor surfaces that have been functionalized with organic overlayers.

  10. Vibrational dynamics and band structure of methyl-terminated Ge(111)

    International Nuclear Information System (INIS)

    th Street, Chicago, Illinois 60637 (United States))" data-affiliation=" (The James Franck Institute and Department of Chemistry, The University of Chicago, 929 E. 57th Street, Chicago, Illinois 60637 (United States))" >Hund, Zachary M.; th Street, Chicago, Illinois 60637 (United States))" data-affiliation=" (The James Franck Institute and Department of Chemistry, The University of Chicago, 929 E. 57th Street, Chicago, Illinois 60637 (United States))" >Nihill, Kevin J.; th Street, Chicago, Illinois 60637 (United States))" data-affiliation=" (The James Franck Institute and Department of Chemistry, The University of Chicago, 929 E. 57th Street, Chicago, Illinois 60637 (United States))" >Sibener, S. J.; Campi, Davide; Bernasconi, M.; Wong, Keith T.; Lewis, Nathan S.; Benedek, G.

    2015-01-01

    A combined synthesis, experiment, and theory approach, using elastic and inelastic helium atom scattering along with ab initio density functional perturbation theory, has been used to investigate the vibrational dynamics and band structure of a recently synthesized organic-functionalized semiconductor interface. Specifically, the thermal properties and lattice dynamics of the underlying Ge(111) semiconductor crystal in the presence of a commensurate (1 × 1) methyl adlayer were defined for atomically flat methylated Ge(111) surfaces. The mean-square atomic displacements were evaluated by analysis of the thermal attenuation of the elastic He diffraction intensities using the Debye-Waller model, revealing an interface with hybrid characteristics. The methyl adlayer vibrational modes are coupled with the Ge(111) substrate, resulting in significantly softer in-plane motion relative to rigid motion in the surface normal. Inelastic helium time-of-flight measurements revealed the excitations of the Rayleigh wave across the surface Brillouin zone, and such measurements were in agreement with the dispersion curves that were produced using density functional perturbation theory. The dispersion relations for H-Ge(111) indicated that a deviation in energy and lineshape for the Rayleigh wave was present along the nearest-neighbor direction. The effects of mass loading, as determined by calculations for CD 3 -Ge(111), as well as by force constants, were less significant than the hybridization between the Rayleigh wave and methyl adlayer librations. The presence of mutually similar hybridization effects for CH 3 -Ge(111) and CH 3 -Si(111) surfaces extends the understanding of the relationship between the vibrational dynamics and the band structure of various semiconductor surfaces that have been functionalized with organic overlayers

  11. Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    D' Costa, Vijay Richard, E-mail: vdcosta@asu.edu; Wang, Wei; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore)

    2016-08-14

    We investigated the compositional dependence of the near-bandgap dielectric function and the E{sub 0} critical point in pseudomorphic Ge{sub 1-x}Sn{sub x} alloys grown on Ge (100) substrate by molecular beam epitaxy. The complex dielectric functions were obtained using spectroscopic ellipsometry from 0.5 to 4.5 eV at room temperature. Analogous to the E{sub 1} and E{sub 1}+Δ{sub 1} transitions, a model consisting of the compositional dependence of relaxed alloys along with the strain contribution predicted by the deformation potential theory fully accounts for the observed compositional dependence in pseudomorphic alloys.

  12. Ge-Au eutectic bonding of Ge (100) single crystals

    International Nuclear Information System (INIS)

    Knowlton, W.B.; Beeman, J.W.; Emes, J.H.; Loretto, D.; Itoh, K.M.; Haller, E.E.

    1993-01-01

    The author present preliminary results on the eutectic bonding between two (100) Ge single crystal surfaces using thin films of Au ranging from 900 angstrom/surface to 300 angstrom/surface and Pd (10% the thickness of Au). Following bonding, plan view optical microscopy (OM) of the cleaved interface of samples with Au thicknesses ≤ 500 angstrom/surface show a eutectic morphology more conducive to phonon transmission through the bond interface. High resolution transmission electron microscopy (HRTEM) cross sectional interface studies of a 300 angstrom/surface Au sample show epitaxial growth of Ge. In sections of the bond, lattice continuity of the Ge is apparent through the interface. TEM studies also reveal heteroepitaxial growth of Au with a Au-Ge lattice mismatch of less than 2%. Eutectic bonds with 200 angstrom/surface Au have been attained with characterization pending. An optical polishing technique for Ge has been optimized to insure intimate contact between the Ge surfaces prior to bonding. Interferometry analysis of the optically polished Ge surface shows that surface height fluctuations lie within ±150 angstrom across an interval of lmm. Characterization of phonon transmission through the interface is discussed with respect to low temperature detection of ballistic phonons

  13. Energy levels of germanium, Ge I through Ge XXXII

    International Nuclear Information System (INIS)

    Sugar, J.; Musgrove, A.

    1993-01-01

    Atomic energy levels of germanium have been compiled for all stages of ionization for which experimental data are available. No data have yet been published for Ge VIII through Ge XIII and Ge XXXII. Very accurate calculated values are compiled for Ge XXXI and XXXII. Experimental g-factors and leading percentages from calculated eigenvectors of levels are given. A value for the ionization energy, either experimental when available or theoretical, is included for the neutral atom and each ion. section

  14. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  15. Investigations of rapid thermal annealing induced structural evolution of ZnO: Ge nanocomposite thin films via GISAXS

    Energy Technology Data Exchange (ETDEWEB)

    Ceylan, Abdullah, E-mail: aceylanabd@yahoo.com [Department of Physics Eng., Hacettepe University, Beytepe, 06800 Ankara (Turkey); Ozcan, Yusuf [Department of Electricity and Energy, Pamukkale University, Denizli (Turkey); Orujalipoor, Ilghar [Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey); Huang, Yen-Chih; Jeng, U-Ser [National Synchrotron Radiation Research Center, 101 Hsin-Ann Road, Hsinchu Science Park, Hsinchu, Taiwan (China); Ide, Semra [Department of Physics Eng., Hacettepe University, Beytepe, 06800 Ankara (Turkey); Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey)

    2016-06-07

    In this work, we present in depth structural investigations of nanocomposite ZnO: Ge thin films by utilizing a state of the art grazing incidence small angle x-ray spectroscopy (GISAXS) technique. The samples have been deposited by sequential r.f. and d.c. sputtering of ZnO and Ge thin film layers, respectively, on single crystal Si(100) substrates. Transformation of Ge layers into Ge nanoparticles (Ge-np) has been initiated by ex-situ rapid thermal annealing of asprepared thin film samples at 600 °C for 30, 60, and 90 s under forming gas atmosphere. A special attention has been paid on the effects of reactive and nonreactive growth of ZnO layers on the structural evolution of Ge-np. GISAXS analyses have been performed via cylindrical and spherical form factor calculations for different nanostructure types. Variations of the size, shape, and distributions of both ZnO and Ge nanostructures have been determined. It has been realized that GISAXS results are not only remarkably consistent with the electron microscopy observations but also provide additional information on the large scale size and shape distribution of the nanostructured components.

  16. Magnetic characteristics and nanostructures of FePt granular films with GeO2 segregant

    Science.gov (United States)

    Ono, Takuya; Moriya, Tomohiro; Hatayama, Masatoshi; Tsumura, Kaoru; Kikuchi, Nobuaki; Okamoto, Satoshi; Kitakami, Osamu; Shimatsu, Takehito

    2017-01-01

    To realize a granular film composed of L10-FePt grains with high uniaxial magnetic anisotropy energy, Ku, and segregants for energy-assisted magnetic recording, a FePt-GeO2/FePt-C stacked film was investigated in the engineering process. The FePt-GeO2/FePt-C stacked film fabricated at a substrate temperature of 450 °C realized uniaxial magnetic anisotropy, Kugrain , of about 2.5 × 107 erg/cm3, which is normalized by the volume fraction of FePt grains, and a granular structure with an averaged grain size of 7.7 nm. As the thickness of the FePt-GeO2 upper layer was increased to 9 nm, the Ku values were almost constant. That result differs absolutely from the thickness dependences of the other oxide segregant materials such as SiO2 and TiO2. Such differences on the oxide segregant are attributed to their chemical bond. The strong covalent bond of GeO2 is expected to result in high Ku of the FePt-GeO2/FePt-C stacked films.

  17. Evidence for a narrow peak in $K0_{S}\\pi \\pm \\pi^{+}pi^{-}$ at 2.6 GeV in 12 GeV/c $\\overline{p}$p interactions

    CERN Document Server

    Apostolakis, Alcibiades J; Caso, Carlo; Goldschmidt-Clermont, Yves; Pape, L; Porte, J P; Stergiou, Athanase; Tallini, Bruno; Vasileiadis, G; Wenninger, Horst; Grard, G; Henri, V P; Herquet, P; Kesteman, J; Banerjee, S; Barnham, Keith W J; Beuselinck, R; Butterworth, Ian; Campbell, J Ronald; Chaff, J A; Mermikides, Michael E; Miller, D B; Bertrand, D; Johnson, D; Lemonne, J; Renton, P B; Wickens, J H; Van den Bogaert, F; Daugeras, B Y; Jacholkowska, A

    1977-01-01

    The authors report the evidence for a narrow charged peak (5.5 s.d.), which they suggest calling the I, in the 6-prong-V/sup 0/ topology of pp interactions at 12 GeV/c. The mass, width and the product of cross section sigma /sub I/ times the branching ratio BR into the final state (K/sub s//sup 0/ pi /sup +or-/ pi /sup +/ pi /sup -/) are found to be: M/sub I/=2.60+or-0.01 GeV/c/sup 2/, Gamma /sub I/GeV /c/sup 2/, sigma /sub I/.BR approximately=20 mu barn. (5 refs).

  18. Growth and characterization of polycrystalline Ge{sub 1-x}C{sub x} by reactive pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez, M.P., E-mail: mayrap@fisica.uh.cu [Instituto de Ciencia y Tecnologia de Materiales, Zapata y G, P.O. Box 10400, Universidad de La Habana (Cuba); Farias, M.H.; Castillon, F.F.; Diaz, Jesus A.; Avalos, M. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Km 107 Carretera Tijuana-Ensenada, C.P. 22800 Ensenada, Baja California (Mexico); Ulloa, L. [Universidad de Guadalajara, (CUCEI) Blvd. Marcelino Garcia Barragan 1421, C.P. 44430 Guadalajara, Jalisco (Mexico); Gallegos, J.A.; Yee-Madeiros, H. [Escuela Superior de Fisica y Matematicas-IPN, UP' ALM' , Colonia Lindavista 07738 (Mexico)

    2011-03-15

    Polycrystalline thin films of Ge-C were grown on Si (1 1 1) substrates by means of reactive pulsed laser deposition with methane pressure of 100 mTorr. Effect substrate temperature, T{sub s}, on C incorporation to substitutional sites (x) in Ge{sub 1-x}C{sub x} was investigated systematically by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) analyzes. The substrate temperatures were ranging from 250 to 400 deg. C. The substitutional C composition x in the films by XRD were estimated using the Vegard's linear law. The maximum value of x calculated by XRD was 0.032 for T{sub s} of 350 deg. C. The position of the C 1s peak at 283.4 eV in the XPS spectrum confirmed the germanium-carbon alloys. XRD measurements indicated that x increased with T{sub s} from 250 deg. C to 350 deg. C. At T{sub s} = 400 deg. C, the estimation of x was lowered. However, the C content calculated by XPS analyzes increased with T{sub s} being more these values than substitutional C composition x. XPS and XRD analyzes demonstrate that the remaining C atoms are incorporated to interstitial sites. The use of the T{sub s} plays important roles in the incorporation of substitutional C and in restraining C-cluster formation in the reactive pulsed laser deposition growth of Ge-C/Si.

  19. Structural and electrical properties of Ge-on-Si(0 0 1) layers with ultra heavy n-type doping grown by MBE

    Science.gov (United States)

    Yurasov, D. V.; Antonov, A. V.; Drozdov, M. N.; Yunin, P. A.; Andreev, B. A.; Bushuykin, P. A.; Baydakova, N. A.; Novikov, A. V.

    2018-06-01

    In this paper we report about the formation of ultra heavy doped n-Ge layers on Si(0 0 1) substrates by molecular beam epitaxy and their characterization by different independent techniques. Combined study of structural and electrical properties of fabricated layers using secondary ion mass spectroscopy, X-ray diffraction, Hall effect and reflection measurements was carried out and it has revealed the achievable charge carrier densities exceeding 1020 cm-3 without deterioration of crystalline quality of such doped layers. It was also shown that X-ray analysis can be used as a fast, reliable and non-destructive method for evaluation of the electrically active Sb concentration in heavy doped Ge layers. The appropriate set of doping density allowed to adjust the plasmonic resonance position in Ge:Sb layers in a rather wide range reaching the wavelength of 3.6 μm for the highest doping concentration. Room temperature photoluminescence confirmed the high crystalline quality of such doped layers. Our results indicated the attainability of high electron concentration in Ge:Sb layers grown on Si substrates without crystalline quality deterioration which may find potential applications in the fields of Si-based photonics and mid-IR plasmonics.

  20. Effect of substrate baking temperature on zinc sulfide and germanium thin films optical parameters

    Science.gov (United States)

    Liu, Fang; Gao, Jiaobo; Yang, Chongmin; Zhang, Jianfu; Liu, Yongqiang; Liu, Qinglong; Wang, Songlin; Mi, Gaoyuan; Wang, Huina

    2016-10-01

    ZnS and Ge are very normal optical thin film materials in Infrared wave. Studying the influence of different substrate baking temperature to refractive index and actual deposition rates is very important to promote optical thin film quality. In the same vacuum level, monitoring thickness and evaporation rate, we use hot evaporation to deposit ZnS thin film materials and use ion-assisted electron beam to deposit Ge thin film materials with different baking temperature. We measure the spectral transmittance with the spectrophotometer and calculate the actual deposition rates and the refractive index in different temperature. With the higher and higher temperature in a particular range, ZnS and Ge refractive index become higher and actual deposition rates become smaller. The refractive index of Ge film material change with baking temperature is more sensitive than ZnS. However, ZnS film actual deposition rates change with baking temperature is more sensitive than Ge.

  1. On Some Physical Properties of GeSe3-Sb2Se3-ZnSe Thin Films and Their Radiation Response

    International Nuclear Information System (INIS)

    Hosni, H.M.M.A.

    2010-01-01

    Thin films of the chalcogenides GeSe 3 , Sb 2 Se 3 , ZnSe, (GeSe 3 )80(Sb 2 Se 3 )20 and (GeSe 3 )70(Sb 2 Se 3 )10(ZnSe)20, are prepared by thermal evaporation onto glass substrates. The effect of ZnSe incorporation with both GeSe 3 , Sb 2 Se 3 results in amorphous (GeSe 3 )70(Sb 2 Se 3 )10(ZnSe)20 composition as obtained from the X-ray analysis. Electrical measurements reveal a decrease in dc activation energy, ΔEdc, and an increase in ac activation energy, ΔEac, for (GeSe 3 )70(Sb 2 Se 3 )10(ZnSe)20 as compared with (GeSe 3 )80(Sb 2 Se 3 )20. Optical energy gap, Eg, and band tail width, Ee, are estimated in UV/VIS spectral region for fresh and γ-irradiated films, revealing a decrease in Eg and an increase in Ee for ZnSe and (GeSe 3 )70(Sb 2 Se 3 )10(ZnSe)20 compositions, with irradiation dose.

  2. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  3. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  4. Bottomonium and Drell-Yan production in p-A collisions at 450 GeV

    CERN Document Server

    Alessandro, B.; Arnaldi, R.; Atayan, M.; Beole, S.; Boldea, V.; Bordalo, P.; Borges, G.; Castor, J.; Chaurand, B.; Cheynis, B.; Chiavassa, E.; Cicalo, C.; Comets, M.P.; Constantinescu, S.; Cortese, P.; De Falco, A.; De Marco, N.; Dellacasa, G.; Devaux, A.; Dita, S.; Fargeix, J.; Force, P.; Gallio, M.; Gerschel, C.; Giubellino, P.; Golubeva, M.B.; Grigoryan, A.; Grossiord, J.Y.; Guber, F.F.; Guichard, A.; Gulkanyan, H.; Idzik, M.; Jouan, D.; Karavicheva, T.L.; Kluberg, L.; Kurepin, A.B.; Le Bornec, Y.; Lourenco, C.; MacCormick, M.; Marzari-Chiesa, A.; Masera, M.; Masoni, A.; Monteno, M.; Musso, A.; Petiau, P.; Piccotti, A.; Pizzi, J.R.; Prino, F.; Puddu, G.; Quintans, C.; Ramello, L.; Ramos, S.; Riccati, L.; Santos, H.; Saturnini, P.; Scomparin, E.; Serci, S.; Shahoyan, R.; Sitta, M.; Sonderegger, P.; Tarrago, X.; Topilskaya, N.S.; Usai, G.L.; Vercellin, E.; Willis, N.

    2006-01-01

    The NA50 Collaboration has measured heavy-quarkonium production in p-A collisions at 450 GeV incident energy (sqrt(s) = 29.1 GeV). We report here results on the production of the Upsilon states and of high-mass Drell-Yan muon pairs (m > 6 GeV). The cross-section at midrapidity and the A-dependence of the measured yields are determined and compared with the results of other fixed-target experiments and with the available theoretical estimates. Finally, we also address some issues concerning the transverse momentum distributions of the measured dimuons.

  5. Memory characteristics and tunneling mechanism of Ag nanocrystal embedded HfAlOx films on Si83Ge17/Si substrate

    International Nuclear Information System (INIS)

    Qiu, X.Y.; Zhou, G.D.; Li, J.; Chen, Y.; Wang, X.H.; Dai, J.Y.

    2014-01-01

    A nano-floating gate memory capacitor consisting of a stack of 3 nm-thick HfAlO x tunneling layer, self-organized Ag nanocrystals (NCs), and a 6 nm-thick HfAlO x control layer, has been fabricated on compressively strained p-type Si 83 Ge 17 /Si(100) substrates by radio-frequency magnetron sputtering. The Ag-NCs with a size of 5–8 nm and a density of 5.7 × 10 12 /cm 2 are well dispersed in the amorphous HfAlO x matrix. Counterclockwise hysteresis capacitance–voltage curve with a memory window of ∼ 2 V, corresponding to a charge storage density of about 1.3 × 10 13 electrons/cm 2 , is observed in this memory capacitor. The accumulation capacitance of this memory capacitor has no obvious decrease during electrical stressing process within a period of 10 4 s, but the memory window gradually becomes narrower, and only 54% stored charges are retained in the Ag-NCs after 10 5 s stressing. Defect-enhanced Poole–Frenkel tunneling is found to be responsible for the degradation of memory properties. - Highlights: • Dispersed Ag nanocrystals act as memory nodes. • Realize a 2 V memory window • Illustrate the memory degradation process • Identify a defect-enhanced tunneling mechanism

  6. Search for Charged Higgs Bosons in $e^{+} e^{-}$ Collisions at $\\sqrt{s}$=189-202 GeV

    CERN Document Server

    Abdallah, J.; Adam, W.; Adye, T.; Adzic, P.; Albrecht, Z.; Alderweireld, T.; Alekseev, G.D.; Alemany, R.; Allmendinger, T.; Allport, P.P.; Almehed, S.; Amaldi, U.; Amapane, N.; Amato, S.; Anashkin, E.; Anassontzis, E.G.; Andersson, P.; Andreazza, A.; Andringa, S.; Anjos, N.; Antilogus, P.; Apel, W.D.; Arnoud, Y.; Asman, B.; Augustin, J.E.; Augustinus, A.; Baillon, P.; Ballestrero, A.; Bambade, P.; Barao, F.; Barbiellini, G.; Barbier, R.; Bardin, D.Yu.; Barker, G.J.; Baroncelli, A.; Battaglia, M.; Baubillier, M.; Becks, K.H.; Begalli, M.; Behrmann, A.; Bellunato, T.; Belokopytov, Yu.; Belous, K.; Benekos, N.C.; Benvenuti, A.C.; Berat, C.; Berggren, M.; Berntzon, L.; Bertrand, D.; Besancon, M.; Besson, N.; Bilenky, Mikhail S.; Bloch, D.; Blom, H.M.; Bol, L.; Bonesini, M.; Boonekamp, M.; Booth, P.S.L.; Borisov, G.; Bosio, C.; Botner, O.; Boudinov, E.; Bouquet, B.; Bowcock, T.J.V.; Boyko, I.; Bozovic, I.; Bozzo, M.; Bracko, M.; Branchini, P.; Brenner, R.A.; Brodet, E.; Bruckman, P.; Brunet, J.M.; Bugge, L.; Buschmann, P.; Caccia, M.; Calvi, M.; Camporesi, T.; Canale, V.; Carena, F.; Carroll, L.; Caso, C.; Castillo Gimenez, M.V.; Cattai, A.; Cavallo, F.R.; Chapkin, M.; Charpentier, P.; Checchia, P.; Chelkov, G.A.; Chierici, R.; Shlyapnikov, P.; Chochula, P.; Chorowicz, V.; Chudoba, J.; Cieslik, K.; Collins, P.; Contri, R.; Cortina, E.; Cosme, G.; Cossutti, F.; Costa, M.; Crawley, H.B.; Crennell, D.; Croix, J.; Cuevas Maestro, J.; Czellar, S.; D'Hondt, J.; Dalmau, J.; Davenport, M.; Da Silva, W.; Della Ricca, G.; Delpierre, P.; Demaria, N.; De Angelis, A.; De Boer, W.; De Clercq, C.; De Lotto, B.; De Min, A.; De Paula, L.; Dijkstra, H.; Di Ciaccio, L.; Doroba, K.; Dracos, M.; Drees, J.; Dris, M.; Eigen, G.; Ekelof, T.; Ellert, M.; Elsing, M.; Engel, J.P.; Espirito Santo, M.C.; Fanourakis, G.; Fassouliotis, D.; Feindt, M.; Fernandez, J.; Ferrer, A.; Ferrer-Ribas, E.; Ferro, F.; Firestone, A.; Flagmeyer, U.; Foeth, H.; Fokitis, E.; Fontanelli, F.; Franek, B.; Frodesen, A.G.; Fruhwirth, R.; Fulda-Quenzer, F.; Fuster, J.; Gamba, D.; Gamblin, S.; Gandelman, M.; Garcia, C.; Gaspar, C.; Gaspar, M.; Gasparini, U.; Gavillet, P.; Gazis, Evangelos; Gele, D.; Geralis, T.; Ghodbane, N.; Gil Botella, Ines; Glege, F.; Gokieli, R.; Golob, B.; Gomez-Ceballos, G.; Goncalves, P.; Gonzalez Caballero, I.; Gopal, G.; Gorn, L.; Guz, Yu.; Gracco, V.; Grahl, J.; Graziani, E.; Grosdidier, G.; Grzelak, K.; Guy, J.; Haag, C.; Hahn, F.; Hahn, S.; Haider, S.; Hallgren, A.; Hamacher, K.; Hamilton, K.; Hansen, J.; Harris, F.J.; Haug, S.; Hauler, F.; Hedberg, V.; Heising, S.; Hernandez, J.J.; Herquet, P.; Herr, H.; Hertz, O.; Higon, E.; Holmgren, S.O.; Holt, P.J.; Hoorelbeke, S.; Houlden, M.; Hrubec, J.; Hughes, G.J.; Hultqvist, K.; Jackson, John Neil; Jacobsson, R.; Jalocha, P.; Jarlskog, C.; Jarlskog, G.; Jarry, P.; Jean-Marie, B.; Jeans, D.; Johansson, Erik Karl; Jonsson, P.; Joram, C.; Juillot, P.; Jungermann, L.; Kapusta, Frederic; Karafasoulis, K.; Katsanevas, S.; Katsoufis, E.C.; Keranen, R.; Kernel, G.; Kersevan, B.P.; Khokhlov, Yu.A.; Khomenko, B.A.; Khovansky, N.N.; Kiiskinen, A.; King, B.; Kinvig, A.; Kjaer, N.J.; Klapp, O.; Kluit, P.; Kokkinias, P.; Kostyukhin, V.; Kourkoumelis, C.; Kuznetsov, O.; Krammer, M.; Kriznic, E.; Krumshtein, Z.; Kubinec, P.; Kucharczyk, M.; Kurowska, J.; Lamsa, J.W.; Laugier, J.P.; Leder, G.; Ledroit, Fabienne; Leinonen, L.; Leisos, A.; Leitner, R.; Lemonne, J.; Lenzen, G.; Lepeltier, V.; Lesiak, T.; Lethuillier, M.; Libby, J.; Liebig, W.; Liko, D.; Lipniacka, A.; Lippi, I.; Loken, J.G.; Lopes, J.H.; Lopez, J.M.; Lopez-Fernandez, R.; Loukas, D.; Lutz, P.; Lyons, L.; MacNaughton, J.; Mahon, J.R.; Maio, A.; Malek, A.; Maltezos, S.; Malychev, V.; Mandl, F.; Marco, J.; Marco, R.; Marechal, B.; Margoni, M.; Marin, J.C.; Mariotti, C.; Markou, A.; Martinez-Rivero, C.; Marti i Garcia, S.; Masik, J.; Mastroyiannopoulos, N.; Matorras, F.; Matteuzzi, C.; Matthiae, G.; Mazzucato, F.; Mazzucato, M.; McCubbin, M.; McKay, R.; McNulty, R.; Merle, E.; Meroni, C.; Meyer, W.T.; Myagkov, A.; Migliore, E.; Mirabito, L.; Mitaroff, W.A.; Mjornmark, U.; Moa, T.; Moch, M.; Monig, Klaus; Monge, M.R.; Montenegro, J.; Moraes, D.; Morettini, P.; Morton, G.; Muller, U.; Munich, K.; Mulders, M.; Mundim, L.M.; Murray, W.J.; Muryn, B.; Myatt, G.; Myklebust, T.; Nassiakou, M.; Navarria, F.L.; Nawrocki, K.; Negri, P.; Nemecek, S.; Neufeld, N.; Nicolaidou, R.; Niezurawski, P.; Nikolenko, M.; Nomokonov, V.; Nygren, A.; Obraztsov, V.; Olshevsky, A.G.; Onofre, A.; Orava, R.; Osterberg, K.; Ouraou, A.; Oyanguren, A.; Paganoni, M.; Paiano, S.; Pain, R.; Paiva, R.; Palacios, J.; Palka, H.; Papadopoulou, T.D.; Pape, L.; Parkes, C.; Parodi, F.; Parzefall, U.; Passeri, A.; Passon, O.; Peralta, L.; Perepelitsa, V.; Pernicka, M.; Perrotta, A.; Petridou, C.; Petrolini, A.; Phillips, H.T.; Pierre, F.; Pimenta, M.; Piotto, E.; Podobnik, T.; Poireau, V.; Pol, M.E.; Polok, G.; Poropat, P.; Pozdnyakov, V.; Privitera, P.; Pukhaeva, N.; Pullia, A.; Radojicic, D.; Ragazzi, S.; Rahmani, H.; Ratoff, P.N.; Read, Alexander L.; Rebecchi, P.; Redaelli, Nicola Giuseppe; Regler, M.; Rehn, J.; Reid, D.; Reinhardt, R.; Renton, P.B.; Resvanis, L.K.; Richard, F.; Ridky, J.; Rinaudo, G.; Ripp-Baudot, Isabelle; Romero, A.; Ronchese, P.; Rosenberg, E.I.; Rosinsky, P.; Rovelli, T.; Ruhlmann-Kleider, V.; Ruiz, A.; Saarikko, H.; Sacquin, Y.; Sadovsky, A.; Sajot, G.; Salmi, L.; Salt, J.; Sampsonidis, D.; Sannino, M.; Savoy-Navarro, A.; Schwanda, C.; Schwemling, P.; Schwering, B.; Schwickerath, U.; Scuri, Fabrizio; Seager, P.; Sedykh, Yu.; Segar, A.M.; Sekulin, R.; Sette, G.; Shellard, R.C.; Siebel, M.; Simard, L.; Simonetto, F.; Sisakian, A.N.; Smadja, G.; Smirnova, O.; Smith, G.R.; Sokolov, A.; Solovianov, O.; Sopczak, A.; Sosnowski, R.; Spassoff, T.; Spiriti, E.; Squarcia, S.; Stanescu, C.; Stanitzki, M.; Stocchi, A.; Strauss, J.; Strub, R.; Stugu, B.; Szczekowski, M.; Szeptycka, M.; Tabarelli, T.; Taffard, A.; Tegenfeldt, F.; Terranova, F.; Timmermans, Jan; Tinti, N.; Tkachev, L.G.; Tobin, M.; Todorova, S.; Tome, B.; Tonazzo, A.; Tortora, L.; Tortosa, P.; Treille, D.; Tristram, G.; Trochimczuk, M.; Troncon, C.; Turluer, M.L.; Tyapkin, I.A.; Tyapkin, P.; Tzamarias, S.; Ullaland, O.; Uvarov, V.; Valenti, G.; Vallazza, E.; Vander Velde, C.; Van Dam, Piet; Van Den Boeck, W.; Van Doninck, Walter; Van Eldik, J.; Van Lysebetten, A.; Van Remortel, N.; Van Vulpen, I.; Vegni, G.; Ventura, L.; Venus, W.; Verbeure, F.; Verdier, P.; Verlato, M.; Vertogradov, L.S.; Verzi, V.; Vilanova, D.; Vitale, L.; Vlasov, E.; Vodopianov, A.S.; Voulgaris, G.; Vrba, V.; Wahlen, H.; Washbrook, A.J.; Weiser, C.; Wicke, D.; Wickens, J.H.; Wilkinson, G.R.; Winter, M.; Witek, M.; Wolf, G.; Yi, J.; Yushchenko, O.; Zalewska, A.; Zalewski, P.; Zavrtanik, D.; Zevgolatakos, E.; Zimine, N.I.; Zinchenko, A.; Zoller, P.; Zumerle, G.; Zupan, M.

    2002-01-01

    A search for pair-produced charged Higgs bosons was performed in the high energy data collected by the DELPHI detector at LEP II at centre-of-mass energies from 189~GeV to 202~GeV\\@. The three different final states, $\\tau \

  7. Germanium nitride and oxynitride films for surface passivation of Ge radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Maggioni, G., E-mail: maggioni@lnl.infn.it [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Carturan, S. [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Fiorese, L. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali, Università di Trento, Via Mesiano 77, I-38050 Povo, Trento (Italy); Pinto, N.; Caproli, F. [Scuola di Scienze e Tecnologie, Sezione di Fisica, Università di Camerino, Via Madonna delle Carceri 9, Camerino (Italy); INFN, Sezione di Perugia, Perugia (Italy); Napoli, D.R. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Giarola, M.; Mariotto, G. [Dipartimento di Informatica—Università di Verona, Strada le Grazie 15, I-37134 Verona (Italy)

    2017-01-30

    Highlights: • A surface passivation method for HPGe radiation detectors is proposed. • Highly insulating GeNx- and GeOxNy-based layers are deposited at room temperature. • Deposition parameters affect composition and electrical properties of the layers. • The improved performance of a GeNx-coated HPGe diode is assessed. - Abstract: This work reports a detailed investigation of the properties of germanium nitride and oxynitride films to be applied as passivation layers to Ge radiation detectors. All the samples were deposited at room temperature by reactive RF magnetron sputtering. A strong correlation was found between the deposition parameters, such as deposition rate, substrate bias and atmosphere composition, and the oxygen and nitrogen content in the film matrix. We found that all the films were very poorly crystallized, consisting of very small Ge nitride and oxynitride nanocrystallites, and electrically insulating, with the resistivity changing from three to six orders of magnitude as a function of temperature. A preliminary test of these films as passivation layers was successfully performed by depositing a germanium nitride film on the intrinsic surface of a high-purity germanium (HPGe) diode and measuring the improved performance, in terms of leakage current, with respect to a reference passivated diode. All these interesting results allow us to envisage the application of this coating technology to the surface passivation of germanium-based radiation detectors.

  8. The phases of Pb/Ge(111): A surface X-ray diffraction study

    International Nuclear Information System (INIS)

    Grey, F.

    1988-03-01

    This report describes the results of several surface X-ray diffraction measurements of a chemisorbed overlayer of Pb on the Ge(111) surface. Three phases of Pb/Ge(111) exist in the monolayer regime: the α- and β-phases with a √3x√3R30 0 unit cell, and a high-temperature 1x1 phase. In the 1x1 phase of Pb/Ge(111), isotropic X-ray scattering is observed consistent with a two-dimensional liquid phase. Measurements of the integer-order Bragg reflections through the √3x√3R30 0 →1x1 transition confirm the liquid-like nature of the 1x1 phase, and show that the liquid layer is modulated by the periodic potential of the substrate. By measuring variations of the (2/3,2/3) surface Bragg reflection from the √3x√3R30 0 phase as a function of temperature and coverage, a simple phase diagram for Pb/Ge(111) is deduced. Below 1/3ML (where 1 ML is one Pb atom per Ge surface atom) the α-phase coexists with the 1x1 phase. Between 1/3ML and 4/3ML, α- and β-phases form a two-phase system displaying phase separation. Analogies with simple theoretical phase diagrams are emphasized. (orig.) With 11 tabs., 40 figs., 67 refs

  9. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  10. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  11. Formation of the low-resistivity compound Cu_3Ge by low-temperature treatment in an atomic hydrogen flux

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Kazimirov, A. I.; Fedin, I. V.; Kagadei, V. A.

    2016-01-01

    The systematic features of the formation of the low-resistivity compound Cu_3Ge by low-temperature treatment of a Cu/Ge two-layer system in an atomic hydrogen flux are studied. The Cu/Ge two-layer system is deposited onto an i-GaAs substrate. Treatment of the Cu/Ge/i-GaAs system, in which the layer thicknesses are, correspondingly, 122 and 78 nm, in atomic hydrogen with a flux density of 10"1"5 at cm"2 s"–"1 for 2.5–10 min at room temperature induces the interdiffusion of Cu and Ge, with the formation of a polycrystalline film containing the stoichiometric Cu_3Ge phase. The film consists of vertically oriented grains 100–150 nm in size and exhibits a minimum resistivity of 4.5 µΩ cm. Variations in the time of treatment of the Cu/Ge/i-GaAs samples in atomic hydrogen affect the Cu and Ge depth distribution, the phase composition of the films, and their resistivity. Experimental observation of the synthesis of the Cu_3Ge compound at room temperature suggests that treatment in atomic hydrogen has a stimulating effect on both the diffusion of Cu and Ge and the chemical reaction of Cu_3Ge-compound formation. These processes can be activated by the energy released upon the recombination of hydrogen atoms adsorbed at the surface of the Cu/Ge/i-GaAs sample.

  12. Micro- and macro-structure of implantation-induced disorder in Ge

    CERN Document Server

    Glover, C J; Byrne, A P; Yu, K M; Foran, G J; Clerc, C; Hansen, J L; Nylandsted-Larsen, A

    2000-01-01

    The structure of ion implantation-induced damage in Ge substrates has been investigated with a combination of ion- and photon-based techniques including Rutherford backscattering spectrometry (RBS), perturbed angular correlation (PAC) and extended X-ray absorption fine structure (EXAFS) spectroscopy. For MeV Ge ion implantation at -196 degrees C, the dose dependence of the decrease in local atomic order, determined from EXAFS and PAC, was compared to the number of displaced atoms determined from RBS measurements. An EXAFS determined damage fraction was shown to be a better estimate of amorphous fraction than the number of displaced atoms. PAC was used to elucidate the evolution of defective configurations, and was compared to the RBS and EXAFS results. A fit to the overlap model with the overlap of two ion cascades for complete amorphization best described the experimental results. (16 refs).

  13. Molecules for materials: germanium hydride neutrals and anions. Molecular structures, electron affinities, and thermochemistry of GeHn/GeHn- (n = 0-4) and Ge2Hn/Ge2Hn(-) (n = 0-6).

    Science.gov (United States)

    Li, Qian-Shu; Lü, Rui-Hua; Xie, Yaoming; Schaefer, Henry F

    2002-12-01

    The GeH(n) (n = 0-4) and Ge(2)H(n) (n = 0-6) systems have been studied systematically by five different density functional methods. The basis sets employed are of double-zeta plus polarization quality with additional s- and p-type diffuse functions, labeled DZP++. For each compound plausible energetically low-lying structures were optimized. The methods used have been calibrated against a comprehensive tabulation of experimental electron affinities (Chemical Reviews 102, 231, 2002). The geometries predicted in this work include yet unknown anionic species, such as Ge(2)H(-), Ge(2)H(2)(-), Ge(2)H(3)(-), Ge(2)H(4)(-), and Ge(2)H(5)(-). In general, the BHLYP method predicts the geometries closest to the few available experimental structures. A number of structures rather different from the analogous well-characterized hydrocarbon radicals and anions are predicted. For example, a vinylidene-like GeGeH(2) (-) structure is the global minimum of Ge(2)H(2) (-). For neutral Ge(2)H(4), a methylcarbene-like HGë-GeH(3) is neally degenerate with the trans-bent H(2)Ge=GeH(2) structure. For the Ge(2)H(4) (-) anion, the methylcarbene-like system is the global minimum. The three different neutral-anion energy differences reported in this research are: the adiabatic electron affinity (EA(ad)), the vertical electron affinity (EA(vert)), and the vertical detachment energy (VDE). For this family of molecules the B3LYP method appears to predict the most reliable electron affinities. The adiabatic electron affinities after the ZPVE correction are predicted to be 2.02 (Ge(2)), 2.05 (Ge(2)H), 1.25 (Ge(2)H(2)), 2.09 (Ge(2)H(3)), 1.71 (Ge(2)H(4)), 2.17 (Ge(2)H(5)), and -0.02 (Ge(2)H(6)) eV. We also reported the dissociation energies for the GeH(n) (n = 1-4) and Ge(2)H(n) (n = 1-6) systems, as well as those for their anionic counterparts. Our theoretical predictions provide strong motivation for the further experimental study of these important germanium hydrides. Copyright 2002 Wiley

  14. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    Science.gov (United States)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W.; Decker, Ulrich; Rauschenbach, Bernd

    2015-07-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ~21% and 3-4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications.

  15. Crystallization kinetics of GeTe phase-change thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Sun, Xinxing; Thelander, Erik; Gerlach, Jürgen W; Decker, Ulrich; Rauschenbach, Bernd

    2015-01-01

    Pulsed laser deposition was employed to the growth of GeTe thin films on Silicon substrates. X-ray diffraction measurements reveal that the critical crystallization temperature lies between 220 and 240 °C. Differential scanning calorimetry was used to investigate the crystallization kinetics of the as-deposited films, determining the activation energy to be 3.14 eV. Optical reflectivity and in situ resistance measurements exhibited a high reflectivity contrast of ∼21% and 3–4 orders of magnitude drop in resistivity of the films upon crystallization. The results show that pulsed laser deposited GeTe films can be a promising candidate for phase-change applications. (paper)

  16. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  17. Chemical bonding characteristics of Ge2Sb2Te5 for thin films

    International Nuclear Information System (INIS)

    Shin, Min-Jung; Choi, Doo-Jin; Kang, Myung-Jin; Choi, Se-Young; Jang, In-Woo; Lee, Kye-Nam; Park, Young-Jin

    2004-01-01

    The chalcogenide-based phase change memory has been suggested as an alternative non-volatile memory device at the 180 nm technology node. These materials appear to have a reversible phase change between amorphous and crystalline phases. A sputtered Ge 2 Sb 2 Te 5 film is deposited on a (100) Si substrate. In order to investigate the crystallization tendency at a certain temperature, we use X-ray diffraction and X-ray photoelectron spectroscopy. The film morphology is observed by using atomic forces microscopy. Grain growth and a phase transition from cubic to hexagonal occurs when the films are heated from 170 .deg. C and 380 .deg. C, and Ge-Te and Te-Sb bonds increased with annealing.

  18. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  19. Multimuon final states

    International Nuclear Information System (INIS)

    Crespo, J.-M.

    1980-04-01

    Multimuon final states have been detected by 3 experiments in the interactions of the muon beams of CERN (280 GeV) and FNAL (210 GeV) with heavy targets. For the first time production of J/PSI (3100) by space-like photons has been observed and its dependence on ν, Q 2 and t compared to Vector Dominance and photon-gluon fusion models. Also a clear signal has been seen for 3μ above QED tridents (outside J/PSI mass range) and 2μ events which are well described by charm production. An upper limit for the production of the T by high energy muons has been set

  20. Ge nitride formation in N-doped amorphous Ge2Sb2Te5

    International Nuclear Information System (INIS)

    Jung, M.-C.; Lee, Y. M.; Kim, H.-D.; Kim, M. G.; Shin, H. J.; Kim, K. H.; Song, S. A.; Jeong, H. S.; Ko, C. H.; Han, M.

    2007-01-01

    The chemical state of N in N-doped amorphous Ge 2 Sb 2 Te 5 (a-GST) samples with 0-14.3 N at. % doping concentrations was investigated by high-resolution x-ray photoelectron spectroscopy (HRXPS) and Ge K-edge x-ray absorption spectroscopy (XAS). HRXPS showed negligible change in the Te 4d and Sb 4d core-level spectra. In the Ge 3d core-level spectra, a Ge nitride (GeN x ) peak developed at the binding energy of 30.2 eV and increased in intensity as the N-doping concentration increased. Generation of GeN x was confirmed by the Ge K-edge absorption spectra. These results indicate that the N atoms bonded with the Ge atoms to form GeN x , rather than bonding with the Te or Sb atoms. It has been suggested that the formation of Ge nitride results in increased resistance and phase-change temperature

  1. Ge-on-Si films obtained by epitaxial growing: edge dislocations and their participation in plastic relaxation

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu B; Sokolov, L V

    2012-01-01

    Pure edge 90° misfit dislocations (MDs) are the most effective linear defects that combine the substrate and the film with different lattice parameters. A system consisting of a nonstressed film and a substrate approaches the perfect case in terms of the structural transition from one lattice parameter to the other if imperfections in the form of an ordered network of edge MDs are located exclusively at the interface, while threading dislocations are practically absent. The path to this perfect case goes through studying the possibilities of creating such an ordered network of edge MDs. The mechanism of formation of edge MDs proposed previously by Kvam et al (1990 J. Mater. Res. 5 1900) is discussed. This mechanism involves induced formation of a complementary pair of 60° MDs whose coalescence at the interface creates an edge MD. Some publications are presented, which demonstrate on the basis of experimental data that this mechanism under certain conditions can be the basic mechanism responsible for plastic relaxation of Ge-on-Si films. A cardinal method for decreasing the number of defects at the initial stages of growth of Ge/Si heterosystems is a set of procedures that allow a specified number of MDs to be inserted into the stressed film earlier than conditions of spontaneous nucleation of MDs from the film surface in the 2D–3D transition occur. When the low-temperature/high-temperature strategy of growth is used, the low-temperature GeSi seed layer tuned with respect to the growth temperature, composition and thickness can serve as a source of 60° dislocations, which facilitate earlier formation of edge MDs at the initial stage of plastic relaxation of the GeSi or Ge main layer. Results of some recent publications that report reaching high structural perfection of thin (∼1 µm and less) Ge-on-Si films are discussed. The proposed explanation of these results is based on postulates of controlled insertion of MDs and formation of edge MDs by the model of

  2. Enhanced charge storage capability of Ge/GeO2 core/shell nanostructure

    International Nuclear Information System (INIS)

    Yuan, C L; Lee, P S

    2008-01-01

    A Ge/GeO 2 core/shell nanostructure embedded in an Al 2 O 3 gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO 2 core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO 2 shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering

  3. Enhanced charge storage capability of Ge/GeO(2) core/shell nanostructure.

    Science.gov (United States)

    Yuan, C L; Lee, P S

    2008-09-03

    A Ge/GeO(2) core/shell nanostructure embedded in an Al(2)O(3) gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO(2) core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO(2) shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering.

  4. Studies of adsorber materials for preparing 68Ge/68Ga generators

    International Nuclear Information System (INIS)

    Brambilla, Tania de Paula

    2013-01-01

    The 68 Ga is a promising radionuclide for nuclear medicine, decaying by positron emission with an abundance of 89%, with physical half-life of 68 minutes, which is compatible with the pharmacokinetics of many biomolecules and low molecular weight substrates. Another important feature is its availability through a generator system, where the parent radionuclide, 68 Ge (t 1/2 = 270.95 days) is adsorbed on a column and the daughter, 68 Ga, is eluted in an ionic form 68Ga 3+ . The development of 68 Ge/ 68 Ga generators began in the 60s, but its clinical use began to be acceptable and relevant only recently. The method of separation of 68 Ge and 68 Ga most used is the ion-exchange chromatographic system, due to its practical operation, but other generator systems have been proposed, such as solvent extraction and evaporation technique. Currently, 68 Ge/ 68 Ga generators are commercially available using inorganic matrices columns prepared with TiO 2 or SnO 2 as well using organic resin. The efficiency of 68 Ga elution ranges from 70% to 80%, decreasing over time. The 68 Ge breakthrough varies from 10 -2 to10 -3 % or lower in a fresh generator, but there is an increase in the levels of contamination after long periods of use. Even with all the technological advances in the development of 68 Ge/ 68 Ga generators in the past decades, the 68 Ga eluted from commercial generators is not suitable for direct use in humans and some improvements in the systems need to be made to reduce the 68 Ge breakthrough and chemical impurities levels. The main objective of this work was to develop a 68 Ge/ 68 Ga generator system is which 68 Ga could be eluted with quality required for clinical use. The chemical behavior of Ge and Ga was evaluated on various inorganic adsorbents materials. Two types of 68 Ge/ 68 Ga generator systems were developed using TiO 2 as adsorbent material: elution system with manual pressure and vacuum controlled. The efficiencies of the generators were similar to

  5. New bonding configuration on Si(111) and Ge(111) surfaces induced by the adsorption of alkali metals

    DEFF Research Database (Denmark)

    Lottermoser, L.; Landemark, E.; Smilgies, D.M.

    1998-01-01

    The structure of the (3×1) reconstructions of the Si(111) and Ge(111) surfaces induced by adsorption of alkali metals has been determined on the basis of surface x-ray diffraction and low-energy electron diffraction measurements and density functional theory. The (3×1) surface results primarily f...... from the substrate reconstruction and shows a new bonding configuration consisting of consecutive fivefold and sixfold Si (Ge) rings in 〈11̅ 0〉 projection separated by channels containing the alkali metal atoms. © 1998 The American Physical Society...

  6. Phase transitions in two dimensions: The case of Sn adsorbed on Ge (111) surfaces

    DEFF Research Database (Denmark)

    Bunk, O.; Zeysing, J.H.; Falkenberg, G.

    1999-01-01

    . In the low-temperature phase one of the three Sn atoms per ( 3×3) unit cell is displaced outwards by 0.26±0.04Å relative to the other two. This displacement is accompanied by an increase in the first to second double-layer spacing in the Ge substrate. © 1999 The American Physical Society...

  7. Four-jet final state production in $e^+ e^-$ collisions at centre-of-mass energies ranging from 130 to 184 GeV

    CERN Document Server

    Barate, R; Décamp, D; Ghez, P; Goy, C; Lees, J P; Lucotte, A; Minard, M N; Nief, J Y; Pietrzyk, B; Boix, G; Casado, M P; Chmeissani, M; Crespo, J M; Delfino, M C; Fernández, E; Fernández-Bosman, M; Garrido, L; Graugès-Pous, E; Juste, A; Martínez, M; Merino, G; Miquel, R; Mir, L M; Morawitz, P; Park, I C; Pascual, A; Perlas, J A; Riu, I; Sánchez, F; Colaleo, A; Creanza, D; De Palma, M; Gelao, G; Iaselli, Giuseppe; Maggi, G; Maggi, M; Nuzzo, S; Ranieri, A; Raso, G; Ruggieri, F; Selvaggi, G; Silvestris, L; Tempesta, P; Tricomi, A; Zito, G; Huang, X; Lin, J; Ouyang, Q; Wang, T; Xie, Y; Xu, R; Xue, S; Zhang, J; Zhang, L; Zhao, W; Abbaneo, D; Alemany, R; Becker, U; Bright-Thomas, P G; Casper, David William; Cattaneo, M; Cerutti, F; Ciulli, V; Dissertori, G; Drevermann, H; Forty, Roger W; Frank, M; Gianotti, F; Hagelberg, R; Hansen, J B; Harvey, J; Janot, P; Jost, B; Lehraus, Ivan; Mato, P; Minten, Adolf G; Moneta, L; Pacheco, A; Pusztaszeri, J F; Ranjard, F; Rolandi, Luigi; Rousseau, D; Schlatter, W D; Schmitt, M; Schneider, O; Tejessy, W; Teubert, F; Tomalin, I R; Vreeswijk, M; Wachsmuth, H W; Wagner, A; Ajaltouni, Ziad J; Badaud, F; Chazelle, G; Deschamps, O; Falvard, A; Ferdi, C; Gay, P; Guicheney, C; Henrard, P; Jousset, J; Michel, B; Monteil, S; Montret, J C; Pallin, D; Perret, P; Podlyski, F; Proriol, J; Rosnet, P; Fearnley, Tom; Hansen, J D; Hansen, J R; Hansen, P H; Nilsson, B S; Rensch, B; Wäänänen, A; Daskalakis, G; Kyriakis, A; Markou, C; Simopoulou, Errietta; Vayaki, Anna; Blondel, A; Brient, J C; Machefert, F P; Rougé, A; Rumpf, M; Valassi, Andrea; Videau, H L; Boccali, T; Focardi, E; Parrini, G; Zachariadou, K; Cavanaugh, R J; Corden, M; Georgiopoulos, C H; Hühn, T; Jaffe, D E; Antonelli, A; Bencivenni, G; Bologna, G; Bossi, F; Campana, P; Capon, G; Chiarella, V; Felici, G; Laurelli, P; Mannocchi, G; Murtas, F; Murtas, G P; Passalacqua, L; Pepé-Altarelli, M; Curtis, L; Dorris, S J; Halley, A W; Lynch, J G; Negus, P; O'Shea, V; Raine, C; Scarr, J M; Smith, K; Teixeira-Dias, P; Thompson, A S; Thomson, E; Thomson, F; Ward, J; Buchmüller, O L; Dhamotharan, S; Geweniger, C; Graefe, G; Hanke, P; Hansper, G; Hepp, V; Kluge, E E; Putzer, A; Sommer, J; Tittel, K; Werner, S; Wunsch, M; Beuselinck, R; Binnie, David M; Cameron, W; Dornan, Peter J; Girone, M; Goodsir, S M; Martin, E B; Marinelli, N; Moutoussi, A; Nash, J; Sedgbeer, J K; Spagnolo, P; Williams, M D; Ghete, V M; Girtler, P; Kneringer, E; Kuhn, D; Rudolph, G; Betteridge, A P; Bowdery, C K; Buck, P G; Colrain, P; Crawford, G; Finch, A J; Foster, F; Hughes, G; Jones, R W L; Whelan, E P; Williams, M I; Giehl, I; Hoffmann, C; Jakobs, K; Kleinknecht, K; Quast, G; Renk, B; Rohne, E; Sander, H G; Van Gemmeren, P; Zeitnitz, C; Aubert, Jean-Jacques; Benchouk, C; Bonissent, A; Bujosa, G; Carr, J; Coyle, P; Ealet, A; Fouchez, D; Leroy, O; Motsch, F; Payre, P; Talby, M; Sadouki, A; Thulasidas, M; Tilquin, A; Trabelsi, K; Aleppo, M; Antonelli, M; Ragusa, F; Berlich, R; Blum, Walter; Büscher, V; Dietl, H; Ganis, G; Gotzhein, C; Kroha, H; Lütjens, G; Lutz, Gerhard; Mannert, C; Männer, W; Moser, H G; Richter, R H; Rosado-Schlosser, A; Schael, S; Settles, Ronald; Seywerd, H C J; Stenzel, H; Wiedenmann, W; Wolf, G; Boucrot, J; Callot, O; Chen, S; Davier, M; Duflot, L; Grivaz, J F; Höcker, A; Jacholkowska, A; Kado, M; Kim, D W; Le Diberder, F R; Lefrançois, J; Lutz, A M; Schune, M H; Serin, L; Tournefier, E; Veillet, J J; Videau, I; Zerwas, D; Azzurri, P; Bagliesi, G; Bettarini, S; Bozzi, C; Calderini, G; Dell'Orso, R; Fantechi, R; Ferrante, I; Giassi, A; Gregorio, A; Ligabue, F; Lusiani, A; Marrocchesi, P S; Messineo, A; Palla, Fabrizio; Rizzo, G; Sanguinetti, G; Sciabà, A; Sguazzoni, G; Steinberger, Jack; Tenchini, Roberto; Vannini, C; Venturi, A; Verdini, P G; Blair, G A; Bryant, L M; Chambers, J T; Coles, J; Green, M G; Medcalf, T; Perrodo, P; Strong, J A; Von Wimmersperg-Töller, J H; Botterill, David R; Clifft, R W; Edgecock, T R; Haywood, S; Maley, P; Norton, P R; Thompson, J C; Wright, A E; Bloch-Devaux, B; Colas, P; Fabbro, B; Faïf, G; Lançon, E; Lemaire, M C; Locci, E; Pérez, P; Przysiezniak, H; Rander, J; Renardy, J F; Rosowsky, A; Roussarie, A; Trabelsi, A; Vallage, B; Black, S N; Dann, J H; Kim, H Y; Konstantinidis, N P; Litke, A M; McNeil, M A; Taylor, G; Booth, C N; Brew, C A J; Cartwright, S L; Combley, F; Kelly, M S; Lehto, M H; Reeve, J; Thompson, L F; Affholderbach, K; Böhrer, A; Brandt, S; Cowan, G D; Foss, J; Grupen, Claus; Smolik, L; Stephan, F; Apollonio, M; Bosisio, L; Della Marina, R; Giannini, G; Gobbo, B; Musolino, G; Pütz, J; Rothberg, J E; Wasserbaech, S R; Williams, R W; Armstrong, S R; Charles, E; Elmer, P; Ferguson, D P S; Gao, Y; González, S; Greening, T C; Hayes, O J; Hu, H; Jin, S; McNamara, P A; Nachtman, J M; Nielsen, J; Orejudos, W; Pan, Y B; Saadi, Y; Scott, I J; Walsh, J; Wu Sau Lan; Wu, X; Yamartino, J M; Zobernig, G

    1998-01-01

    The four jet topology is analysed in the ALEPH data taken between November 1995 and November 1997, at centre-of-mass energies ranging from 130 to 184 GeV. While an unexpected accumulation of events with a dijet mas sum around 105 GeV/c**2 had been observed during the first run in 1995 at 130/136 GeV, corresponding to an integrated luminosity of 5.7 pb-1, no significant differences between data and standard model prediction is noticed, either in the high energy runs (81.1 pb-1 taken at centre-of-mass energies from 161 to 184 GeV) or in the 7.1 pb-1 recorded during a new short run at 130/136 GeV in 1997. We have found no other explanation for the earlier reported ``four jet anomaly'' than a statistical fluctuation.

  8. Four-jet final state production in e+e- collisions at centre-of-mass energies ranging from 130 to 184 GeV

    Science.gov (United States)

    ALEPH Collaboration; Barate, R.; Buskulic, D.; Decamp, D.; Ghez, P.; Goy, C.; Lees, J.-P.; Lucotte, A.; Minard, M.-N.; Nief, J.-Y.; Pietrzyk, B.; Boix, G.; Casado, M. P.; Chmeissani, M.; Crespo, J. M.; Delfino, M.; Fernandez, E.; Fernandez-Bosman, M.; Garrido, Ll.; Graugès, E.; Juste, A.; Martinez, M.; Merino, G.; Miquel, R.; Mir, Ll. M.; Morawitz, P.; Park, I. C.; Pascual, A.; Perlas, J. A.; Riu, I.; Sanchez, F.; Colaleo, A.; Creanza, D.; de Palma, M.; Gelao, G.; Iaselli, G.; Maggi, G.; Maggi, M.; Nuzzo, S.; Ranieri, A.; Raso, G.; Ruggieri, F.; Selvaggi, G.; Silvestris, L.; Tempesta, P.; Tricomi, A.; Zito, G.; Huang, X.; Lin, J.; Ouyang, Q.; Wang, T.; Xie, Y.; Xu, R.; Xue, S.; Zhang, J.; Zhang, L.; Zhao, W.; Abbaneo, D.; Alemany, R.; Becker, U.; Bright-Thomas, P.; Casper, D.; Cattaneo, M.; Cerutti, F.; Ciulli, V.; Dissertori, G.; Drevermann, H.; Forty, R. W.; Frank, M.; Gianotti, F.; Hagelberg, R.; Hansen, J. B.; Harvey, J.; Janot, P.; Jost, B.; Lehraus, I.; Mato, P.; Minten, A.; Moneta, L.; Pacheco, A.; Pusztaszeri, J.-F.; Ranjard, F.; Rolandi, L.; Rousseau, D.; Schlatter, D.; Schmitt, M.; Schneider, O.; Tejessy, W.; Teubert, F.; Tomalin, I. R.; Vreeswijk, M.; Wachsmuth, H.; Wagner, A.; Ajaltouni, Z.; Badaud, F.; Chazelle, G.; Deschamps, O.; Falvard, A.; Ferdi, C.; Gay, P.; Guicheney, C.; Henrard, P.; Jousset, J.; Michel, B.; Monteil, S.; Montret, J.-C.; Pallin, D.; Perret, P.; Podlyski, F.; Proriol, J.; Rosnet, P.; Fearnley, T.; Hansen, J. D.; Hansen, J. R.; Hansen, P. H.; Nilsson, B. S.; Rensch, B.; Wäänänen, A.; Daskalakis, G.; Kyriakis, A.; Markou, C.; Simopoulou, E.; Vayaki, A.; Blondel, A.; Brient, J.-C.; Machefert, F.; Rougé, A.; Rumpf, M.; Valassi, A.; Videau, H.; Boccali, T.; Focardi, E.; Parrini, G.; Zachariadou, K.; Cavanaugh, R.; Corden, M.; Georgiopoulos, C.; Huehn, T.; Jaffe, D. E.; Antonelli, A.; Bencivenni, G.; Bologna, G.; Bossi, F.; Campana, P.; Capon, G.; Chiarella, V.; Felici, G.; Laurelli, P.; Mannocchi, G.; Murtas, F.; Murtas, G. P.; Passalacqua, L.; Pepe-Altarelli, M.; Curtis, L.; Dorris, S. J.; Halley, A. W.; Lynch, J. G.; Negus, P.; O'Shea, V.; Raine, C.; Scarr, J. M.; Smith, K.; Teixeira-Dias, P.; Thompson, A. S.; Thomson, E.; Thomson, F.; Buchmüller, O.; Dhamotharan, S.; Geweniger, C.; Graefe, G.; Hanke, P.; Hansper, G.; Hepp, V.; Kluge, E. E.; Putzer, A.; Sommer, J.; Tittel, K.; Werner, S.; Wunsch, M.; Beuselinck, R.; Binnie, D. M.; Cameron, W.; Dornan, P. J.; Girone, M.; Goodsir, S.; Martin, E. B.; Marinelli, N.; Moutoussi, A.; Nash, J.; Sedgbeer, J. K.; Spagnolo, P.; Williams, M. D.; Ghete, V. M.; Girtler, P.; Kneringer, E.; Kuhn, D.; Rudolph, G.; Betteridge, A. P.; Bowdery, C. K.; Buck, P. G.; Colrain, P.; Crawford, G.; Finch, A. J.; Foster, F.; Hughes, G.; Jones, R. W. L.; Whelan, E. P.; Williams, M. I.; Giehl, I.; Hoffmann, C.; Jakobs, K.; Kleinknecht, K.; Quast, G.; Renk, B.; Rohne, E.; Sander, H.-G.; van Gemmeren, P.; Zeitnitz, C.; Aubert, J. J.; Benchouk, C.; Bonissent, A.; Bujosa, G.; Carr, J.; Coyle, P.; Ealet, A.; Fouchez, D.; Leroy, O.; Motsch, F.; Payre, P.; Talby, M.; Sadouki, A.; Thulasidas, M.; Tilquin, A.; Trabelsi, K.; Aleppo, M.; Antonelli, M.; Ragusa, F.; Berlich, R.; Blum, W.; Büscher, V.; Dietl, H.; Ganis, G.; Gotzhein, C.; Kroha, H.; Lütjens, G.; Lutz, G.; Mannert, C.; Männer, W.; Moser, H.-G.; Richter, R.; Rosado-Schlosser, A.; Schael, S.; Settles, R.; Seywerd, H.; Stenzel, H.; Wiedenmann, W.; Wolf, G.; Boucrot, J.; Callot, O.; Chen, S.; Davier, M.; Duflot, L.; Grivaz, J.-F.; Heusse, Ph.; Höcker, A.; Jacholkowska, A.; Kado, M. M.; Kim, D. W.; Le Diberder, F.; Lefrançois, J.; Lutz, A.-M.; Schune, M.-H.; Serin, L.; Tournefier, E.; Veillet, J.-J.; Videau, I.; Zerwas, D.; Azzurri, P.; Bagliesi, G.; Bettarini, S.; Bozzi, C.; Calderini, G.; dell'Orso, R.; Fantechi, R.; Ferrante, I.; Giassi, A.; Gregorio, A.; Ligabue, F.; Lusiani, A.; Marrocchesi, P. S.; Messineo, A.; Palla, F.; Rizzo, G.; Sanguinetti, G.; Sciabà, A.; Sguazzoni, G.; Steinberger, J.; Tenchini, R.; Vannini, C.; Venturi, A.; Verdini, P. G.; Blair, G. A.; Bryant, L. M.; Chambers, J. T.; Coles, J.; Green, M. G.; Medcalf, T.; Perrodo, P.; Strong, J. A.; von Wimmersperg-Toeller, J. H.; Botterill, D. R.; Clifft, R. W.; Edgecock, T. R.; Haywood, S.; Maley, P.; Norton, P. R.; Thompson, J. C.; Wright, A. E.; Bloch-Devaux, B.; Colas, P.; Fabbro, B.; Faïf, G.; Lançon, E.; Lemaire, M.-C.; Locci, E.; Perez, P.; Przysiezniak, H.; Rander, J.; Renardy, J.-F.; Rosowsky, A.; Roussarie, A.; Trabelsi, A.; Vallage, B.; Black, S. N.; Dann, J. H.; Kim, H. Y.; Konstantinidis, N.; Litke, A. M.; McNeil, M. A.; Taylor, G.; Booth, C. N.; Brew, C. A. J.; Cartwright, S.; Combley, F.; Kelly, M. S.; Lehto, M.; Reeve, J.; Thompson, L. F.; Affholderbach, K.; Böhrer, A.; Brandt, S.; Cowan, G.; Foss, J.; Grupen, C.; Smolik, L.; Stephan, F.; Apollonio, M.; Bosisio, L.; della Marina, R.; Giannini, G.; Gobbo, B.; Musolino, G.; Putz, J.; Rothberg, J.; Wasserbaech, S.; Williams, R. W.; Armstrong, S. R.; Charles, E.; Elmer, P.; Ferguson, D. P. S.; Gao, Y.; González, S.; Greening, T. C.; Hayes, O. J.; Hu, H.; Jin, S.; McNamara, P. A., III; Nachtman, J. M.; Nielsen, J.; Orejudos, W.; Pan, Y. B.; Saadi, Y.; Scott, I. J.; Walsh, J.; Wu, Sau Lan; Wu, X.; Yamartino, J. M.; Zobernig, G.

    1998-02-01

    The four jet topology is analysed in the ALEPH data taken between November 1995 and October 1997, at centre-of-mass energies ranging from 130 to 184 GeV. While an unexpected accumulation of events with a dijet mass sum around 105 GeV/c2 had been observed during the first run in 1995 at 130/136 GeV, corresponding to an integrated luminosity of 5.7 pb-1, no significant differences between data and standard model prediction is seen, either in the high energy runs (81.1 pb-1 taken at centre-of-mass energies from 161 to 184 GeV) or in the 7.1 pb-1 recorded during a new short run at 130/136 GeV in 1997. We have found no other explanation for the earlier reported ``four jet anomaly'' than a statistical fluctuation.

  9. Systematic study of Si-based GeSn photodiodes with 2.6 µm detector cutoff for short-wave infrared detection.

    Science.gov (United States)

    Pham, Thach; Du, Wei; Tran, Huong; Margetis, Joe; Tolle, John; Sun, Greg; Soref, Richard A; Naseem, Hameed A; Li, Baohua; Yu, Shui-Qing

    2016-03-07

    Normal-incidence Ge 1-x Sn x photodiode detectors with Sn compositions of 7 and 10% have been demonstrated. Such detectors were based on Ge/Ge 1-x Sn x /Ge double heterostructures grown directly on a Si substrate via a chemical vapor deposition system. A temperature-dependence study of these detectors was conducted using both electrical and optical characterizations from 300 to 77 K. Spectral response up to 2.6 µm was achieved for a 10% Sn device at room temperature. The peak responsivity and specific detectivity (D*) were measured to be 0.3 A/W and 4 × 10 9 cmHz 1/2 W -1 at 1.55 µm, respectively. The spectral D* of a 7% Sn device at 77 K was only one order-of-magnitude lower than that of an extended-InGaAs photodiode operating in the same wavelength range, indicating the promising future of GeSn-based photodetectors.

  10. Effect of Ge Content on the Formation of Ge Nanoclusters in Magnetron-Sputtered GeZrOx-Based Structures

    OpenAIRE

    Khomenkova, L.; Lehninger, D.; Kondratenko, O.; Ponomaryov, S.; Gudymenko, O.; Tsybrii, Z.; Yukhymchuk, V.; Kladko, V.; von Borany, J.; Heitmann, J.

    2017-01-01

    Ge-rich ZrO2 films, fabricated by confocal RF magnetron sputtering of pure Ge and ZrO2 targets in Ar plasma, were studied by multi-angle laser ellipsometry, Raman scattering, Auger electron spectroscopy, Fourier transform infrared spectroscopy, and X-ray diffraction for varied deposition conditions and annealing treatments. It was found that as-deposited films are homogeneous for all Ge contents, thermal treatment stimulated a phase separation and a formation of crystalline Ge and ZrO2. The ?...

  11. Parallel nanostructuring of GeSbTe film with particle mask

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Z.B.; Hong, M.H.; Wang, Q.F.; Chong, T.C. [Data Storage Institute, DSI Building, 5 Engineering Drive 1, 117608, Singapore (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, 119260, Singapore (Singapore); Luk' yanchuk, B.S.; Huang, S.M.; Shi, L.P. [Data Storage Institute, DSI Building, 5 Engineering Drive 1, 117608, Singapore (Singapore)

    2004-09-01

    Parallel nanostructuring of a GeSbTe film may significantly improve the recording performance in data storage. In this paper, a method that permits direct and massively parallel nanopatterning of the substrate surface by laser irradiation is investigated. Polystyrene spherical particles were deposited on the surface in a monolayer array by self-assembly. The array was then irradiated with a 248-nm KrF laser. A sub-micron nanodent array can be obtained after single-pulse irradiation. These nanodents change their shapes at different laser energies. The optical near-field distribution around the particles was calculated according to the exact solution of the light-scattering problem. The influence of the presence of the substrate on the optical near field was also studied. The mechanisms for the generation of the nanodent structures are discussed. (orig.)

  12. Depth profile of strain and composition in Si/Ge dot multilayers by microscopic phonon Raman spectroscopy

    International Nuclear Information System (INIS)

    Tan, P.H.; Bougeard, D.; Abstreiter, G.; Brunner, K.

    2005-01-01

    We characterized strain and Ge content depending on depth in a self-assembled Si/Ge dot multilayer by scanning a microscopic Raman probe at a (110) cleavage plane. The multilayer structure was deposited by molecular-beam epitaxy on a (001) Si substrate and consisted of 80 periods, each of them composed by 25 nm Si spacers and 8 monolayer Ge forming laterally and vertically uncorrelated islands with a height of 2 nm and a lateral diameter of about 20 nm. An average biaxial strain of -3.5% within the core regions of islands is determined from the splitting of longitudinal and transversal optical Ge-Ge phonon modes observed in polarized Raman measurements. The absolute mode frequencies further enable analysis of a Ge content of 0.82. The analyzed strain and composition of islands are nearly independent from depths below the sample surface. This indicates well-controlled deposition parameters and negligible intermixing during deposition of subsequent layers. These Raman results are in agreement with x-ray diffraction data. Small, local Raman frequency shifts were observed and discussed with respect to partial elastic strain relaxation of the multilayer stack after cleavage, undefined Raman-scattering geometries at the sample edge, and local heating by the laser probe

  13. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  14. Skyrmions and Novel Spin Textures in FeGe Thin Films and Artificial B20 Heterostructures

    Science.gov (United States)

    Ahmed, Adam Saied

    Skyrmions are magnetic spin textures that have a non-zero topological winding number associated with them. They have attracted much interest recently since they can be as small as 1 nm and could be the next generation of magnetic memory and logic. First, we grow epitaxial films of FeGe by molecular beam epitaxy and characterized the skyrmion properties. This had led us to image skyrmions in real-space with Lorentz transmission electron microscopy for the first time in the United States. Next, from an extensive series of thin and thick films, we have experimentally shown the existence of a magnetic surface state in FeGe and, consequently, any skyrmion material for the first time. Complementary theoretical calculations supported the existence of chiral bobbers--a surface state only predicted in 2015. Next, we fabricated for the first time a new class of skyrmion materials: B20 superlattices. These novel heterostructures of [FeGe/MnGe/CrGe] have now opened the door for tunable skyrmion systems with both Dresselhaus and Rashba Dzyaloshinskii-Moriya interactions. Additionally, we perform resonant soft x-ray scattering to image magnetic spin textures in reciprocal space for FeGe thin films in transmission. We have accomplished the removal of substrate and left an isolated single-crystal FeGe film. Lastly, SrO is grown on graphene as a crystalline, atomically smooth, and pinhole free tunnel barrier for spin injection.

  15. Negative thermal expansion and magnetocaloric effect in Mn-Co-Ge-In thin films

    Science.gov (United States)

    Liu, Y.; Qiao, K. M.; Zuo, S. L.; Zhang, H. R.; Kuang, H.; Wang, J.; Hu, F. X.; Sun, J. R.; Shen, B. G.

    2018-01-01

    MnCoGe-based alloys with magnetostructural transition show giant negative thermal expansion (NTE) behavior and magnetocaloric effects (MCEs) and thus have attracted a lot of attention. However, the drawback of bad mechanical behavior in these alloys obstructs their practical applications. Here, we report the growth of Mn-Co-Ge-In films with thickness of about 45 nm on (001)-LaAlO3, (001)-SrTiO3, and (001)-Al2O3 substrates. The films grown completely overcome the breakable nature of the alloy and promote its multifunctional applications. The deposited films have a textured structure and retain first-order magnetostructural transition. NTE and MCE behaviors associated with the magnetostructural transition have been studied. The films exhibit a completely repeatable NTE around room temperature. NTE coefficient α can be continuously tuned from the ultra-low expansion (α ˜ -2.0 × 10-7/K) to α ˜ -6.56 × 10-6/K, depending on the growth and particle size of the films on different substrates. Moreover, the films exhibit magnetic entropy changes comparable to the well-known metamagnetic films. All these demonstrate potential multifunctional applications of the present films.

  16. Addition of Mn to Ge quantum dot surfaces—interaction with the Ge QD {105} facet and the Ge(001) wetting layer

    International Nuclear Information System (INIS)

    Nolph, C A; Kassim, J K; Floro, J A; Reinke, P

    2013-01-01

    The interaction of Mn with Ge quantum dots (QD), which are bounded by {105} facets, and the strained Ge wetting layer (WL), terminated by a (001) surface, is investigated with scanning tunneling microscopy (STM). These surfaces constitute the growth surfaces in the growth of Mn-doped QDs. Mn is deposited on the Ge QD and WL surface in sub-monolayer concentrations, and subsequently annealed up to a temperature of 400 ° C. The changes in bonding and surface topography are measured with STM during the annealing process. Mn forms flat islands on the Ge{105} facet, whose shape and position are guided by the rebonded step reconstruction of the facet. Voltage-dependent STM images reflect the Mn-island interaction with the empty and filled states of the Ge{105} reconstruction. Scanning tunneling spectra (STS) of the Ge{105} facet and as-deposited Mn-islands show a bandgap of 0.8 eV, and the Mn-island spectra are characterized by an additional empty state at about 1.4 eV. A statistical analysis of Mn-island shape and position on the QD yields a slight preference for edge positions, whereas the QD strain field does not impact Mn-island position. However, the formation of ultra-small Mn-clusters dominates on the Ge(001) WL, which is in contrast to Mn interaction with unstrained Ge(001) surfaces. Annealing to T 5 Ge 3 from a mass balance analysis. This reaction is accompanied by the disappearance of the original Mn-surface structures and de-wetting of Mn is complete. This study unravels the details of Mn–Ge interactions, and demonstrates the role of surface diffusion as a determinant in the growth of Mn-doped Ge materials. Surface doping of Ge-nanostructures at lower temperatures could provide a pathway to control magnetism in the Mn–Ge system. (paper)

  17. Evidence for a narrow peak in K0sub(s)π+-π+π- at 2.6 GeV in 12 GeV/c anti pp interactions

    International Nuclear Information System (INIS)

    Apostolakis, A.; Casali, R.; Caso, C.; Goldschmidt-Clermont, Y.; Pape, L.; Porte, J.P.; Stergiou, A.; Tallini, B.; Vassiliadis, G.; Wenninger, H.; Grard, G.; Henri, V.P.; Herquet, P.; Kesteman, J.; Banerjee, S.; Barnham, K.W.J.; Beuselinck, R.; Butterworth, I.; Campbell, J.R.; Chaff, J.; Mermikides, M.E.; Miller, D.B.; Bertrand, D.; Johnson, D.; Lemonne, J.; Renton, P.; Wickens, J.; Bogaert, F. van den; Daugeras, B.; Jacholkowska, A.

    1977-01-01

    The evidence is reported for a narrow charged peak (5.5 s.d.), which the authors suggest calling the I, in the 6-prong-V 0 topology of anti pp interactions at 12 GeV/c. The mass, width and the product of cross section sigmasub(I) times the branching ratio BR into the final state (K 0 sub(s)π +- π + π - ) are found to be: Msub(I)=2.60+-0.01 GeV/c 2 , GAMMAsub(I) 2 , sigmasub(I).BR approximately 20 μbarn. (Auth.)

  18. Isothermal cross-sections of Sr-Al-Ge and Ba-Al-Ge systems at 673 K

    International Nuclear Information System (INIS)

    Kutsenok, N.L.; Yanson, T.I.

    1987-01-01

    X-ray and microstructural analyses are used to study phase equilibria in Sr-Al-Ge and Ba-Al-Ge systems. Existence of SrAl 2 Ge 2 , Sr(Al, Ge) 2 Ba(Al, Ge) 2 , Sr 3 Al 2 Ge 2 , Ba 3 Al 2 Ge 2 ternary compounds is confirmed, a new BaGe 4 binary compound and also new ternary compounds of approximate composition Sr 57 Al 30 Ge 13 and Ba 20 Al 40 Ge 40 , which crystal structure is unknown, are detected. Aluminium solubility in SrAl 4 and BaAl 4 binary compounds (0.05 atomic fraction) is determined. Ba(Al, Ge) 2 compound homogeneity region is defined more exactly (aluminium content varies from 0.27 to 0.51 at. fractions)

  19. Growth of Ferromagnetic Epitaxial Film of Hexagonal FeGe on (111) Ge Surface

    Science.gov (United States)

    Kumar, Dushyant; Joshi, P. C.; Hossain, Z.; Budhani, R. C.

    2014-03-01

    The realization of semiconductors showing ferromagnetic order at easily accessible temperatures has been of interest due to their potential use in spintronic devices where long spin life times are of key interest. We have realized the growth of FeGe thin films on Ge (111) wafers using pulsed laser deposition (PLD). The stoichiometric and single phase FeGe target used in PLD chamber has been made by arc melting. A typical θ-2 θ diffraction spectra performed on 40 nm thick FeGe film suggests the stabilization of β-Ni2In (B82-type) hexagonal phase with an epitaxial orientation of (0001)FeGe ||(111)Ge and [11-20]FeGe ||[-110]Ge. SEM images shows a granular structure with the formation of very large grains of about 100 to 500 nm in lateral dimension. The magnetization vs. temperature data taken from SQUID reveal the TC of ~ 270K. Since, PLD technique makes it easier to stabilize the B82 (Ni2In) hexagonal phase in thin FeGe films, this work opens opportunities to reinvestigate many conflicting results on various properties of the FeGe system.

  20. Diffractively Produced Charm Final States in 800-GeV/c pp Collisions

    Science.gov (United States)

    Wang, M. H.; Berisso, M. C.; Christian, D. C.; Félix, J.; Gara, A.; Gottschalk, E.; Gutiérrez, G.; Hartouni, E. P.; Knapp, B. C.; Kreisler, M. N.; Lee, S.; Markianos, K.; Moreno, G.; Reyes, M. A.; Sosa, M.; Wehmann, A.; Wesson, D.

    2001-08-01

    We report the first observation of diffractively produced open charm in 800-GeV/c pp collisions of the type pp-->pD*X. We measure cross sections of σdiff(D*+) = (0.185+/-0.044+/-0.054) μb and σdiff(D*-) = (0.174+/-0.034+/-0.029) μb. Our measurements are based on 4.3×109 events recorded by FNAL E690 in the fixed-target run of 1991. We compare our results with previous fixed-target charm experiments.

  1. Search for Ψ* production in K-p interactions at 2.87 GeV/c

    International Nuclear Information System (INIS)

    Briefel, E.; Gourevitch, S.A.; Kirsch, L.; Schmidt, P.; Chang, C.Y.; Hemingway, R.J.; Khoury, B.V.; Stottlemyer, A.R.; Yodh, G.B.; Fernow, R.C.; Glickman, S.L.; Goldberg, M.; Jacobs, S.M.; Meadows, B.T.; Moneti, G.C.; Weygand, D.P.; Tompkins, J.; Canter, J.; Katsoufis, E.; Mann, W.A.; Schneps, J.; Wolsky, G.

    1977-01-01

    Evidence is presented for production of Ψ* resonances, decaying into Ψπ, Ψ (1530) π, ΛK-bar, and ΣK-bar, in K - p interactions at 2.87 GeV/c. The data represent final combined results from a 30-events/μb hydrogen exposure and an 18-events/μb exposure in deuterium designed to study Ψ* production in the mass interval 1.46--2.07 GeV/c 2 . In addition to Ψ (1820) and Ψ (1940), signals have been observed at masses of 1630 MeV/c 2 and 1860 MeV/c 2 decaying into Ψ - π + and YK-bar, respectively. Reaction cross sections have been measured for all final states containing two visible signs of strangeness, and for the final states ΛK - K + and Σ 0 K - K +

  2. Study of proton-deuteron break-up reaction in exclusive experiment at 1 GeV

    International Nuclear Information System (INIS)

    Aleshin, N.P.; Belostotskij, S.L.; Dotsenko, Yu.V.

    1987-07-01

    The exclusive proton-deuteron break-up reaction pD yields ppn was studied at 1 GeV. Differential cross sections and polarizations of the final protons were measured in the range of neutron-spectator momenta 0 3 3 <0.2 GeV/c, respectively. The data obtained are well described within the framework of impulse approximation with the Paris wave function of the deuteron. (author)

  3. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  4. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  5. Predicting yield-stress anomalies in L12 alloys: Ni3Ge-Fe3Ge pseudo-binaries

    International Nuclear Information System (INIS)

    Liu, J.B.; Johnson, D.D.; Smirnov, A.V.

    2005-01-01

    The L1 2 -based pseudo-binary (Ni 1-c Fe c ) 3 Ge is an ideal system to study yield-strength anomaly and its origin as it has a solid-solution phase vs. c and Ni 3 Ge exhibits an anomaly while Fe 3 Ge does not. Using two ab initio electronic-structure techniques, we calculate the planar-fault energies on the γ-surface, i.e., antiphase boundaries (APB) and stacking faults, both complex and superlattice intrinsic (SISF), for (Ni 1-c Fe c ) 3 Ge as a function of c. Generally, we use the fault energies combined with elasticity theory to predict occurrence/loss of the yield-strength anomaly and show that the loss of anomaly occurs due to APB(1 1 1)-to-SISF(1 1 1) instability. Assessing the stability of APB(1 1 1) on the γ-surface within linear elasticity theory, we predict the transition from anomalous to normal temperature dependence of yield strength for c ∼≥ 0.35 (or 26 at.% Fe), as is observed, after which type-II, rather than type-I, dissociation is energetically favorable. Hence, first-principles calculations can predict reliably the existence/loss of anomalous yield-strength. Finally, we show that (0 0 1) and (1 1 1) APB energies of the binaries and pseudo-binaries agree quantitatively with measured values when chemical antisite disorder, intrinsic to the samples characterized, is included, whereas they are too large by a factor of two in perfect L1 2 . We investigate three types of disorder: thermal and off-stoichiometric antisites, as well as chemical disorder vs. Fe-content in pseudo-binaries

  6. 70Ge, 72Ge, 74Ge, 76Ge(d,3He)69Ga, 71Ga, 73Ga, 75Ga reactions at 26 MeV

    International Nuclear Information System (INIS)

    Rotbard, G.; La Rana, G.; Vergnes, M.; Berrier, G.; Kalifa, J.; Guilbaut, G.; Tamisier, R.

    1978-01-01

    The 70 Ge, 72 Ge, 74 Ge, 76 Ge(d, 3 He) 69 Ga, 71 Ga, 73 Ga, 75 Ga reactions have been studied at 26 MeV with 15 keV resolution (F.W.H.M), using the Orsay MP tandem accelerator and a split pole magnetic spectrometer. The spectroscopic factors are determined for 15 levels in 69 Ga and 11 levels in each of the 3 other Ga isotopes. Level schemes are proposed for the practically unknown 73 Ga and 75 Ga. Very simple model wave functions previously proposed for Ge nuclei are seen to reproduce quite well the measured occupation numbers for the proton orbitals. Anomalies in these occupation numbers are observed between Z=31 and 32 and between N=40 and 42, this last one corresponding to the structural transition observed recently in a comparison of the (p,t) and (t,p) reactions. These anomalies could be related to changes in the nuclear shape

  7. Mechanical characterization of poly-SiGe layers for CMOS–MEMS integrated application

    International Nuclear Information System (INIS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; De Wolf, Ingrid; Puers, Robert

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups

  8. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    Science.gov (United States)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  9. Preparation of special purity Ge - S - I and Ge - Se - I glasses

    Science.gov (United States)

    Velmuzhov, A. P.; Sukhanov, M. V.; Shiryaev, V. S.; Kotereva, T. V.; Snopatin, G. E.; Churbanov, M. F.

    2017-05-01

    The paper considers the new approaches for the production of special pure Ge - S - I and Ge - Se - I glasses via the germanium(IV) iodide, germanium(II) sulfide, as well as the Ge2S3, Ge2S3I2 and Ge2Se3I2 glassy alloys. The glass samples containing 0.03-0.17 ppm(wt) hydrogen impurity in the form of SH-group, 0.04-0.15 ppm(wt) hydrogen impurity in the form of SeH-group, and 0.5-7.8 ppm(wt) oxygen impurity in the form of Ge-O were produced. Using a crucible technique, the single-index [GeSe4]95I5 glass fibers of 300-400 μm diameter were drawn. The minimum optical losses in the best fiber were 1.7 dB/m at a wavelength of 5.5 μm; the background optical losses were within 2-3 dB/m in the spectral range of 2.5-8 μm.

  10. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  11. Strain distribution of confined Ge/GeO2 core/shell nanoparticles engineered by growth environments

    Science.gov (United States)

    Wei, Wenyan; Yuan, Cailei; Luo, Xingfang; Yu, Ting; Wang, Gongping

    2016-02-01

    The strain distributions of Ge/GeO2 core/shell nanoparticles confined in different host matrix grown by surface oxidation are investigated. The simulated results by finite element method demonstrated that the strains of the Ge core and the GeO2 shell strongly depend on the growth environments of the nanoparticles. Moreover, it can be found that there is a transformation of the strain on Ge core from tensile to compressive strain during the growth of Ge/GeO2 core/shell nanoparticles. And, the transformation of the strain is closely related with the Young's modulus of surrounding materials of Ge/GeO2 core/shell nanoparticles.

  12. Microscopic local bonding and optically-induced switching for Ge{sub 2}Sb{sub 2}Te{sub 5} alloys: A tale of four pseudo-binary and three binary tie-lines in Ge-Sb-Te phase field

    Energy Technology Data Exchange (ETDEWEB)

    Lucovsky, G.; Baker, D.A.; Washington, J.P.; Paesler, M.A. [Department of Physics, North Carolina State University, Raleigh, NC (United States)

    2009-05-15

    Ge{sub 2}Sb{sub 2}Te{sub 5} (GST-225) has emerged as an active medium for applications in reversible, ReWritable (RW) optical memory discs. Many studies have focused on the properties of this alloy, relative to the other GST compositions on tie-lines in the Ge-Sb-Te ternary phase field; (i) Sb{sub 2}Te to GeTe{sub 2}: (ii) Sb{sub 2}Te{sub 3}: to GeTe; (iii) GeSb to Te: and (iv) the truncated tie-line from GST-124 to Sb. This article focuses instead on the binary atomic join-lines, Te-Ge, Ge-Sb and Sb-Te, that comprise the perimeter of the Ge-Sb-Te ternary diagram. Three eutectic compositions, one on each perimeter segment: (i) Ge{sub 12}Sb{sub 88}; (ii) Te{sub 25}Sb{sub 75}; and (iii) Ge{sub 17}Te{sub 83} have been identified. Focussing on the significance of these eutectic compositions, and (i) building on previous publications from our group, and (ii) relying on two recently published articles, a new model for the RW properties of GST-22T has been proposed. Finally comparisons are made between GST and AIST RW films. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Search for lepton flavor violation in ep collisions at 300 GeV center of mass energy

    International Nuclear Information System (INIS)

    Derrick, M.; Krakauer, D.; Magill, S.

    1996-08-01

    Using the ZEUS detector at the HERA electron-proton collider, we have searched for lepton flavor violation in ep collisions at a center-of-mass energy (√s) of 300 GeV. Events of the type e+p→l+X with a final-state lepton of high transverse momentum, l=μ or τ, were sought. No evidence was found for lepton flavor violation in the combined 1993 and 1994 data samples, for which the integrated luminosities were 0.84 pb -1 for e - p collisions and 2.94 pb -1 for e + p collisions. Limits on coupling vs. mass are provided for leptoquarks and R-parity violating squarks. For flavor violating couplings of electromagnetic strength, we set 95% confidence level lower limits on leptoquark masses between 207 GeV and 272 GeV, depending on the leptoquark species and final-state lepton. For leptoquark masses larger than 300 GeV, limits on flavor-changing couplings are determined, many of which supersede prior limits from rare decay processes. (orig.)

  14. Growth and characterization of isotopically enriched 70Ge and 74Ge single crystals

    International Nuclear Information System (INIS)

    Itoh, K.

    1992-10-01

    Isotopically enriched 70 Ge and 74 Ge single crystals were successfully gown by a newly developed vertical Bridgman method. The system allows us to reliably grow high purity Ge single crystals of approximately 1 cm 3 volume. To our knowledge, we have grown the first 70 Ge single crystal. The electrically active chemical impurity concentration for both crystals was found to be ∼2 x cm -3 which is two order of magnitude better that of 74 Ge crystals previously grown by two different groups. Isotopic enrichment of the 70 Ge and the 74 Ge crystals is 96.3% and 96.8%, respectively. The residual chemical impurities present in both crystals were identified as phosphorus, copper, aluminum, and indium. A wide variety of experiments which take advantage of the isotopic purity of our crystals are discussed

  15. The composition dependence of magnetic, electronic and optical properties of Mn-doped SixGe1-x nanowires

    Science.gov (United States)

    Wei, Jianglin; Lan, Mu; Zhang, Xi; Xiang, Gang

    2017-07-01

    Mn-doped SixGe1-x nanowires (NWs) with different Ge concentrations have been studied by first-principles calculations. It is found that the spin dependent energy bands of the NWs show rich variations both in bandgap width and type (from indirect to direct) as the Ge concentration changes. The Mn-doped SixGe1-x NWs exhibit half-metallic characteristics for all Ge concentrations, and the ground states of the NWs are found to be ferromagnetic (FM). The net magnetization mapping and spin density of states calculations reveal that Mn 3d electrons have a strong hybridization effect with nearest Ge 4p electrons, which results in the Ge’s nontrivial contribution to the magnetic moment of the NWs. Further magnon dispersion studies show that the magnetic order stability of the NWs is influenced by Ge concentrations. Finally, the dependence of the optical properties of the magnetic NWs on the Ge concentration is demonstrated. Our results suggest that Mn-doped SixGe1-x NWs may be useful in spintronic and optoelectronic devices.

  16. Distribution and Substitution Mechanism of Ge in a Ge-(Fe-Bearing Sphalerite

    Directory of Open Access Journals (Sweden)

    Nigel J. Cook

    2015-03-01

    Full Text Available The distribution and substitution mechanism of Ge in the Ge-rich sphalerite from the Tres Marias Zn deposit, Mexico, was studied using a combination of techniques at μm- to atomic scales. Trace element mapping by Laser Ablation Inductively Coupled Mass Spectrometry shows that Ge is enriched in the same bands as Fe, and that Ge-rich sphalerite also contains measurable levels of several other minor elements, including As, Pb and Tl. Micron- to nanoscale heterogeneity in the sample, both textural and compositional, is revealed by investigation using Focused Ion Beam-Scanning Electron Microscopy (FIB-SEM combined with Synchrotron X-ray Fluorescence mapping and High-Resolution Transmission Electron Microscopy imaging of FIB-prepared samples. Results show that Ge is preferentially incorporated within Fe-rich sphalerite with textural complexity finer than that of the microbeam used for the X-ray Absorption Near Edge Structure (XANES measurements. Such heterogeneity, expressed as intergrowths between 3C sphalerite and 2H wurtzite on  zones, could be the result of either a primary growth process, or alternatively, polystage crystallization, in which early Fe-Ge-rich sphalerite is partially replaced by Fe-Ge-poor wurtzite. FIB-SEM imaging shows evidence for replacement supporting the latter. Transformation of sphalerite into wurtzite is promoted by (111* twinning or lattice-scale defects, leading to a heterogeneous ZnS sample, in which the dominant component, sphalerite, can host up to ~20% wurtzite. Ge K-edge XANES spectra for this sphalerite are identical to those of the germanite and argyrodite standards and the synthetic chalcogenide glasses GeS2 and GeSe2, indicating the Ge formally exists in the tetravalent form in this sphalerite. Fe K-edge XANES spectra for the same sample indicate that Fe is present mainly as Fe2+, and Cu K-edge XANES spectra are characteristic for Cu+. Since there is no evidence for coupled substitution involving a monovalent

  17. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  18. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  19. Si, Ge and SiGe wires for sensor application

    International Nuclear Information System (INIS)

    Druzhinin, A.A.; Khoverko, Yu.M.; Ostrovskii, I.P.; Nichkalo, S.I.; Nikolaeva, A.A.; Konopko, L.A.; Stich, I.

    2011-01-01

    Resistance and magnetoresistance of Si, Ge and Si-Ge micro- and nanowires were studied in temperature range 4,2-300 K at magnetic fields up to 14 T. The wires diameters range from 200 nm to 20 μm. Ga-In gates were created to wires and ohmic I-U characteristics were observed in all temperature range. It was found high elastic strain for Ge nanowires (of about 0,7%) as well as high magnitude of magnetoresistance (of about 250% at 14 T), which was used to design multifunctional sensor of simultaneous measurements of strain and magnetic field intensity. (authors)

  20. Bounds on dark matter interpretation of Fermi-LAT GeV excess

    Directory of Open Access Journals (Sweden)

    Kyoungchul Kong

    2014-11-01

    Full Text Available Annihilation of light dark matter of mDM≈(10–40 GeV into the Standard Model fermions has been suggested as a possible origin of the gamma-ray excess at GeV energies in the Fermi-LAT data. In this paper, we examine possible model-independent signatures of such dark matter models in other experiments such as AMS-02, colliders, and cosmic microwave background (CMB measurements. We point out that first generation of fermion final states is disfavored by the existing experimental data. Currently AMS-02 positron measurements provide stringent bounds on cross sections of dark matter annihilation into leptonic final states, and e+e− final state is in severe tension with this constraint, if not ruled out. The e+e− channel will be complementarily verified in an early stage of ILC and future CMB measurements. Light quark final states (qq¯ are relatively strongly constrained by the LHC and dark matter direct detection experiments even though these bounds are model-dependent. Dark matter signals from annihilations into qq¯ channels would be constrained by AMS-02 antiproton data which will be released in very near future. In optimistic case, diffuse radio emission from nearby galaxy (clusters and the galactic center might provide another hint or limit on dark matter annihilation.

  1. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  2. Al203 thin films on Silicon and Germanium substrates for CMOS and flash memory applications

    Science.gov (United States)

    Gopalan, Sundararaman; Dutta, Shibesh; Ramesh, Sivaramakrishnan; Prathapan, Ragesh; Sreehari G., S.

    2017-07-01

    As scaling of device dimensions has continued, it has become necessary to replace traditional SiO2 with high dielectric constant materials in the conventional CMOS devices. In addition, use of metal gate electrodes and Germanium substrates may have to be used in order to address leakage and mobility issues. Al2O3 is one of the potential candidates both for CMOS and as a blocking dielectric for Flash memory applications owing to its low leakage. In this study, the effects of sputtering conditions and post-deposition annealing conditions on the electrical and reliability characteristics of MOS capacitors using Al2O3 films on Si and Ge substrates with Aluminium gate electrodes have been presented. It was observed that higher sputtering power resulted in larger flat-band voltage (Vfb) shifts, more hysteresis, higher interface state density (Dit) and a poorer reliability. Wit was also found that while a short duration high temperature annealing improves film characteristics, a long duration anneal even at 800C was found to be detrimental to MOS characteristics. Finally, the electronic conduction mechanism in Al2O3 films was also studied. It was observed that the conduction mechanism varied depending on the annealing condition, thickness of film and electric field.

  3. Abelian hidden sectors at a GeV

    International Nuclear Information System (INIS)

    Morrissey, David E.; Poland, David; Zurek, Kathryn M.

    2009-01-01

    We discuss mechanisms for naturally generating GeV-scale hidden sectors in the context of weak-scale supersymmetry. Such low mass scales can arise when hidden sectors are more weakly coupled to supersymmetry breaking than the visible sector, as happens when supersymmetry breaking is communicated to the visible sector by gauge interactions under which the hidden sector is uncharged, or if the hidden sector is sequestered from gravity-mediated supersymmetry breaking. We study these mechanisms in detail in the context of gauge and gaugino mediation, and present specific models of Abelian GeV-scale hidden sectors. In particular, we discuss kinetic mixing of a U(1) x gauge force with hypercharge, singlets or bi-fundamentals which couple to both sectors, and additional loop effects. Finally, we investigate the possible relevance of such sectors for dark matter phenomenology, as well as for low- and high-energy collider searches.

  4. The 12 GeV Upgrade at Jefferson Lab

    International Nuclear Information System (INIS)

    Rolf Ent

    2002-01-01

    , direct evidence for the role of gluons in the QCD confinement region is still missing. Spectroscopy of light ''exotic'' mesons, with glue as essential part of their valence structure, would provide such essential evidence. In addition, with 12 GeV one crosses the threshold for charm production. The nearly final draft of the recent NSAC long-range plan states the 12 GeV Upgrade as one of three construction recommendations: ''We strongly recommend the upgrade of CEBAF at Jefferson Laboratory to 12 GeV as soon as possible. The 12 GeV upgrade of the unique CEBAF facility is critical for our continued leadership in the experimental study of hadronic matter.'' Presently, the status of the 12 GeV Upgrade is that the laboratory is waiting for ''CD-0'', a ''Statement of Mission Need'', approval by DOE. Conceptual Design Reports for both accelerator upgrades and the various experimental upgrades (Halls A, B, C, and D) are anticipated by September 15, 2002

  5. Adhesion of rhodium films on metallic substrates

    International Nuclear Information System (INIS)

    Marot, L.; Covarel, G.; Tuilier, M.-H.; Steiner, R.; Oelhafen, P.

    2008-01-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength

  6. Adhesion of rhodium films on metallic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marot, L. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)], E-mail: laurent.marot@unibas.ch; Covarel, G.; Tuilier, M.-H. [Laboratoire Mecanique, Materiaux et Procedes de Fabrication, Pole STIC-SPI-Math 61 rue Albert Camus, Universite de Haute-Alsace, F-68093 - Mulhouse Cedex (France); Steiner, R.; Oelhafen, P. [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2008-09-01

    Rhodium coated metallic films were prepared by magnetron sputtering on metallic substrates. All films were elaborated in same conditions on copper, molybdenum and stainless steel. Adhesion strength tests were carried out by scratch test. The results reveal that the adhesion strength between the film and the substrate is influenced by the hardness of the substrate. Increase of deposition temperature improves the adhesion of the coating. In addition, pre-treatment of substrates by a filtered cathodic vacuum arc and the layer thickness have has some effects on the final adhesion strength.

  7. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    Science.gov (United States)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  8. Transitions of microstructure and photoluminescence properties of the Ge/ZnO multilayer films in certain annealing temperature region

    International Nuclear Information System (INIS)

    Zheng Tianhang; Li Ziquan; Chen Jiankang; Shen Kai; Sun Kefei

    2006-01-01

    The Ge/ZnO multilayer films have been prepared by rf magnetron sputtering. The effects of annealing on the microstructure and photoluminescence properties of the multilayers have been investigated by X-ray diffraction (XRD), transmission electron microscopy (TEM), Fourier-transform infrared (FTIR) spectrometry and photoluminescence (PL) spectrometry. The investigation of structural properties indicates that Zn 2 GeO 4 has been formed with (220) texture and Zn deficiency from Ge/ZnO multilayer films in the process of annealing. However, lower Zn/Ge ratio can be improved by annealing. The annealed multilayers show three main emission bands at 532, 700, and 761nm, which originate from the transition between oxygen vacancy (V o * ) and Zn vacancies (V Zn ), the radiative recombination of quantum-confined excitons (QCE) in Ge nanocrystals, and the optical transition in the GeO color centers, respectively. Finally, the fabrication of thin film Zn 2 GeO 4 from Ge/ZnO multilayer films by annealing at low temperature provides another approach to prepare the green-emitting oxide phosphor film:Zn 2 GeO 4 :Mn

  9. Searches for prompt light gravitino signatures in $e^{+}e^{-}$ Collisions at $\\sqrt{s}$ = 189 GeV

    CERN Document Server

    Abbiendi, G.; Ainsley, C.; Akesson, P.F.; Alexander, G.; Allison, John; Anderson, K.J.; Arcelli, S.; Asai, S.; Ashby, S.F.; Axen, D.; Azuelos, G.; Bailey, I.; Ball, A.H.; Barberio, E.; Barlow, Roger J.; Batley, J.R.; Baumann, S.; Behnke, T.; Bell, Kenneth Watson; Bella, G.; Bellerive, A.; Bentvelsen, S.; Bethke, S.; Biebel, O.; Bloodworth, I.J.; Bock, P.; Bohme, J.; Boeriu, O.; Bonacorsi, D.; Boutemeur, M.; Braibant, S.; Bright-Thomas, P.; Brigliadori, L.; Brown, Robert M.; Burckhart, H.J.; Cammin, J.; Capiluppi, P.; Carnegie, R.K.; Carter, A.A.; Carter, J.R.; Chang, C.Y.; Charlton, David G.; Ciocca, C.; Clarke, P.E.L.; Clay, E.; Cohen, I.; Cooke, O.C.; Couchman, J.; Couyoumtzelis, C.; Coxe, R.L.; Cuffiani, M.; Dado, S.; Dallavalle, G.Marco; Dallison, S.; de Roeck, A.; Dervan, P.; Desch, K.; Dienes, B.; Dixit, M.S.; Donkers, M.; Dubbert, J.; Duchovni, E.; Duckeck, G.; Duerdoth, I.P.; Estabrooks, P.G.; Etzion, E.; Fabbri, F.; Fanti, M.; Feld, L.; Ferrari, P.; Fiedler, F.; Fleck, I.; Ford, M.; Frey, A.; Furtjes, A.; Futyan, D.I.; Gagnon, P.; Gary, J.W.; Gaycken, G.; Geich-Gimbel, C.; Giacomelli, G.; Giacomelli, P.; Glenzinski, D.; Goldberg, J.; Grandi, C.; Graham, K.; Gross, E.; Grunhaus, J.; Gruwe, M.; Gunther, P.O.; Hajdu, C.; Hanson, G.G.; Hansroul, M.; Hapke, M.; Harder, K.; Harel, A.; Hargrove, C.K.; Harin-Dirac, M.; Hauke, A.; Hauschild, M.; Hawkes, C.M.; Hawkings, R.; Hemingway, R.J.; Hensel, C.; Herten, G.; Heuer, R.D.; Hildreth, M.D.; Hill, J.C.; Hobson, P.R.; Hocker, James Andrew; Hoffman, Kara Dion; Homer, R.J.; Honma, A.K.; Horvath, D.; Hossain, K.R.; Howard, R.; Huntemeyer, P.; Igo-Kemenes, P.; Imrie, D.C.; Ishii, K.; Jacob, F.R.; Jawahery, A.; Jeremie, H.; Jones, C.R.; Jovanovic, P.; Junk, T.R.; Kanaya, N.; Kanzaki, J.; Karapetian, G.; Karlen, D.; Kartvelishvili, V.; Kawagoe, K.; Kawamoto, T.; Keeler, R.K.; Kellogg, R.G.; Kennedy, B.W.; Kim, D.H.; Klein, K.; Klier, A.; Kobayashi, T.; Kobel, M.; Kokott, T.P.; Komamiya, S.; Kowalewski, Robert V.; Kress, T.; Krieger, P.; von Krogh, J.; Kuhl, T.; Kupper, M.; Kyberd, P.; Lafferty, G.D.; Landsman, H.; Lanske, D.; Lawson, I.; Layter, J.G.; Leins, A.; Lellouch, D.; Letts, J.; Levinson, L.; Liebisch, R.; Lillich, J.; List, B.; Littlewood, C.; Lloyd, A.W.; Lloyd, S.L.; Loebinger, F.K.; Long, G.D.; Losty, M.J.; Lu, J.; Ludwig, J.; Macchiolo, A.; Macpherson, A.; Mader, W.; Mannelli, M.; Marcellini, S.; Marchant, T.E.; Martin, A.J.; Martin, J.P.; Martinez, G.; Mashimo, T.; Mattig, Peter; McDonald, W.John; McKenna, J.; McMahon, T.J.; McPherson, R.A.; Meijers, F.; Mendez-Lorenzo, P.; Merritt, F.S.; Mes, H.; Michelini, A.; Mihara, S.; Mikenberg, G.; Miller, D.J.; Mohr, W.; Montanari, A.; Mori, T.; Nagai, K.; Nakamura, I.; Neal, H.A.; Nisius, R.; O'Neale, S.W.; Oakham, F.G.; Odorici, F.; Ogren, H.O.; Oh, A.; Okpara, A.; Oreglia, M.J.; Orito, S.; Pasztor, G.; Pater, J.R.; Patrick, G.N.; Patt, J.; Pfeifenschneider, P.; Pilcher, J.E.; Pinfold, J.; Plane, David E.; Poli, B.; Polok, J.; Pooth, O.; Przybycien, M.; Quadt, A.; Rembser, C.; Rick, H.; Robins, S.A.; Rodning, N.; Roney, J.M.; Rosati, S.; Roscoe, K.; Rossi, A.M.; Rozen, Y.; Runge, K.; Runolfsson, O.; Rust, D.R.; Sachs, K.; Saeki, T.; Sahr, O.; Sang, W.M.; Sarkisyan, E.K.G.; Sbarra, C.; Schaile, A.D.; Schaile, O.; Scharff-Hansen, P.; Schmitt, S.; Schroder, Matthias; Schumacher, M.; Schwick, C.; Scott, W.G.; Seuster, R.; Shears, T.G.; Shen, B.C.; Shepherd-Themistocleous, C.H.; Sherwood, P.; Siroli, G.P.; Skuja, A.; Smith, A.M.; Snow, G.A.; Sobie, R.; Soldner-Rembold, S.; Spagnolo, S.; Sproston, M.; Stahl, A.; Stephens, K.; Stoll, K.; Strom, David M.; Strohmer, R.; Surrow, B.; Talbot, S.D.; Tarem, S.; Taylor, R.J.; Teuscher, R.; Thiergen, M.; Thomas, J.; Thomson, M.A.; Torrence, E.; Towers, S.; Trefzger, T.; Trigger, I.; Trocsanyi, Z.; Tsur, E.; Turner-Watson, M.F.; Ueda, I.; Vannerem, P.; Verzocchi, M.; Voss, H.; Vossebeld, J.; Waller, D.; Ward, C.P.; Ward, D.R.; Watkins, P.M.; Watson, A.T.; Watson, N.K.; Wells, P.S.; Wengler, T.; Wermes, N.; Wetterling, D.; White, J.S.; Wilson, G.W.; Wilson, J.A.; Wyatt, T.R.; Yamashita, S.; Zacek, V.; Zer-Zion, D.

    2001-01-01

    Searches for final states expected in models with light gravitinos have been performed, including experimental topologies with multi-leptons with missing energy, leptons and photons with missing energy, and jets and photons with missing energy. No excess over the expectations from the Standard Model has been observed. Limits are placed on production cross-sections in the different experimental topologies. Additionally, combining with searches for the anomalous production of lepton and photon pairs with missing energy results are interpreted in the context of minimal models of gauge mediated SUSY breaking. Exclusion limits are established at the 95% confidence level on the supersymmetric particle masses; m-slepton > 70GeV and m-neutralino > 85GeV for tan(beta)=2, m-stau > 76GeV, m-selectron,-smu > 93GeV and m-neutralino > 76GeV for tan(beta)=20.

  10. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  11. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  12. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    Science.gov (United States)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  13. Preparation of Nb3Ge films by chemical transport reaction and their critical properties

    International Nuclear Information System (INIS)

    Oya, G.; Saur, E.J.

    1979-01-01

    Niobium-germanium films have been deposited on sapphire substrates at 900 0 C by a chemical transport reaction method. The highest superconducting transition onset temperature T/sub C,on/ of 22.4K is observed for a nearly stoichiometric Nb 3 Ge film with the A15-type structure (thickness approx.93.5 μm). Lattice constants for the Nb 3 Ge phase formed in the Nb-Ge films with both T/sub C,on/ above 22 K and T/sub C,midpoint/ above 21 K are found to extend from 5.143 to 5.153 A. Deposition rates for the obtained films are in the range of 2-10 μm/min. Critical current densities for the Nb 3 Ge film with the highest T/sub C,on/ value are observed to be relatively low (approx.10 3 A/cm 2 at 19 K at self-field). This is due to the coarse grain structure of the film or the low density of effectual pinning centers in the film. Field variations of the pinning forces operating in this film in magnetic fields both parallel to the film surface and perpendicular to the film surface are found to follow closely b/sup 1/2/ (1-b) 2 , to which the pinning force for flux pinning at the surface of normal regions, such as grain boundaries, film surfaces, etc., is proportional, and where b is the reduced magnetic induction (B/B/sub C2/). A small increase in J/sub C/ at low fields is caused by the presence of a small amount of the Nb 5 Ge 3 phase in a Nb 3 Ge film, and seems attributable to additional flux pinning on Nb 5 Ge 3 -phase particles in the film

  14. Structural defects and epitaxial rotation of C-60 and C-70(111) films on GeS(001)

    DEFF Research Database (Denmark)

    Bernaerts, D.; Van Tendeloo, G.; Amelinckx, S.

    1996-01-01

    A transmission electron microscopy study of epitaxial C60 and C70 films grown on a GeS (001) surface is presented. The relationship between the orientation of the substrate and the films and structural defects in the films, such as grain boundaries, unknown in bulk C60 and C70 crystals, are studied...

  15. Substrate tolerant direct block copolymer nanolithography

    DEFF Research Database (Denmark)

    Li, Tao; Wang, Zhongli; Schulte, Lars

    2016-01-01

    Block copolymer (BC) self-assembly constitutes a powerful platform for nanolithography. However, there is a need for a general approach to BC lithography that critically considers all the steps from substrate preparation to the final pattern transfer. We present a procedure that significantly sim...... plasma treatment enables formation of the oxidized PDMS hard mask, PS block removal and polymer or graphene substrate patterning....

  16. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  17. Piezoresistance of Silicon and Strained Si0.9Ge0.1

    DEFF Research Database (Denmark)

    Richter, Jacob; Hansen, Ole; Larsen, A. Nylandsted

    2005-01-01

    We present experimentally obtained results of the piezoresistive effect in p-type silicon and strained Si0.9Ge0.1. Today, strained Si1-xGex is used for high speed electronic devices. This paper investigates if this area of use can be expanded to also cover piezoresistive micro electro mechanical...... systems (MEMS) devices. The measurements are performed on microfabricated test chips where resistors are defined in layers grown by molecular beam epitaxy on (0 0 1) silicon substrates. A uniaxial stress along the [1 1 0] direction is applied to the chip, with the use of a four point bending fixture....... The investigation covers materials with doping levels of N-A = 10(18) cm(-3) and NA = 1019 cm(-3), respectively. The results show that the pi(66) piezoresistive coefficient in strained Si0.9Ge0.1 is approximately 30% larger than the comparable pi(44) piezoresistive coefficient in silicon at a doping level of N...

  18. Structural change upon annealing of amorphous GeSbTe grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Bragaglia, V., E-mail: bragaglia@pdi-berlin.de; Jenichen, B.; Giussani, A.; Perumal, K.; Riechert, H.; Calarco, R. [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2014-08-07

    The structural change upon annealing of an amorphous GeSbTe (GST) film deposited by molecular beam epitaxy on a Si(111) substrate is studied by means of X-ray diffraction (XRD), X-ray reflectivity (XRR), and atomic force microscopy (AFM). XRD profiles reveal that both metastable cubic and stable hexagonal phases are obtained with a single out-of-plane orientation. XRR study shows a density increase and consequent thickness decrease upon annealing, in accordance with literature. From both, the XRD and the AFM study, it emerges that the crystalline substrate acts as a template for the film, favoring the crystallization of the amorphous GST into the [111] oriented metastable cubic phase, and the latter turns into the [0001] stable hexagonal phase for higher annealing temperature.

  19. The natural catalytic function of CuGE glucuronoyl esterase in hydrolysis of genuine lignin-carbohydrate complexes from birch

    DEFF Research Database (Denmark)

    Mosbech, Caroline; Holck, Jesper; Meyer, Anne S.

    2018-01-01

    Glucuronoyl esterases belong to carbohydrate esterase family 15 and catalyze de-esterification. Their natural function is presumed to be cleavage of ester linkages in lignin-carbohydrate complexes particularly those linking lignin and glucuronoyl residues in xylans in hardwood. Here, we show...... for the first time a detailed product profile of aldouronic acids released from birchwood lignin by a glucuronoyl esterase from the white-rot fungus Cerrena unicolor (CuGE). CuGE releases substrate for GH10 endo-xylanase which results in significantly increased product release compared to the action of endo......-xylanase alone. CuGE also releases neutral xylo-oligosaccharides that can be ascribed to the enzymes feruloyl esterase side activity as demonstrated by release of ferulic acid from insoluble wheat arabinoxylan. The data verify the enzyme's unique ability to catalyze removal of all glucuronoxylan associated...

  20. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  1. Luminescence of one dimensional ZnO, GeO{sub 2}–Zn{sub 2}GeO{sub 4} nanostructure through thermal evaporation of Zn and Ge powder mixture

    Energy Technology Data Exchange (ETDEWEB)

    Pham, Vuong-Hung, E-mail: vuong.phamhung@hust.edu.vn; Kien, Vu Trung; Tam, Phuong Dinh; Huy, Pham Thanh

    2016-07-15

    Graphical abstract: - Highlights: • ZnO and GeO{sub 2}–ZnGeO{sub 4} nanowires were fabricated by thermal evaporation of Zn and Ge powder mixture. • Morphology of specimens were observed to have a nanowire structure to rod-like morphology. • Strong NBE emission band with suppressed visible green emission band were observed on the dominant ZnO nanowires. • Strong emission of ∼530 nm were observed on the GeO{sub 2}–Zn{sub 2}GeO{sub 4} nanowires. - Abstract: This paper reports the first attempt for fabrication of thermal evaporated Zn–Ge powder mixture to achieve near-band-edge (NBE) emission of ZnO and visible emission of GeO{sub 2}–Zn{sub 2}GeO{sub 4} nanowires with controllable intensities. The nanowires were fabricated by thermal evaporation of Zn and Ge powder mixture, particularly, by using different Zn:Ge ratio, temperature and evaporated times. The morphology of nanowires was depended on the Zn and Ge ratio that was observed to have a nanowire structure to rod-like morphology. The thermal evaporation of Zn:Ge powder mixture resulted in formation of dominant ZnO or GeO{sub 2}–Zn{sub 2}GeO{sub 4} nanowires as a function of evaporated parameters. These results suggest that the application of thermal evaporation of Zn and Ge mixture for potential application in synthesis of ZnO or GeO{sub 2}–Zn{sub 2}GeO{sub 4} nanowires for optoelectronic field.

  2. Heteroepitaxy of Ge on Si(001) with pits and windows transferred from free-standing porous alumina mask

    International Nuclear Information System (INIS)

    Huangfu, Yourui; Zhan Wenbo; Hong Xia; Fang Xu; Ye Hui; Ding Guqiao

    2013-01-01

    This paper reports the use of ultrathin free-standing porous alumina membrane (PAM) in pattern transferring for selective epitaxial growth (SEG) of Ge dots and films on Si. PAM, as a large-scale, controllable and lithography-free mask, can transfer nanopatterns onto Si without introducing any contaminants. High-density Ge dots are achievable with Ge adatoms confined in Si pits transferred from PAM. High-quality Ge films can also be grown on Si substrates through SiO 2 nano-windows. In this work, 80 and 60 nm pore sizes of PAM were transferred to 70, 50 and 20 nm windows for comparison. For the former two sizes, over-etching of Si beneath every SiO 2 window forms epi-seeds to improve intermixing of Ge–Si. No threading dislocations can be observed emanating from the epi-seeds due to the decreased lattice mismatch. An innovative shadow-etching technique utilizing the aspect ratio of PAM further decreased the lateral dimension of patterns from 60 to 20 nm. Cross-sectional transmission electron microscopy images show that the selective epitaxial Ge films grown from a 20 nm-width interface are defect free, which is attributed to the exponential decay of strain energy as well as Ge–Si intermixing. (paper)

  3. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  4. A study of the optical properties and adhesion of zinc sulfide anti-reflection thin film coated on a germanium substrate

    Energy Technology Data Exchange (ETDEWEB)

    Firoozifar, S.A.R. [Atomic and Molecular Group, Faculty of Physics, Yazd University, Yazd (Iran, Islamic Republic of); Behjat, A., E-mail: abehjat@yazduni.ac.ir [Atomic and Molecular Group, Faculty of Physics, Yazd University, Yazd (Iran, Islamic Republic of); Photonics Research Group, Engineering Research Center, Yazd University, Yazd (Iran, Islamic Republic of); Kadivar, E. [Physics Department, Persian Gulf University, Bushehr (Iran, Islamic Republic of); Ghorashi, S.M.B.; Zarandi, M. Borhani [Atomic and Molecular Group, Faculty of Physics, Yazd University, Yazd (Iran, Islamic Republic of)

    2011-11-01

    To conduct this study, zinc sulfide (ZnS) thin films deposited on germanium (Ge) substrates were prepared by an evaporation method. The effects of deposition rate and annealing on the optical properties and adhesion of the ZnS thin films were investigated. The transmission intensity and the X-ray diffraction (XRD) pattern of the samples showed that the transmittance of the samples decreases by increasing the evaporation rates. However, with the increase of the annealing temperature, crystallinity of the thin films improves which, in turn, results in the enhancement of the transmission intensity in a far infrared region. The maximum grain size was obtained at the annealing temperature of 225 deg. C. Our experimental results also show that evaporation rate and annealing influences the adhesion of ZnS thin films to Ge substrates.

  5. Magnetic phase diagrams of UNiGe

    International Nuclear Information System (INIS)

    Nakotte, H.; Hagmusa, I.H.; Klaasse, J.C.P.; Hagmusa, I.H.; Klaasse, J.C.P.

    1997-01-01

    UNiGe undergoes two magnetic transitions in zero field. Here, the magnetic diagrams of UNiGe for B parallel b and B parallel c are reported. We performed temperatures scans of the magnetization in static magnetic fields up to 19.5T applied along the b and c axes. For both orientations 3 magnetic phases have been identified in the B-T diagrams. We confirmed the previously reported phase boundaries for B parallel c, and in addition we determined the location of the phase boundaries for B parallel b. We discuss a possible relationship of the two zero-field antiferromagnetic phases (commensurate: T<42K; incommensurate: 42K< T<50K) and the field-induced phase, which, at low temperatures, occurs between 18 and 25T or 4 and 10T for B parallel b or B parallel c, respectively. Finally, we discuss the field dependence of the electronic contribution γ to the specific heat for B parallel c up to 17.5T, and we find that its field dependence is similar to the one found in more itinerant uranium compounds

  6. Precipitation and strengthening phenomena in Al-Si-Ge and Al-Cu-Si-Ge alloys

    International Nuclear Information System (INIS)

    Mitlin, D.; Morris, J.W.; Dahmen, U.; Radmilovic, V.

    2000-01-01

    The objective of this work was to determine whether Al rich Al-Si-Ge and 2000 type Al-Cu-Si-Ge alloys have sufficient hardness to be useful for structural applications. It is shown that in Al-Si-Ge it is not possible to achieve satisfactory hardness through a conventional heat treatment. This result is explained in terms of sluggish precipitation of the diamond-cubic Si-Ge phase coupled with particle coarsening. However, Al-Cu-Si-Ge displayed a uniquely fast aging response, a high peak hardness and a good stability during prolonged aging. The high hardness of the Cu containing alloy is due to the dense and uniform distribution of fine θ' precipitates (metastable Al 2 Cu) which are heterogeneously nucleated on the Si-Ge particles. High resolution TEM demonstrated that in both alloys all the Si-Ge precipitates start out, and remain multiply twinned throughout the aging treatment. Since the twinned section of the precipitate does not maintain a low index interface with the matrix, the Si-Ge precipitates are equiaxed in morphology. Copyright (2000) AD-TECH - International Foundation for the Advancement of Technology Ltd

  7. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  8. Determination of the proton spin structure functions for 0.05 GeV2 using CLAS

    Science.gov (United States)

    Fersch, R. G.; Guler, N.; Bosted, P.; Deur, A.; Griffioen, K.; Keith, C.; Kuhn, S. E.; Minehart, R.; Prok, Y.; Adhikari, K. P.; Adhikari, S.; Akbar, Z.; Amaryan, M. J.; Anefalos Pereira, S.; Asryan, G.; Avakian, H.; Ball, J.; Balossino, I.; Baltzell, N. A.; Battaglieri, M.; Bedlinskiy, I.; Biselli, A. S.; Briscoe, W. J.; Brooks, W. K.; Bültmann, S.; Burkert, V. D.; Thanh Cao, Frank; Carman, D. S.; Careccia, S.; Celentano, A.; Chandavar, S.; Charles, G.; Chetry, T.; Ciullo, G.; Clark, L.; Colaneri, L.; Cole, P. L.; Compton, N.; Contalbrigo, M.; Cortes, O.; Crede, V.; D'Angelo, A.; Dashyan, N.; De Vita, R.; De Sanctis, E.; Djalali, C.; Dodge, G. E.; Dupre, R.; Egiyan, H.; El Alaoui, A.; El Fassi, L.; Elouadrhiri, L.; Eugenio, P.; Fanchini, E.; Fedotov, G.; Filippi, A.; Fleming, J. A.; Forest, T. A.; Garçon, M.; Gavalian, G.; Ghandilyan, Y.; Gilfoyle, G. P.; Giovanetti, K. L.; Girod, F. X.; Gleason, C.; Golovatch, E.; Gothe, R. W.; Guidal, M.; Guo, L.; Hafidi, K.; Hakobyan, H.; Hanretty, C.; Harrison, N.; Hattawy, M.; Heddle, D.; Hicks, K.; Holtrop, M.; Hughes, S. M.; Ilieva, Y.; Ireland, D. G.; Ishkhanov, B. S.; Isupov, E. L.; Jenkins, D.; Joo, K.; Keller, D.; Khachatryan, G.; Khachatryan, M.; Khandaker, M.; Kim, A.; Kim, W.; Klein, A.; Klein, F. J.; Kubarovsky, V.; Lagerquist, V. G.; Lanza, L.; Lenisa, P.; Livingston, K.; Lu, H. Y.; McKinnon, B.; Meyer, C. A.; Mirazita, M.; Mokeev, V.; Montgomery, R. A.; Movsisyan, A.; Munoz Camacho, C.; Murdoch, G.; Nadel-Turonski, P.; Niccolai, S.; Niculescu, G.; Niculescu, I.; Osipenko, M.; Ostrovidov, A. I.; Paolone, M.; Paremuzyan, R.; Park, K.; Pasyuk, E.; Phelps, W.; Pierce, J.; Pisano, S.; Pogorelko, O.; Price, J. W.; Protopopescu, D.; Raue, B. A.; Ripani, M.; Riser, D.; Rizzo, A.; Rosner, G.; Rossi, P.; Roy, P.; Sabatié, F.; Salgado, C.; Schumacher, R. A.; Sharabian, Y. G.; Simonyan, A.; Skorodumina, Iu.; Smith, G. D.; Sokhan, D.; Sparveris, N.; Stankovic, I.; Stepanyan, S.; Strakovsky, I. I.; Strauch, S.; Taiuti, M.; Tian, Ye; Torayev, B.; Ungaro, M.; Voskanyan, H.; Voutier, E.; Walford, N. K.; Watts, D. P.; Wei, X.; Weinstein, L. B.; Zachariou, N.; Zhang, J.; CLAS Collaboration

    2017-12-01

    We present the results of our final analysis of the full data set of g1p(Q2) , the spin structure function of the proton, collected using CLAS at Jefferson Laboratory in 2000-2001. Polarized electrons with energies of 1.6, 2.5, 4.2, and 5.7 GeV were scattered from proton targets (NH153 dynamically polarized along the beam direction) and detected with CLAS. From the measured double spin asymmetries, we extracted virtual photon asymmetries A1p and A2p and spin structure functions g1p and g2p over a wide kinematic range (0.05 GeV2GeV2 and 1.08 GeV GeV) and calculated moments of g1p. We compare our final results with various theoretical models and expectations, as well as with parametrizations of the world data. Our data, with their precision and dense kinematic coverage, are able to constrain fits of polarized parton distributions, test pQCD predictions for quark polarizations at large x , offer a better understanding of quark-hadron duality, and provide more precise values of higher twist matrix elements in the framework of the operator product expansion.

  9. Electric-field controlled ferromagnetism in MnGe magnetic quantum dots

    Directory of Open Access Journals (Sweden)

    Faxian Xiu

    2011-03-01

    Full Text Available Electric-field control of ferromagnetism in magnetic semiconductors at room temperature has been actively pursued as one of the important approaches to realize practical spintronics and non-volatile logic devices. While Mn-doped III-V semiconductors were considered as potential candidates for achieving this controllability, the search for an ideal material with high Curie temperature (Tc>300 K and controllable ferromagnetism at room temperature has continued for nearly a decade. Among various dilute magnetic semiconductors (DMSs, materials derived from group IV elements such as Si and Ge are the ideal candidates for such materials due to their excellent compatibility with the conventional complementary metal-oxide-semiconductor (CMOS technology. Here, we review recent reports on the development of high-Curie temperature Mn0.05Ge0.95 quantum dots (QDs and successfully demonstrate electric-field control of ferromagnetism in the Mn0.05Ge0.95 quantum dots up to 300 K. Upon the application of gate-bias to a metal-oxide-semiconductor (MOS capacitor, the ferromagnetism of the channel layer (i.e. the Mn0.05Ge0.95 quantum dots was modulated as a function of the hole concentration. Finally, a theoretical model based upon the formation of magnetic polarons has been proposed to explain the observed field controlled ferromagnetism.

  10. The final focus test beam project

    International Nuclear Information System (INIS)

    Burke, D.

    1991-05-01

    An overview is given of the Final Focus Test Beam (FFTB) that is being constructed as a prototype final focus system for a future electron-positron linear collider. This beam line will use as input the 50 GeV electron beam from the SLC linac, and is designed to reduce the transverse dimensions of the beam spot at the focal point to 1 μm. 5 refs., 2 figs., 1 tab

  11. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  12. 75 FR 47318 - GE Asset Management Incorporated and GE Investment Distributors, Inc.; Notice of Application and...

    Science.gov (United States)

    2010-08-05

    ...] GE Asset Management Incorporated and GE Investment Distributors, Inc.; Notice of Application and.... Applicants: GE Asset Management Incorporated (``GEAM'') and GE Investment Distributors, Inc. (``GEID... of Investment Management, Office of Investment Company Regulation). SUPPLEMENTARY INFORMATION: The...

  13. anti pp elastic scattering at 30 GeV/c incident momentum in the momentum transfer range 0.52

    International Nuclear Information System (INIS)

    Asa'd, Z.; Coupland, M.; Davis, D.G.; Duff, B.G.; Fearnley, T.; Heymann, F.F.; Imrie, D.C.; Lush, G.J.; Phillips, M.; Baglin, A.; Guillard, J.P.; Poulet, M.; Brom, J.M.; Myrheim, J.; Kenyon Gjerpe, I.; Buran, T.; Buzzo, A.; Ferroni, S.; Gracco, V.; Khan, E.; Kirsebom, K.; Macri, M.; Rossi, L.; Santroni, A.; Skjevling, G.; Sorensen, S.O.

    1983-01-01

    The anti pp elastic differential cross section at 30 GeV/c incident momentum has been measured in a two-arm spectrometer experiment (WA7) at the CERN SPS. The vertical stroketvertical stroke-range covered extends from 0.5 to 5.8 (GeV/c) 2 . A pronounced dip-bump structure is observed, with a sharp minimum around vertical stroketvertical strokeapprox.=1.7 (GeV/c) 2 . The results are compared with existing anti pp data at lower energies and with our earlier anti pp data at 50 GeV/c. A number of model predictions are discussed. We also compare the anti pp 30 GeV/c differential cross section with that of pp at the same momentum. Finally, the energy dependence of the anti pp fixed-vertical stroketvertical stroke differential cross section in the incident momentum range 3.6 to 50 GeV/c is presented. (orig.)

  14. Investigation on the dominant key to achieve superior Ge surface passivation by GeOx based on the ozone oxidation

    International Nuclear Information System (INIS)

    Wang, Xiaolei; Xiang, Jinjuan; Wang, Wenwu; Xiong, Yuhua; Zhang, Jing; Zhao, Chao

    2015-01-01

    Highlights: • The dominant key to achieve superior Ge passivation by GeO x is investigated. • The interface state density decreases with increasing the GeO x thickness. • The Ge 3+ oxide component is the dominant key to passivate the Ge surface. • The atomic structure at the GeO x /Ge interface is built by XPS. - Abstract: The dominant key to achieve superior Ge surface passivation by GeO x interfacial layer is investigated based on ozone oxidation. The interface state density (D it ) measured from low temperature conduction method is found to decrease with increasing the GeO x thickness (0.26–1.06 nm). The X-ray photoelectron spectroscopy (XPS) is employed to demonstrate the interfacial structure of GeO x /Ge with different GeO x thicknesses. And the XPS results show that Ge 3+ oxide component is responsible to the decrease of the D it due to the effective passivation of Ge dangling bonds. Therefore, the formation of Ge 3+ component is the dominant key to achieve low D it for Ge gate stacks. Our work confirms that the same physical mechanism determines the Ge surface passivation by the GeO x regardless of the oxidation methods to grow the GeO x interfacial layer. As a result, to explore a growth process that can realize sufficient Ge 3+ component in the GeO x interlayer as thin as possible is important to achieve both equivalent oxide thickness scaling and superior interfacial property simultaneously. This conclusion is helpful to engineer the optimization of the Ge gate stacks.

  15. Interfacial sharpness and intermixing in a Ge-SiGe multiple quantum well structure

    Science.gov (United States)

    Bashir, A.; Gallacher, K.; Millar, R. W.; Paul, D. J.; Ballabio, A.; Frigerio, J.; Isella, G.; Kriegner, D.; Ortolani, M.; Barthel, J.; MacLaren, I.

    2018-01-01

    A Ge-SiGe multiple quantum well structure created by low energy plasma enhanced chemical vapour deposition, with nominal well thickness of 5.4 nm separated by 3.6 nm SiGe spacers, is analysed quantitatively using scanning transmission electron microscopy. Both high angle annular dark field imaging and electron energy loss spectroscopy show that the interfaces are not completely sharp, suggesting that there is some intermixing of Si and Ge at each interface. Two methods are compared for the quantification of the spectroscopy datasets: a self-consistent approach that calculates binary substitutional trends without requiring experimental or computational k-factors from elsewhere and a standards-based cross sectional calculation. Whilst the cross section approach is shown to be ultimately more reliable, the self-consistent approach provides surprisingly good results. It is found that the Ge quantum wells are actually about 95% Ge and that the spacers, whilst apparently peaking at about 35% Si, contain significant interdiffused Ge at each side. This result is shown to be not just an artefact of electron beam spreading in the sample, but mostly arising from a real chemical interdiffusion resulting from the growth. Similar results are found by use of X-ray diffraction from a similar area of the sample. Putting the results together suggests a real interdiffusion with a standard deviation of about 0.87 nm, or put another way—a true width defined from 10%-90% of the compositional gradient of about 2.9 nm. This suggests an intrinsic limit on how sharp such interfaces can be grown by this method and, whilst 95% Ge quantum wells (QWs) still behave well enough to have good properties, any attempt to grow thinner QWs would require modifications to the growth procedure to reduce this interdiffusion, in order to maintain a composition of ≥95% Ge.

  16. Model-independent determination of the strain distribution for a SiGe/Si superlattice using X-ray diffractometry data

    International Nuclear Information System (INIS)

    Nikulin, A.Y.; Stevenson, A.W.; Hashizume, H.

    1996-01-01

    The strain distribution in a Si 0.9 Ge 0.l/Si superlattice is determined from x-ray diffractometry data with a 25 Angstroms depth resolution. A logarithmic dispersion relation is used to determine the phase of the structure factor with information available a priori on the sample structure. Phase information is obtained from the observed reflection intensity via a logarithmic Hilbert transform and the a priori information is used to select the zeros to be included in the solution. The reconstructed lattice strain profile clearly resolves SiGe and Si layers of 90 - 160 Angstroms thickness alternately stacked on a silicon substrate. The SiGe layer is found to have a lattice spacing in the surface-normal direction significantly smaller than predicted by Vegard's law. The result is supported by very good agreement of the simulated rocking curve profile with the observation. 18 refs., 1 tab., 5 figs

  17. Mechanism of the nanoscale localization of Ge quantum dot nucleation on focused ion beam templated Si(001) surfaces

    International Nuclear Information System (INIS)

    Portavoce, A; Kammler, M; Hull, R; Reuter, M C; Ross, F M

    2006-01-01

    We investigate the fundamental mechanism by which self-assembled Ge islands can be nucleated at specific sites on Si(001) using ultra-low-dose focused ion beam (FIB) pre-patterning. Island nucleation is controlled by a nanotopography that forms after the implantation of Ga ions during subsequent thermal annealing of the substrate. This nanotopography evolves during the annealing stage, changing from a nanoscale annular depression associated with each focused ion beam spot to a nanoscale pit, and eventually disappearing (planarizing). The correspondence of Ge quantum dot nucleation sites to the focused ion beam features requires a growth surface upon which the nanotopography is preserved. A further key observation is that the Ge wetting layer thickness is reduced in patterned regions, allowing the formation of islands on the templated regions without nucleation elsewhere. These results provide routes to the greatly enhanced design and control of quantum dot distributions and dimensions

  18. Thermal transport property of Ge34 and d-Ge investigated by molecular dynamics and the Slack's equation

    International Nuclear Information System (INIS)

    Han-Fu, Wang; Wei-Guo, Chu; Yan-Jun, Guo; Hao, Jin

    2010-01-01

    In this study, we evaluate the values of lattice thermal conductivity κ L of type II Ge clathrate (Ge 34 ) and diamond phase Ge crystal (d-Ge) with the equilibrium molecular dynamics (EMD) method and the Slack's equation. The key parameters of the Slack's equation are derived from the thermodynamic properties obtained from the lattice dynamics (LD) calculations. The empirical Tersoff's potential is used in both EMD and LD simulations. The thermal conductivities of d-Ge calculated by both methods are in accordance with the experimental values. The predictions of the Slack's equation are consistent with the EMD results above 250 K for both Ge 34 and d-Ge. In a temperature range of 200–1000 K, the κ L value of d-Ge is about several times larger than that of Ge 34 . (condensed matter: structure, thermal and mechanical properties)

  19. Study of Sn and Mg doping effects on TiO2/Ge stack structure by combinatorial synthesis

    Science.gov (United States)

    Nagata, Takahiro; Suzuki, Yoshihisa; Yamashita, Yoshiyuki; Ogura, Atsushi; Chikyow, Toyohiro

    2018-04-01

    The effects of Sn and Mg doping of a TiO2 film on a Ge substrate were investigated to improve leakage current properties and Ge diffusion into the TiO2 film. For systematic analysis, dopant-composition-spread TiO2 samples with dopant concentrations of up to 20.0 at. % were fabricated by RF sputtering and a combinatorial method. X-ray photoelectron spectroscopy revealed that the instability of Mg doping of TiO2 at dopant concentrations above 10.5 at. %. Both Sn and Mg dopants reduced Ge diffusion into TiO2. Sn doping enhanced the crystallization of the rutile phase, which is a high-dielectric-constant phase, although the Mg-doped TiO2 film indicated an amorphous structure. Sn-doping indicated systematic leakage current reduction with increasing dopant concentration. Doping at Sn concentrations higher than 16.8 at. % improved the leakage properties (˜10-7 A/cm2 at -3.0 V) and capacitance-voltage properties of metal-insulator-semiconductor (MIS) operation. The Sn doping of TiO2 may be useful for interface control and as a dielectric material for Ge-based MIS capacitors.

  20. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  1. Dark matter annihilation into four-body final states and implications for the AMS antiproton excess

    Science.gov (United States)

    Clark, Steven J.; Dutta, Bhaskar; Strigari, Louis E.

    2018-01-01

    We consider dark matter annihilation into a general set of final states of standard model particles, including two-body and four-body final states that result from the decay of intermediate states. For dark matter masses ˜10 - 105 GeV , we use updated data from Planck and from high gamma-ray experiments such as Fermi-LAT, MAGIC, and VERITAS to constrain the annihilation cross section for each final state. The Planck constraints are the most stringent over the entire mass range for annihilation into light leptons, and the Fermi-LAT constraints are the most stringent for four-body final states up to masses ˜104 GeV . We consider these constraints in light of the recent AMS antiproton results, and show that for light mediators it is possible to explain the AMS data with dark matter, and remain consistent with Fermi-LAT Inner Galaxy measurements, for mχ˜60 - 100 GeV mass dark matter and mediator masses mϕ/mχ≲1 .

  2. Substrate engineering for Ni-assisted growth of carbon nano-tubes

    Energy Technology Data Exchange (ETDEWEB)

    Kolahdouz, Z.; Kolahdouz, M. [Department of Electrical and Computer Engineering, Nano-electronic Laboratory, University of Tehran, Tehran (Iran, Islamic Republic of); Ghanbari, H. [Tarbiat Modarres University, Tehran (Iran, Islamic Republic of); Mohajerzadeh, S. [Department of Electrical and Computer Engineering, Nano-electronic Laboratory, University of Tehran, Tehran (Iran, Islamic Republic of); Naureen, S. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Kista (Sweden); Radamson, H.H., E-mail: rad@kth.se [School of Information and Communication Technology, KTH (Royal Institute of Technology) Kista (Sweden)

    2012-10-01

    The growth of carbon multi-walled nano-tubes (MWCNTs) using metal catalyst (e.g. Ni, Co, and Fe) has been extensively investigated during the last decade. In general, the physical properties of CNTs depend on the type, quality and diameter of the tubes. One of the parameters which affects the diameter of a MWCNT is the size of the catalyst metal islands. Considering Ni as the metal catalyst, the formed silicide layer agglomerates (island formation) after a thermal treatment. One way to decrease the size of Ni islands is to apply SiGe as the base for the growth. In this study, different methods based on substrate engineering are proposed to change/control the MWCNT diameters. These include (i) well-controlled oxide openings containing Ni to miniaturize the metal island size, and (ii) growth on strained or partially relaxed SiGe layers for smaller Ni silicide islands.

  3. Structural Analyses of Phase Stability in Amorphous and Partially Crystallized Ge-Rich GeTe Films Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Gwon, Taehong; Mohamed, Ahmed Yousef; Yoo, Chanyoung; Park, Eui-Sang; Kim, Sanggyun; Yoo, Sijung; Lee, Han-Koo; Cho, Deok-Yong; Hwang, Cheol Seong

    2017-11-29

    The local bonding structures of Ge x Te 1-x (x = 0.5, 0.6, and 0.7) films prepared through atomic layer deposition (ALD) with Ge(N(Si(CH 3 ) 3 ) 2 ) 2 and ((CH 3 ) 3 Si) 2 Te precursors were investigated using Ge K-edge X-ray absorption spectroscopy (XAS). The results of the X-ray absorption fine structure analyses show that for all of the compositions, the as-grown films were amorphous with a tetrahedral Ge coordination of a mixture of Ge-Te and Ge-Ge bonds but without any signature of Ge-GeTe decomposition. The compositional evolution in the valence band electronic structures probed through X-ray photoelectron spectroscopy suggests a substantial chemical influence of additional Ge on the nonstoichiometric GeTe. This implies that the ALD process can stabilize Ge-abundant bonding networks like -Te-Ge-Ge-Te- in amorphous GeTe. Meanwhile, the XAS results on the Ge-rich films that had undergone post-deposition annealing at 350 °C show that the parts of the crystalline Ge-rich GeTe became separated into Ge crystallites and rhombohedral GeTe in accordance with the bulk phase diagram, whereas the disordered GeTe domains still remained, consistent with the observations of transmission electron microscopy and Raman spectroscopy. Therefore, amorphousness in GeTe may be essential for the nonsegregated Ge-rich phases and the low growth temperature of the ALD enables the achievement of the structurally metastable phases.

  4. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  5. Effect of a Stepped Si(100) Surface on the Nucleation Process of Ge Islands

    Science.gov (United States)

    Yesin, M. Yu.; Nikiforov, A. I.; Timofeev, V. A.; Mashanov, V. I.; Tuktamyshev, A. R.; Loshkarev, I. D.; Pchelyakov, O. P.

    2018-03-01

    Nucleation of Ge islands on a stepped Si(100) surface is studied. It is shown by diffraction of fast electrons that at a temperature of 600°C, constant flux of Si, and deposition rate of 0.652 Å/s, a series of the 1×2 superstructure reflections completely disappears, if the Si (100) substrate deviated by an angle of 0.35° to the (111) face is preliminarily heated to 1000°C. The disappearance of the 1×2 superstructure reflexes is due to the transition from the surface with monoatomic steps to that with diatomic ones. Investigations of the Ge islands' growth were carried out on the Si(100) surface preliminarily annealed at temperatures of 800 and 1000°C. It is shown that the islands tend to nucleate at the step edges.

  6. Growth dynamics of SiGe nanowires by the Vapour Liquid Solid method and its impact on SiGe/Si axial heterojunction abruptness.

    Science.gov (United States)

    Pura, Jose Luis; Periwal, Priyanka; Baron, Thierry; Jimenez, Juan

    2018-06-05

    The Vapour Liquid Solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process the precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with Complementary Metal Oxide Semiconductor (CMOS) technology, this improves their versatility and the possibility of integration with the current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles in good agreement with experimental measurements. Finally, the in-depth study of the composition map provides a practical approach to reduce drastically the heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches that use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to reduce the heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors. © 2018 IOP Publishing Ltd.

  7. Effect of Sn Composition in Ge1- x Sn x Layers Grown by Using Rapid Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung

    2018-05-01

    The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.

  8. Synergistic effects of semiconductor substrate and noble metal nano-particles on SERS effect both theoretical and experimental aspects

    Science.gov (United States)

    Yang, Chen; Liang, Pei; Tang, Lisha; Zhou, Yongfeng; Cao, Yanting; Wu, Yanxiong; Zhang, De; Dong, Qianmin; Huang, Jie; He, Peng

    2018-04-01

    As a means of chemical identification and analysis, Surface enhanced Raman spectroscopy (SERS), with the advantages of high sensitivity and selectivity, non-destructive, high repeatability and in situ detection etc., has important significance in the field of composition detection, environmental science, biological medicine etc. Physical model of coupling effect between different semiconductor substrates and noble metal particles were investigated by using 3D-FDTD method. Mechanism and the effects of excitation wavelength, particle spacing and semiconductor substrate types on the SERS effect were discussed. The results showed that the optimal excitation wavelengths of three noble metals of Ag, Au, Cu, were located at 510, 600 and 630 nm, respectively; SERS effect of Ag, Au, Cu increases with the decreasing of the inter distance of particles, while the distance of the NPs reaches the critical value of 3 nm, the strength of SERS effect will be greatly enhanced. For the four different types of substrate of Ge, Si, SiO2 (glass) and Al2O3, the SERS effect of Ag on SiO2 > Ge > Al2O3 > Si. For Au and Cu nanoparticles, the SERS effect of them on oxide substrate is stronger than that on non-oxide substrate. In order to verify FDTD simulations, taking silver nanoparticles as an example, and silver nanoparticles prepared by chemical method were spinning coating on the four different substrates with R6G as probe molecules. The results show that the experimental results are consistent with FDTD theoretical simulations, and the SERS enhancement effect of Ag-SiO2 substrate is best. The results of this study have important theoretical significance to explain the variations of SERS enhancement on different noble metals, which is also an important guide for the preparation of SERS substrates, especially for the microfluidics. The better Raman effect can be realized by choosing proper substrate type, particle spacing and excitation wavelength, result in expanding the depth and width

  9. The gastroesophageal (GE) scintiscan in detection of GE reflux and pulmonary aspiration in children

    International Nuclear Information System (INIS)

    Arasu, T.S.; Franken, E.A.; Wyllie, R.; Eigen, H.; Grosfeld, J.L.; Siddiqui, A.R.; Fitzgerald, J.F.

    1980-01-01

    Gastroesophageal scintiscans and barium examinations were performed on 30 children with documented GE reflux and 13 control patients. After instillation of 2 mCi of Tc99m sulfur colloid into the stomach, serial images of the abdomen and thorax were obtained. The GE scintiscan was positive in 17 of 30 with GE reflux; the barium study was positive in 15 of 30. A positive scintiscan and/or barium study was found in 21 of 30 patients with reflux, and none of the controls. Pulmonay aspiration of gastric contents was not detected by either method. We conclude that the GE scintiscan is complementary to barium studies in the diagnosis of GE reflux, and neither study approaches the accuracy of more sophisticated tests [fr

  10. Alternative Substrate Metabolism in Yarrowia lipolytica

    Directory of Open Access Journals (Sweden)

    Michael Spagnuolo

    2018-05-01

    Full Text Available Recent advances in genetic engineering capabilities have enabled the development of oleochemical producing strains of Yarrowia lipolytica. Much of the metabolic engineering effort has focused on pathway engineering of the product using glucose as the feedstock; however, alternative substrates, including various other hexose and pentose sugars, glycerol, lipids, acetate, and less-refined carbon feedstocks, have not received the same attention. In this review, we discuss recent work leading to better utilization of alternative substrates. This review aims to provide a comprehensive understanding of the current state of knowledge for alternative substrate utilization, suggest potential pathways identified through homology in the absence of prior characterization, discuss recent work that either identifies, endogenous or cryptic metabolism, and describe metabolic engineering to improve alternative substrate utilization. Finally, we describe the critical questions and challenges that remain for engineering Y. lipolytica for better alternative substrate utilization.

  11. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  12. Bimodal height distribution of self-assembled germanium islands grown on Si0.84Ge0.16 pseudo-substrates

    DEFF Research Database (Denmark)

    Pedersen, Erik Vesterlund; Jensen, Flemming; Shiryaev, Sergey Y.

    1998-01-01

    We have investigated the size distribution of germanium islands deposited onto a Si0.84Ge0.16 buffer layer, by atomic force microscopy. The size distribution was found to be bimodal at 630-740 degrees C and consisted of one group of smaller 'pyramidal' islands with a broad distribution of diameters...

  13. Ge Nanoislands Grown by Radio Frequency Magnetron Sputtering: Comprehensive Investigation of Surface Morphology and Optical Properties

    Directory of Open Access Journals (Sweden)

    Alireza Samavati

    2015-01-01

    Full Text Available The comprehensive investigation of the effect of growth parameters on structural and optical properties of Si-based single layer Ge nanoislands grown via Stranski-Krastanov mechanism employing radio frequency magnetron sputtering due to its high deposition rate, easy procedure, economical cost, and safety is carried out. The estimated width and height of Ge nanoislands produced by this technique are in the range of ∼8 to ∼30 and ∼2 to 8 nm, respectively. Varieties parameters are manipulated to optimize the surface morphology and structural and optical behavior of Ge nanoislands. The resulted nanoislands are analyzed using various analytical techniques including atomic force microscope, X-ray diffraction, energy dispersive X-ray spectroscopy, room temperature photoluminescence, and Raman spectroscopy. The optimum parameters for growing high quality samples having high number density and homogenous and small size distribution are found to be 400°C for substrate temperature, 300 sec for deposition time, 10 sccm for Ar flow, and 100 W for radio frequency power. The excellent features of the results suggest that our systematic investigation on the organized growth factors and their effects on surface parameters and photoluminescence emission energy may constitute a basis for the tunable growth of Ge nanoislands (100 nanoislands suitable in nanophotonics.

  14. Burgers Vector Analysis of Vertical Dislocations in Ge Crystals by Large-Angle Convergent Beam Electron Diffraction.

    Science.gov (United States)

    Groiss, Heiko; Glaser, Martin; Marzegalli, Anna; Isa, Fabio; Isella, Giovanni; Miglio, Leo; Schäffler, Friedrich

    2015-06-01

    By transmission electron microscopy with extended Burgers vector analyses, we demonstrate the edge and screw character of vertical dislocations (VDs) in novel SiGe heterostructures. The investigated pillar-shaped Ge epilayers on prepatterned Si(001) substrates are an attempt to avoid the high defect densities of lattice mismatched heteroepitaxy. The Ge pillars are almost completely strain-relaxed and essentially defect-free, except for the rather unexpected VDs. We investigated both pillar-shaped and unstructured Ge epilayers grown either by molecular beam epitaxy or by chemical vapor deposition to derive a general picture of the underlying dislocation mechanisms. For the Burgers vector analysis we used a combination of dark field imaging and large-angle convergent beam electron diffraction (LACBED). With LACBED simulations we identify ideally suited zeroth and second order Laue zone Bragg lines for an unambiguous determination of the three-dimensional Burgers vectors. By analyzing dislocation reactions we confirm the origin of the observed types of VDs, which can be efficiently distinguished by LACBED. The screw type VDs are formed by a reaction of perfect 60° dislocations, whereas the edge types are sessile dislocations that can be formed by cross-slips and climbing processes. The understanding of these origins allows us to suggest strategies to avoid VDs.

  15. A Measurement of GE^n at High Momentum Transfer in Hall A

    Science.gov (United States)

    Feuerbach, Robert J.; Wojtsekhowski, Bogdan

    2006-10-01

    A precision measurement of the electric form-factor of the neutron, GE^n, at Q^2 up to 3.5 GeV^2 was recently completed in Hall A at the Thomas Jefferson National Accelerator Facility(Jefferson Lab). The ratio GE^n/GM^n was measured through the beam-target asymmetry A of electrons quasi-elastically scattered off neutrons in the reaction ^3He(e,e' n). The experiment took advantage of recent developments of the electron beam and target, as well as two detectors new to Jefferson Lab. The measurement used the accelerator's 100% duty-cycle high-polarization (typically 84%) electron beam and a new, hybrid optically-pumped polarized ^3He target which achieved polarizations above 50%. A medium acceptance (80msr) open-geometry magnetic spectrometer (BigBite) detected the scattered electron, while a new neutron detector was constructed to observe the released neutron. An overview of the experiment and the experimental motivation will be discussed, in particular the large range of predictions from modern calculations for GE^n at this relatively high Q^2. Finally, the analysis progress and preliminary results will be presented.

  16. A study of single and multi-photon production in e+e- collisions at centre-of-mass energies of 130 and 136 GeV

    CERN Document Server

    Buskulic, Damir; Décamp, D; Ghez, P; Goy, C; Lees, J P; Lucotte, A; Minard, M N; Odier, P; Pietrzyk, B; Casado, M P; Chmeissani, M; Crespo, J M; Delfino, M C; Efthymiopoulos, I; Fernández, E; Fernández-Bosman, M; Garrido, L; Juste, A; Martínez, M; Orteu, S; Padilla, C; Pascual, A; Perlas, J A; Riu, I; Sánchez, F; Teubert, F; Colaleo, A; Creanza, D; De Palma, M; Gelao, G; Girone, M; Iaselli, Giuseppe; Maggi, G; Maggi, M; Marinelli, N; Nuzzo, S; Ranieri, A; Raso, G; Ruggieri, F; Selvaggi, G; Silvestris, L; Tempesta, P; Zito, G; Huang, X; Lin, J; Ouyang, Q; Wang, T; Xie, Y; Xu, R; Xue, S; Zhang, J; Zhang, L; Zhao, W; Alemany, R; Bazarko, A O; Cattaneo, M; Comas, P; Coyle, P; Drevermann, H; Forty, Roger W; Frank, M; Hagelberg, R; Harvey, J; Janot, P; Jost, B; Kneringer, E; Knobloch, J; Lehraus, Ivan; Lutters, G; Martin, E B; Mato, P; Minten, Adolf G; Miquel, R; Mir, L M; Moneta, L; Oest, T; Pacheco, A; Pusztaszeri, J F; Ranjard, F; Rensing, P E; Rolandi, Luigi; Schlatter, W D; Schmelling, M; Schneider, O; Tejessy, W; Tomalin, I R; Venturi, A; Wachsmuth, H W; Wagner, A; Ajaltouni, Ziad J; Barrès, A; Boyer, C; Falvard, A; Gay, P; Guicheney, C; Henrard, P; Jousset, J; Michel, B; Monteil, S; Montret, J C; Pallin, D; Perret, P; Podlyski, F; Proriol, J; Rosnet, P; Rossignol, J M; Fearnley, Tom; Hansen, J B; Hansen, J D; Hansen, J R; Hansen, P H; Nilsson, B S; Wäänänen, A; Kyriakis, A; Markou, C; Simopoulou, Errietta; Siotis, I; Vayaki, Anna; Zachariadou, K; Blondel, A; Brient, J C; Rougé, A; Rumpf, M; Valassi, Andrea; Videau, H L; Focardi, E; Parrini, G; Corden, M; Georgiopoulos, C H; Jaffe, D E; Antonelli, A; Bencivenni, G; Bologna, G; Bossi, F; Campana, P; Capon, G; Casper, David William; Chiarella, V; Felici, G; Laurelli, P; Mannocchi, G; Murtas, F; Murtas, G P; Passalacqua, L; Pepé-Altarelli, M; Curtis, L; Dorris, S J; Halley, A W; Knowles, I G; Lynch, J G; O'Shea, V; Raine, C; Reeves, P; Scarr, J M; Smith, K; Thompson, A S; Thomson, F; Thorn, S; Turnbull, R M; Becker, U; Geweniger, C; Graefe, G; Hanke, P; Hansper, G; Hepp, V; Kluge, E E; Putzer, A; Rensch, B; Schmidt, M; Sommer, J; Stenzel, H; Tittel, K; Werner, S; Wunsch, M; Abbaneo, D; Beuselinck, R; Binnie, David M; Cameron, W; Dornan, Peter J; Moutoussi, A; Nash, J; Sedgbeer, J K; Stacey, A M; Williams, M D; Dissertori, G; Girtler, P; Kuhn, D; Rudolph, G; Betteridge, A P; Bowdery, C K; Colrain, P; Crawford, G; Finch, A J; Foster, F; Hughes, G; Sloan, Terence; Whelan, E P; Williams, M I; Galla, A; Greene, A M; Hoffmann, C; Kleinknecht, K; Quast, G; Renk, B; Rohne, E; Sander, H G; Van Gemmeren, P; Zeitnitz, C; Aubert, Jean-Jacques; Bencheikh, A M; Benchouk, C; Bonissent, A; Bujosa, G; Calvet, D; Carr, J; Diaconu, C A; Konstantinidis, N P; Payre, P; Rousseau, D; Talby, M; Sadouki, A; Thulasidas, M; Tilquin, A; Trabelsi, K; Aleppo, M; Ragusa, F; Abt, I; Assmann, R W; Bauer, C; Blum, Walter; Dietl, H; Dydak, Friedrich; Ganis, G; Gotzhein, C; Jakobs, K; Kroha, H; Lütjens, G; Lutz, Gerhard; Männer, W; Moser, H G; Richter, R H; Rosado-Schlosser, A; Schael, S; Settles, Ronald; Seywerd, H C J; Saint-Denis, R; Wiedenmann, W; Wolf, G; Boucrot, J; Callot, O; Cordier, A; Davier, M; Duflot, L; Grivaz, J F; Heusse, P; Höcker, A; Jacquet, M; Kim, D W; Le Diberder, F R; Lefrançois, J; Lutz, A M; Nikolic, I A; Park, H J; Park, I C; Schune, M H; Simion, S; Veillet, J J; Videau, I; Zerwas, D; Azzurri, P; Bagliesi, G; Batignani, G; Bettarini, S; Bozzi, C; Calderini, G; Carpinelli, M; Ciocci, M A; Ciulli, V; Dell'Orso, R; Fantechi, R; Ferrante, I; Giassi, A; Gregorio, A; Ligabue, F; Lusiani, A; Marrocchesi, P S; Messineo, A; Palla, Fabrizio; Rizzo, G; Sanguinetti, G; Sciabà, A; Spagnolo, P; Steinberger, Jack; Tenchini, Roberto; Tonelli, G; Vannini, C; Verdini, P G; Walsh, J; Blair, G A; Bryant, L M; Cerutti, F; Chambers, J T; Gao, Y; Green, M G; Medcalf, T; Perrodo, P; Strong, J A; Von Wimmersperg-Töller, J H; Botterill, David R; Clifft, R W; Edgecock, T R; Haywood, S; Maley, P; Norton, P R; Thompson, J C; Wright, A E; Bloch-Devaux, B; Colas, P; Emery, S; Kozanecki, Witold; Lançon, E; Lemaire, M C; Locci, E; Marx, B; Pérez, P; Rander, J; Renardy, J F; Roussarie, A; Schuller, J P; Schwindling, J; Trabelsi, A; Vallage, B; Black, S N; Dann, J H; Johnson, R P; Kim, H Y; Litke, A M; McNeil, M A; Taylor, G; Booth, C N; Boswell, R; Brew, C A J; Cartwright, S L; Combley, F; Köksal, A; Lehto, M H; Newton, W M; Reeve, J; Thompson, L F; Böhrer, A; Brandt, S; Büscher, V; Cowan, G D; Grupen, Claus; Saraiva, P; Smolik, L; Stephan, F; Apollonio, M; Bosisio, L; Della Marina, R; Giannini, G; Gobbo, B; Musolino, G; Pütz, J; Rothberg, J E; Wasserbaech, S R; Williams, R W; Armstrong, S R; Bellantoni, L; Elmer, P; Feng, Z; Ferguson, D P S; Gao, Y S; González, S; Grahl, J; Greening, T C; Hayes, O J; Hu, H; McNamara, P A; Nachtman, J M; Orejudos, W; Pan, Y B; Saadi, Y; Schmitt, M; Scott, I J; Walsh, A M; Wu Sau Lan; Wu, X; Yamartino, J M; Zheng, M; Zobernig, G

    1996-01-01

    The production of final states involving one or more energetic photons from e+e- collisions at high energies is studied using data collected by the ALEPH detector at LEP. The data consist of two samples of 2.9 pb-1 each, recorded at centre-of-mass energies of 130 GeV and 136 GeV. The data are in agreement with the predictions of the Standard Model. From an analysis of two-photon final states new limits are placed on the parameters of models involving contact interactions and excited electrons. The 95% confidence level lower limits on the QED cut-off parameters are found to be 169 and 132 GeV respectively.

  17. A study of single and multi-photon production in e +e - collisions at centre-of-mass energies of 130 and 136 GeV

    Science.gov (United States)

    Buskulic, D.; de Bonis, I.; Decamp, D.; Ghez, P.; Goy, C.; Lees, J.-P.; Lucotte, A.; Minard, M.-N.; Odier, P.; Pietrzyk, B.; Casado, M. P.; Chmeissani, M.; Crespo, J. M.; Delfino, M.; Efthymiopoulos, I.; Fernandez, E.; Fernandez-Bosman, M.; Garrido, Ll.; Juste, A.; Martinez, M.; Orteu, S.; Padilla, C.; Pascual, A.; Perlas, J. A.; Riu, I.; Sanchez, F.; Teubert, F.; Colaleo, A.; Creanza, D.; de Palma, M.; Gelao, G.; Girone, M.; Iaselli, G.; Maggi, G.; Maggi, M.; Marinelli, N.; Nuzzo, S.; Ranieri, A.; Raso, G.; Ruggieri, F.; Selvaggi, G.; Silvestris, L.; Tempesta, P.; Zito, G.; Huang, X.; Lin, J.; Ouyang, Q.; Wang, T.; Xie, Y.; Xu, R.; Xue, S.; Zhang, J.; Zhang, L.; Zhao, W.; Alemany, R.; Bazarko, A. O.; Cattaneo, M.; Comas, P.; Coyle, P.; Drevermann, H.; Forty, R. W.; Frank, M.; Hagelberg, R.; Harvey, J.; Janot, P.; Jost, B.; Kneringer, E.; Knobloch, J.; Lehraus, I.; Lutters, G.; Martin, E. B.; Mato, P.; Minten, A.; Miquel, R.; Mir, Ll. M.; Moneta, L.; Oest, T.; Pacheco, A.; Pusztaszeri, J.-F.; Ranjard, F.; Rensing, P.; Rolandi, L.; Schlatter, D.; Schmelling, M.; Schneider, O.; Tejessy, W.; Tomalin, I. R.; Venturi, A.; Wachsmuth, H.; Wagner, A.; Ajaltouni, Z.; Barrès, A.; Boyer, C.; Falvard, A.; Gay, P.; Guicheney, C.; Henrard, P.; Jousset, J.; Michel, B.; Monteil, S.; Montret, J.-C.; Pallin, D.; Perret, P.; Podlyski, F.; Proriol, J.; Rosnet, P.; Rossignol, J.-M.; Fearnley, T.; Hansen, J. B.; Hansen, J. D.; Hansen, J. R.; Hansen, P. H.; Nilsson, B. S.; Wäänänen, A.; Kyriakis, A.; Markou, C.; Simopoulou, E.; Siotis, I.; Vayaki, A.; Zachariadou, K.; Blondel, A.; Brient, J. C.; Rougé, A.; Rumpf, M.; Valassi, A.; Videau, H.; Focardi, E.; Parrini, G.; Corden, M.; Georgiopoulos, C.; Jaffe, D. E.; Antonelli, A.; Bencivenni, G.; Bologna, G.; Bossi, F.; Campana, P.; Capon, G.; Casper, D.; Chiarella, V.; Felici, G.; Laurelli, P.; Mannocchi, G.; Murtas, F.; Murtas, G. P.; Passalacqua, L.; Pepe-Altarelli, M.; Curtis, L.; Dorris, S. J.; Halley, A. W.; Knowles, I. G.; Lynch, J. G.; O'Shea, V.; Raine, C.; Reeves, P.; Scarr, J. M.; Smith, K.; Thompson, A. S.; Thomson, F.; Thorn, S.; Turnbull, R. M.; Becker, U.; Geweniger, C.; Graefe, G.; Hanke, P.; Hansper, G.; Hepp, V.; Kluge, E. E.; Putzer, A.; Rensch, B.; Schmidt, M.; Sommer, J.; Stenzel, H.; Tittel, K.; Werner, S.; Wunsch, M.; Abbaneo, D.; Beuselinck, R.; Binnie, D. M.; Cameron, W.; Dornan, P. J.; Moutoussi, A.; Nash, J.; Sedgbeer, J. K.; Stacey, A. M.; Williams, M. D.; Dissertori, G.; Girtler, P.; Kuhn, D.; Rudolph, G.; Betteridge, A. P.; Bowdery, C. K.; Colrain, P.; Crawford, G.; Finch, A. J.; Foster, F.; Hughes, G.; Sloan, T.; Whelan, E. P.; Williams, M. I.; Galla, A.; Greene, A. M.; Hoffmann, C.; Kleinknecht, K.; Quast, G.; Renk, B.; Rohne, E.; Sander, H.-G.; van Gemmeren, P.; Zeitnitz, C.; Aubert, J. J.; Bencheikh, A. M.; Benchouk, C.; Bonissent, A.; Bujosa, G.; Calvet, D.; Carr, J.; Diaconu, C.; Konstantinidis, N.; Payre, P.; Rousseau, D.; Talby, M.; Sadouki, A.; Thulasidas, M.; Tilquin, A.; Trabelsi, K.; Aleppo, M.; Ragusa, F.; Abt, I.; Assmann, R.; Bauer, C.; Blum, W.; Dietl, H.; Dydak, F.; Ganis, G.; Gotzhein, C.; Jakobs, K.; Kroha, H.; Lütjens, G.; Lutz, G.; Männer, W.; Moser, H.-G.; Richter, R.; Rosado-Schlosser, A.; Schael, S.; Settles, R.; Seywerd, H.; Denis, R. St.; Wiedenmann, W.; Wolf, G.; Boucrot, J.; Callot, O.; Cordier, A.; Davier, M.; Duflot, L.; Grivaz, J.-F.; Heusse, Ph.; Höcker, A.; Jacquet, M.; Kim, D. W.; Le Diberder, F.; Lefrançois, J.; Lutz, A.-M.; Nikolic, I.; Park, H. J.; Park, I. C.; Schune, M.-H.; Simion, S.; Veillet, J.-J.; Videau, I.; Zerwas, D.; Azzurri, P.; Bagliesi, G.; Batignani, G.; Bettarini, S.; Bozzi, C.; Calderini, G.; Carpinelli, M.; Ciocci, M. A.; Ciulli, V.; Dell'Orso, R.; Fantechi, R.; Ferrante, I.; Giassi, A.; Gregorio, A.; Ligabue, F.; Lusiani, A.; Marrocchesi, P. S.; Messineo, A.; Palla, F.; Rizzo, G.; Sanguinetti, G.; Sciabà, A.; Spagnolo, P.; Steinberger, J.; Tenchini, R.; Tonelli, G.; Vannini, C.; Verdini, P. G.; Walsh, J.; Blair, G. A.; Bryant, L. M.; Cerutti, F.; Chambers, J. T.; Gao, Y.; Green, M. G.; Medcalf, T.; Perrodo, P.; Strong, J. A.; von Wimmersperg-Toeller, J. H.; Botterill, D. R.; Clifft, R. W.; Edgecock, T. R.; Haywood, S.; Maley, P.; Norton, P. R.; Thompson, J. C.; Wright, A. E.; Bloch-Devaux, B.; Colas, P.; Emery, S.; Kozanecki, W.; Lançon, E.; Lemaire, M. C.; Locci, E.; Marx, B.; Perez, P.; Rander, J.; Renardy, J.-F.; Roussarie, A.; Schuller, J.-P.; Schwindling, J.; Trabelsi, A.; Vallage, B.; Black, S. N.; Dann, J. H.; Johnson, R. P.; Kim, H. Y.; Litke, A. M.; McNeil, M. A.; Taylor, G.; Booth, C. N.; Boswell, R.; Brew, C. A. J.; Cartwright, S.; Combley, F.; Koksal, A.; Letho, M.; Newton, W. M.; Reeve, J.; Thompson, L. F.; Böhrer, A.; Brandt, S.; Büscher, V.; Cowan, G.; Grupen, C.; Saraiva, P.; Smolik, L.; Stephan, F.; Apollonio, M.; Bosisio, L.; Della Marina, R.; Giannini, G.; Gobbo, B.; Musolino, G.; Putz, J.; Rothberg, J.; Wasserbaech, S.; Williams, R. W.; Armstrong, S. R.; Bellantoni, L.; Elmer, P.; Feng, Z.; Ferguson, D. P. S.; Gao, Y. S.; González, S.; Grahl, J.; Greening, T. C.; Hayes, O. J.; Hu, H.; McNamara, P. A.; Nachtman, J. M.; Orejudos, W.; Pan, Y. B.; Saadi, Y.; Schmitt, M.; Scott, I. J.; Walsh, A. M.; Lan Wu, Sau; Wu, X.; Yamartino, J. M.; Zheng, M.; Zobernig, G.; Aleph Collaboration

    1996-02-01

    The production of final states involving one or more energetic photons from e +e - collisions at high energies is studied using data collected by the ALEPH detector at LEP. The data consist of two samples of 2.9 pb -1 each, recorded at centre-of-mass energies of 130 GeV and 136 GeV. The data are in agreement with the predictions of the Standard Model. From an analysis of two-photon final states new limits are placed on the parameters of models involving e +e -γγ contact interactions and excited electrons. The 95% confidence level lower limits on the QED cut-off parameters Λ+ and Λ- are found to be 169 and 132 GeV respectively.

  18. Measurement of the $e^{+}e^{-} \\to ZZ$ Production Cross Section at Centre-of-Mass Energies of 183 and 189 GeV

    CERN Document Server

    Barate, R.; Ghez, Philippe; Goy, C.; Jezequel, S.; Lees, J.P.; Martin, F.; Merle, E.; Minard, M.N.; Pietrzyk, B.; Alemany, R.; Bravo, S.; Casado, M.P.; Chmeissani, M.; Crespo, J.M.; Fernandez, E.; Fernandez-Bosman, M.; Garrido, L.; Grauges, E.; Juste, A.; Martinez, M.; Merino, G.; Miquel, R.; Mir, L.M.; Morawitz, P.; Pacheco, A.; Riu, I.; Ruiz, H.; Colaleo, A.; Creanza, D.; de Palma, M.; Iaselli, G.; Maggi, G.; Maggi, M.; Nuzzo, S.; Ranieri, A.; Raso, G.; Ruggieri, F.; Selvaggi, G.; Silvestris, L.; Tempesta, P.; Tricomi, A.; Zito, G.; Huang, X.; Lin, J.; Ouyang, Q.; Wang, T.; Xie, Y.; Xu, R.; Xue, S.; Zhang, J.; Zhang, L.; Zhao, W.; Abbaneo, D.; Boix, G.; Buchmuller, O.; Cattaneo, M.; Cerutti, F.; Ciulli, V.; Davies, G.; Dissertori, G.; Drevermann, H.; Forty, R.W.; Frank, M.; Gianotti, F.; Greening, T.C.; Halley, A.W.; Hansen, J.B.; Harvey, John; Janot, P.; Jost, B.; Lehraus, I.; Leroy, O.; Maley, P.; Mato, P.; Minten, A.; Moutoussi, A.; Ranjard, F.; Rolandi, Gigi; Schlatter, D.; Schmitt, M.; Schneider, O.; Spagnolo, P.; Tejessy, W.; Teubert, F.; Tournefier, E.; Wright, A.E.; Ajaltouni, Z.; Badaud, F.; Chazelle, G.; Deschamps, O.; Dessagne, S.; Falvard, A.; Ferdi, C.; Gay, P.; Guicheney, C.; Henrard, P.; Jousset, J.; Michel, B.; Monteil, S.; Montret, J.C.; Pallin, D.; Perret, P.; Podlyski, F.; Hansen, J.D.; Hansen, J.R.; Hansen, P.H.; Nilsson, B.S.; Rensch, B.; Waananen, A.; Daskalakis, G.; Kyriakis, A.; Markou, C.; Simopoulou, E.; Vayaki, A.; Blondel, A.; Brient, J.C.; Machefert, F.; Rouge, A.; Swynghedauw, M.; Tanaka, R.; Valassi, A.; Videau, H.; Focardi, E.; Parrini, G.; Zachariadou, K.; Corden, M.; Georgiopoulos, C.; Antonelli, A.; Bencivenni, G.; Bologna, G.; Bossi, F.; Campana, P.; Capon, G.; Chiarella, V.; Laurelli, P.; Mannocchi, G.; Murtas, F.; Murtas, G.P.; Passalacqua, L.; Pepe-Altarelli, M.; Chalmers, M.; Curtis, L.; Lynch, J.G.; Negus, P.; O'Shea, V.; Raeven, B.; Raine, C.; Smith, D.; Teixeira-Dias, P.; Thompson, A.S.; Ward, J.J.; Cavanaugh, R.; Dhamotharan, S.; Geweniger, C.; Hanke, P.; Hepp, V.; Kluge, E.E.; Putzer, A.; Tittel, K.; Werner, S.; Wunsch, M.; Beuselinck, R.; Binnie, D.M.; Cameron, W.; Dornan, P.J.; Girone, M.; Goodsir, S.; Marinelli, N.; Martin, E.B.; Nash, J.; Nowell, J.; Przysiezniak, H.; Sciaba, A.; Sedgbeer, J.K.; Thomson, Evelyn J.; Williams, M.D.; Ghete, V.M.; Girtler, P.; Kneringer, E.; Kuhn, D.; Rudolph, G.; Bowdery, C.K.; Buck, P.G.; Ellis, G.; Finch, A.J.; Foster, F.; Hughes, G.; Jones, R.W.L.; Robertson, N.A.; Smizanska, M.; Williams, M.I.; Giehl, I.; Holldorfer, F.; Jakobs, K.; Kleinknecht, K.; Krocker, M.; Muller, A.S.; Nurnberger, H.A.; Quast, G.; Renk, B.; Rohne, E.; Sander, H.G.; Schmeling, S.; Wachsmuth, H.; Zeitnitz, C.; Ziegler, T.; Aubert, J.J.; Bonissent, A.; Carr, J.; Coyle, P.; Ealet, A.; Fouchez, D.; Tilquin, A.; Aleppo, M.; Antonelli, M.; Gilardoni, Simone S.; Ragusa, F.; Buescher, Volker; Dietl, H.; Ganis, G.; Huttmann, K.; Lutjens, G.; Mannert, C.; Manner, W.; Moser, H.G.; Schael, S.; Settles, R.; Seywerd, H.; Stenzel, H.; Wiedenmann, W.; Wolf, G.; Azzurri, P.; Boucrot, J.; Callot, O.; Chen, S.; Davier, M.; Duflot, L.; Grivaz, J.F.; Heusse, P.; Jacholkowska, A.; Kado, M.; Lefrancois, J.; Serin, L.; Veillet, J.J.; Videau, I.; de Vivie de Regie, J.B.; Zerwas, D.; Bagliesi, Giuseppe; Boccali, T.; Bozzi, C.; Calderini, G.; Dell'Orso, R.; Ferrante, I.; Giassi, A.; Gregorio, A.; Ligabue, F.; Marrocchesi, P.S.; Messineo, A.; Palla, F.; Rizzo, G.; Sanguinetti, G.; Sguazzoni, G.; Tenchini, R.; Venturi, A.; Verdini, P.G.; Blair, G.A.; Coles, J.; Cowan, G.; Green, M.G.; Hutchcroft, D.E.; Jones, L.T.; Medcalf, T.; Strong, J.A.; Botterill, D.R.; Clifft, R.W.; Edgecock, T.R.; Norton, P.R.; Thompson, J.C.; Tomalin, I.R.; Bloch-Devaux, Brigitte; Colas, P.; Fabbro, B.; Faif, G.; Lancon, E.; Lemaire, M.C.; Locci, E.; Perez, P.; Rander, J.; Renardy, J.F.; Rosowsky, A.; Seager, P.; Trabelsi, A.; Tuchming, B.; Vallage, B.; Black, S.N.; Dann, J.H.; Loomis, C.; Kim, H.Y.; Konstantinidis, N.; Litke, A.M.; McNeil, M.A.; Taylor, G.; Booth, C.N.; Cartwright, S.; Combley, F.; Hodgson, P.N.; Lehto, M.; Thompson, L.F.; Affholderbach, K.; Boehrer, Armin; Brandt, S.; Grupen, C.; Hess, J.; Misiejuk, A.; Prange, G.; Sieler, U.; Giannini, G.; Gobbo, B.; Putz, J.; Rothberg, J.E.; Wasserbaech, S.; Williams, R.W.; Armstrong, S.R.; Elmer, P.; Ferguson, D.P.S.; Gao, Y.; Gonzalez, S.; Hayes, O.J.; Hu, H.; Jin, S.; Kile, J.; McNamara, P.A.; Nielsen, J.; Orejudos, W.; Pan, Y.B.; Saadi, Y.; Scott, I.J.; Walsh, J.; von Wimmersperg-Toeller, J.H.; Wu, S.L.; Wu, X.; Zobernig, G.

    1999-01-01

    The e+e- -> ZZ cross section at sqrt(s)=182.7 and 188.6 GeV has been measured using the ALEPH detector. The analysis covers all of the visible ZZ final states and yields cross section measurements of sigma_ZZ(182.7 GeV) = 0.11 +- (0.16,0.11) (stat.) +- 0.04 (syst.) pb and sigma_ZZ(188.6 GeV) = 0.67 +- 0.13 (stat.) +- 0.04 (syst.) pb consistent with the Standard Model expectations.

  19. Bi surfactant mediated growth for fabrication of Si/Ge nanostructures and investigation of Si/Ge intermixing by STM

    Energy Technology Data Exchange (ETDEWEB)

    Paul, N.

    2007-10-26

    In the thesis work presented here, we show that Bi is more promising surfactant material than Sb. We demonstrate that by using Bi as a terminating layer on Ge/Si surface, it is possible to distinguish between Si and Ge in Scanning tunnelling microscope (STM). Any attempt to utilize surfactant mediated growth must be preceded by a thorough study of its effect on the the system being investigated. Thus, the third chapter of this thesis deals with an extensive study of the Bi surfactant mediated growth of Ge on Si(111) surface as a function of Ge coverage. The growth is investigated from the single bilayer Ge coverage till the Ge coverage of about 15 BL when the further Ge deposition leads to two-dimensional growth. In the fourth chapter, the unique property of Bi terminating layer on Ge/Si surface to result in an STM height contrast between Si and Ge is explained with possible explanations given for the reason of this apparent height contrast. The controlled fabrication of Ge/Si nanostructures such as nanowires and nanorings is demonstrated. A study on Ge-Si diffusion in the surface layers by a direct method such as STM was impossible previously because of the similar electronic structure of Ge and Si. Since with the Bi terminating surface layer, one is able to distinguish between Ge and Si, the study of intermixing between them is also possible using STM. This method to distinguish between Si and Ge allows one to study intermixing on the nanoscale and to identify the fundamental diffusion processes giving rise to the intermixing. In Chapter 5 we discuss how this could prove useful especially as one could get a local probe over a very narrow Ge-Si interface. A new model is proposed to estimate change in the Ge concentration in the surface layer with time. The values of the activation energies of Ge/Si exchange and Si/Ge exchange are estimated by fitting the experimental data with the model. The Ge/Si intermixing has been studied on a surface having 1 ML Bi ({radical

  20. Transient and temperature-dependent phenomena in Ge:Be and Ge:Zn far infrared photoconductors

    International Nuclear Information System (INIS)

    Haegel, N.M.

    1985-11-01

    An experimental study of the transient and temperature-dependent behavior of Ge:Be and Ge:Zn photoconductors has been performed under the low background photon flux conditions (p dot approx. = 10 8 photons/second) typical of astronomy and astrophysics applications. The responsivity of Ge:Be and Ge:Zn detectors is strongly temperature-dependent in closely compensated material, and the effect of compensation on free carrier lifetime in Ge:Be has been measured using the photo-Hall effect technique. Closely compensated material has been obtained by controlling the concentration of novel hydrogen-related shallow acceptor complexes, A(Be,H) and A(Zn,H), which exist in doped crystals grown under a H 2 atmosphere. A review of selection criteria for multilevel materials for optimum photoconductor performance is included. 55 refs., 47 figs

  1. Low-temperature growth of polycrystalline Ge thin film on glass by in situ deposition and ex situ solid-phase crystallization for photovoltaic applications

    International Nuclear Information System (INIS)

    Tsao, Chao-Yang; Weber, Juergen W.; Campbell, Patrick; Widenborg, Per I.; Song, Dengyuan; Green, Martin A.

    2009-01-01

    Poly-crystalline germanium (poly-Ge) thin films have potential for lowering the manufacturing cost of photovoltaic devices especially in tandem solar cells, but high crystalline quality would be required. This work investigates the crystallinity of sputtered Ge thin films on glass prepared by in situ growth and ex situ solid-phase crystallization (SPC). Structural properties of the films were characterized by Raman, X-ray diffraction and ultraviolet-visible reflectance measurements. The results show the transition temperature from amorphous to polycrystalline is between 255 deg. C and 280 deg. C for in situ grown poly-Ge films, whereas the transition temperature is between 400 deg. C and 500 deg. C for films produced by SPC for a 20 h annealing time. The in situ growth in situ crystallized poly-Ge films at 450 deg. C exhibit significantly better crystalline quality than those formed by solid-phase crystallization at 600 deg. C. High crystalline quality at low substrate temperature obtained in this work suggests the poly-Ge films could be promising for use in thin film solar cells on glass.

  2. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  3. Technical evaluation of the G.E. Transportable Modular AZTECH Plant topical report

    International Nuclear Information System (INIS)

    Henscheid, J.W.; Stalker, A.E.

    1985-12-01

    This report summarizes EG and G Idaho's review of the General Electric Company's topical report on their Transportable Modular AZTECH Plant. The review evaluated compliance with pertinent codes, standards and regulations. The initial review was discussed with G.E. and all outstanding issues resolved before this final evaluation was made

  4. Study of hadronic events and measurements of $\\alpha_{s}$ between 30 and 91 GeV

    CERN Document Server

    Acciarri, M; Aguilar-Benítez, M; Ahlen, S P; Alcaraz, J; Alemanni, G; Allaby, James V; Aloisio, A; Alverson, G; Alviggi, M G; Ambrosi, G; Anderhub, H; Andreev, V P; Angelescu, T; Anselmo, F; Arefev, A; Azemoon, T; Aziz, T; Bagnaia, P; Baksay, L; Ball, R C; Banerjee, S; Banerjee, Sw; Banicz, K; Barczyk, A; Barillère, R; Barone, L; Bartalini, P; Baschirotto, A; Basile, M; Battiston, R; Bay, A; Becattini, F; Becker, U; Behner, F; Berdugo, J; Berges, P; Bertucci, B; Betev, B L; Bhattacharya, S; Biasini, M; Biland, A; Bilei, G M; Blaising, J J; Blyth, S C; Bobbink, Gerjan J; Böck, R K; Böhm, A; Boldizsar, L; Borgia, B; Boucham, A; Bourilkov, D; Bourquin, Maurice; Boutigny, D; Braccini, S; Branson, J G; Brigljevic, V; Brock, I C; Buffini, A; Buijs, A; Burger, J D; Burger, W J; Busenitz, J K; Cai, X D; Campanelli, M; Capell, M; Cara Romeo, G; Carlino, G; Cartacci, A M; Casaus, J; Castellini, G; Cavallari, F; Cavallo, N; Cecchi, C; Cerrada-Canales, M; Cesaroni, F; Chamizo-Llatas, M; Chang, Y H; Chaturvedi, U K; Chekanov, S V; Chemarin, M; Chen, A; Chen, G; Chen, G M; Chen, H F; Chen, H S; Chen, M; Chiefari, G; Chien, C Y; Cifarelli, Luisa; Cindolo, F; Civinini, C; Clare, I; Clare, R; Cohn, H O; Coignet, G; Colijn, A P; Colino, N; Commichau, V; Costantini, S; Cotorobai, F; de la Cruz, B; Csilling, Akos; Dai, T S; D'Alessandro, R; De Asmundis, R; Degré, A; Deiters, K; Denes, P; De Notaristefani, F; DiBitonto, Daryl; Diemoz, M; Van Dierendonck, D N; Di Lodovico, F; Dionisi, C; Dittmar, Michael; Dominguez, A; Doria, A; Dorne, I; Dova, M T; Drago, E; Duchesneau, D; Duinker, P; Durán, I; Dutta, S; Easo, S; Efremenko, Yu V; El-Mamouni, H; Engler, A; Eppling, F J; Erné, F C; Ernenwein, J P; Extermann, Pierre; Fabre, M; Faccini, R; Falciano, S; Favara, A; Fay, J; Fedin, O; Felcini, Marta; Fenyi, B; Ferguson, T; Ferroni, F; Fesefeldt, H S; Fiandrini, E; Field, J H; Filthaut, Frank; Fisher, P H; Fisk, I; Forconi, G; Fredj, L; Freudenreich, Klaus; Furetta, C; Galaktionov, Yu; Ganguli, S N; García-Abia, P; Gau, S S; Gentile, S; Gerald, J; Gheordanescu, N; Giagu, S; Goldfarb, S; Goldstein, J; Gong, Z F; Gougas, Andreas; Gratta, Giorgio; Grünewald, M W; Gupta, V K; Gurtu, A; Gutay, L J; Hartmann, B; Hasan, A; Hatzifotiadou, D; Hebbeker, T; Hervé, A; Van Hoek, W C; Hofer, H; Hong, S J; Hoorani, H; Hou, S R; Hu, G; Innocente, Vincenzo; Janssen, H; Jenkes, K; Jin, B N; Jones, L W; de Jong, P; Josa-Mutuberria, I; Kasser, A; Khan, R A; Kamrad, D; Kamyshkov, Yu A; Kapustinsky, J S; Karyotakis, Yu; Kaur, M; Kienzle-Focacci, M N; Kim, D; Kim, D H; Kim, J K; Kim, S C; Kim, Y G; Kinnison, W W; Kirkby, A; Kirkby, D; Kirkby, Jasper; Kiss, D; Kittel, E W; Klimentov, A; König, A C; Kopp, A; Korolko, I; Koutsenko, V F; Krämer, R W; Krenz, W; Kunin, A; Ladrón de Guevara, P; Landi, G; Lapoint, C; Lassila-Perini, K M; Laurikainen, P; Lebeau, M; Lebedev, A; Lebrun, P; Lecomte, P; Lecoq, P; Le Coultre, P; Leggett, C; Le Goff, J M; Leiste, R; Leonardi, E; Levchenko, P M; Li Chuan; Lin, C H; Lin, W T; Linde, Frank L; Lista, L; Liu, Z A; Lohmann, W; Longo, E; Lu, W; Lü, Y S; Lübelsmeyer, K; Luci, C; Luckey, D; Luminari, L; Lustermann, W; Ma Wen Gan; Maity, M; Majumder, G; Malgeri, L; Malinin, A; Maña, C; Mangeol, D J J; Mangla, S; Marchesini, P A; Marin, A; Martin, J P; Marzano, F; Massaro, G G G; McNally, D; Mele, S; Merola, L; Meschini, M; Metzger, W J; Von der Mey, M; Mi, Y; Mihul, A; Van Mil, A J W; Mirabelli, G; Mnich, J; Molnár, P; Monteleoni, B; Moore, R; Morganti, S; Moulik, T; Mount, R; Müller, S; Muheim, F; Muijs, A J M; Nahn, S; Napolitano, M; Nessi-Tedaldi, F; Newman, H; Niessen, T; Nippe, A; Nisati, A; Nowak, H; Oh, Yu D; Opitz, H; Organtini, G; Ostonen, R; Palomares, C; Pandoulas, D; Paoletti, S; Paolucci, P; Park, H K; Park, I H; Pascale, G; Passaleva, G; Patricelli, S; Paul, T; Pauluzzi, M; Paus, C; Pauss, Felicitas; Peach, D; Pei, Y J; Pensotti, S; Perret-Gallix, D; Petersen, B; Petrak, S; Pevsner, A; Piccolo, D; Pieri, M; Pinto, J C; Piroué, P A; Pistolesi, E; Plyaskin, V; Pohl, M; Pozhidaev, V; Postema, H; Produit, N; Prokofev, D; Prokofiev, D O; Rahal-Callot, G; Raja, N; Rancoita, P G; Rattaggi, M; Raven, G; Razis, P A; Read, K; Ren, D; Rescigno, M; Reucroft, S; Van Rhee, T; Riemann, S; Riles, K; Rind, O; Robohm, A; Rodin, J; Roe, B P; Romero, L; Rosier-Lees, S; Rosselet, P; Van Rossum, W; Roth, S; Rubio, Juan Antonio; Ruschmeier, D; Rykaczewski, H; Salicio, J; Sánchez, E; Sanders, M P; Sarakinos, M E; Sarkar, S; Sassowsky, M; Sauvage, G; Schäfer, C; Shchegelskii, V; Schmidt-Kärst, S; Schmitz, D; Schmitz, P; Schneegans, M; Scholz, N; Schopper, Herwig Franz; Schotanus, D J; Schwenke, J; Schwering, G; Sciacca, C; Sciarrino, D; Servoli, L; Shevchenko, S; Shivarov, N; Shoutko, V; Shukla, J; Shumilov, E; Shvorob, A V; Siedenburg, T; Son, D; Sopczak, André; Soulimov, V; Smith, B; Spillantini, P; Steuer, M; Stickland, D P; Stone, H; Stoyanov, B; Strässner, A; Strauch, K; Sudhakar, K; Sultanov, G G; Sun, L Z; Susinno, G F; Suter, H; Swain, J D; Tang, X W; Tauscher, Ludwig; Taylor, L; Ting, Samuel C C; Ting, S M; Tonutti, M; Tonwar, S C; Tóth, J; Tully, C; Tuchscherer, H; Tung, K L; Uchida, Y; Ulbricht, J; Uwer, U; Valente, E; Van de Walle, R T; Vesztergombi, G; Vetlitskii, I; Viertel, Gert M; Vivargent, M; Völkert, R; Vogel, H; Vogt, H; Vorobev, I; Vorobyov, A A; Vorvolakos, A; Wadhwa, M; Wallraff, W; Wang, J C; Wang, X L; Wang, Z M; Weber, A; Wittgenstein, F; Wu, S X; Wynhoff, S; Xu, J; Xu, Z Z; Yang, B Z; Yang, C G; Yao, X Y; Ye, J B; Yeh, S C; You, J M; Zalite, A; Zalite, Yu; Zemp, P; Zeng, Y; Zhang, Z; Zhang, Z P; Zhou, B; Zhou, Y; Zhu, G Y; Zhu, R Y; Zichichi, Antonino; Ziegler, F

    1997-01-01

    We have studied the structure of hadronic events with a hard, %radiated isolated photon in the final state ($\\mathrm{e^{+}e^{-}} \\rightarrow$ Z $\\rightarrow$ hadrons $+$ $\\gamma$) in the 3.6 million hadronic data collected with the L3 detector at centre-of-mass energies around 91 GeV. The centre-of-mass energy of the hadronic system is in the range 30 GeV to 86 GeV. Event shape variables have been measured at these reduced centre-of-mass energies and have been compared with the predictions of different QCD Monte Carlo programs. The event shape variables and the energy dependence of their mean values are well reproduced by QCD models. We fit distributions of several global event shape variables to resummed $\\cal{O}

  5. Electrical and structural properties of group-4 transition-metal nitride (TiN, ZrN, and HfN) contacts on Ge

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Keisuke; Nakashima, Hiroshi, E-mail: nakasima@astec.kyushu-u.ac.jp [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Noguchi, Ryutaro; Wang, Dong [Interdisciplinary Graduate School of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Mitsuhara, Masatoshi; Nishida, Minoru [Department of Engineering Sciences for Electronics and Materials, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Hara, Toru [National Institute for Materials Science, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2015-09-21

    Electrical and structural properties were investigated for group-4 transition-metal nitride contacts on Ge (TiN/Ge, ZrN/Ge, and HfN/Ge), which were prepared by direct sputter depositions using nitride targets. These contacts could alleviate the intrinsic Fermi-level pinning (FLP) position toward the conduction band edge. It was revealed that this phenomenon is induced by an amorphous interlayer (a-IL) containing nitrogen atoms at the nitride/Ge interfaces. The strength of FLP alleviation positively depended on the thickness of a-IL. TiN/Ge and ZrN/Ge contacts with ∼2 nm-thick a-ILs showed strong FLP alleviations with hole barrier heights (Φ{sub BP}) in the range of 0.52–56 eV, and a HfN/Ge contact with an ∼1 nm-thick a-IL showed a weaker one with a Φ{sub BP} of 0.39 eV. However, TaN/Ge contact without a-IL did not show such FLP alleviation. Based on the results of depth distributions for respective elements, we discussed the formation kinetics of a-ILs at TiN/Ge and ZrN/Ge interfaces. Finally, we proposed an interfacial dipole model to explain the FLP alleviation.

  6. Flavour independent search for Higgs bosons decaying into hadronic final states in e+e- collisions

    CERN Document Server

    Abbiendi, G.; Akesson, P.F.; Alexander, G.; Allison, John; Amaral, P.; Anagnostou, G.; Anderson, K.J.; Arcelli, S.; Asai, S.; Axen, D.; Azuelos, G.; Bailey, I.; Barberio, E.; Barillari, T.; Barlow, R.J.; Batley, R.J.; Bechtle, P.; Behnke, T.; Bell, Kenneth Watson; Bell, P.J.; Bella, G.; Bellerive, A.; Benelli, G.; Bethke, S.; Biebel, O.; Boeriu, O.; Bock, P.; Bohme, J.; Boutemeur, M.; Braibant, S.; Brigliadori, L.; Brown, Robert M.; Buesser, K.; Burckhart, H.J.; Campana, S.; Carnegie, R.K.; Carter, A.A.; Carter, J.R.; Chang, C.Y.; Charlton, D.G.; Ciocca, C.; Csilling, A.; Cuffiani, M.; Dado, S.; De Roeck, A.; De Wolf, E.A.; Desch, K.; Dienes, B.; Donkers, M.; Dubbert, J.; Duchovni, E.; Duckeck, G.; Duerdoth, I.P.; Etzion, E.; Fabbri, F.; Feld, L.; Ferrari, P.; Fiedler, F.; Fleck, I.; Ford, M.; Frey, A.; Gagnon, P.; Gary, John William; Gaycken, G.; Geich-Gimbel, C.; Giacomelli, G.; Giacomelli, P.; Giunta, Marina; Goldberg, J.; Gross, E.; Grunhaus, J.; Gruwe, M.; Gunther, P.O.; Gupta, A.; Hajdu, C.; Hamann, M.; Hanson, G.G.; Harel, A.; Hauschild, M.; Hawkes, C.M.; Hawkings, R.; Hemingway, R.J.; Herten, G.; Horvath, D.; Igo-Kemenes, P.; Ishii, K.; Jeremie, H.; Jovanovic, P.; Junk, T.R.; Kanaya, N.; Kanzaki, J.; Karlen, D.; Kawagoe, K.; Kawamoto, T.; Keeler, R.K.; Kellogg, R.G.; Kennedy, B.W.; Klein, K.; Klier, A.; Kluth, S.; Kobayashi, T.; Kobel, M.; Komamiya, S.; Kramer, T.; Krieger, P.; von Krogh, J.; Kruger, K.; Kuhl, T.; Landsman, H.; Lanske, D.; Layter, J.G.; Lellouch, D.; Lettso, J.; Levinson, L.; Lillich, J.; Lloyd, S.L.; Loebinger, F.K.; Lu, J.; Ludwig, A.; Ludwig, J.; Mader, W.; Marcellini, S.; Martin, A.J.; Masetti, G.; Mashimo, T.; Mattig, Peter; McKenna, J.; McPherson, R.A.; Meijers, F.; Menges, W.; Merritt, F.S.; Mes, H.; Michelini, A.; Mihara, S.; Mikenberg, G.; Miller, D.J.; Moed, S.; Mohr, W.; Mori, T.; Mutter, A.; Nagai, K.; Nakamura, I.; Nanjo, H.; Neal, H.A.; Nisius, R.; ONeale, S.W.; Oh, A.; Okpara, A.; Oreglia, M.J.; Orito, S.; Pahl, C.; Pasztor, G.; Pater, J.R.; Pilcher, J.E.; Pinfold, J.; Plane, David E.; Poli, B.; Pooth, O.; Przybycien, M.; Quadt, A.; Rabbertz, K.; Rembser, C.; Renkel, P.; Roney, J.M.; Rosati, S.; Rozen, Y.; Runge, K.; Sachs, K.; Saeki, T.; Sarkisyan, E.K.G.; Schaile, A.D.; Schaile, O.; Scharff-Hansen, P.; Schieck, J.; Schoerner-Sadenius, Thomas; Schroder, Matthias; Schumacher, M.; Scott, W.G.; Seuster, R.; Shears, T.G.; Shen, B.C.; Sherwood, P.; Skuja, A.; Smith, A.M.; Sobie, R.; Soldner-Rembold, S.; Spano, F.; Stahl, A.; Strom, David M.; Strohmer, R.; Tarem, S.; Tasevsky, M.; Teuscher, R.; Thomson, M.A.; Torrence, E.; Toya, D.; Tran, P.; Trigger, I.; Trocsanyi, Z.; Tsur, E.; Turner-Watson, M.F.; Ueda, I.; Ujvari, B.; Vollmer, C.F.; Vannerem, P.; Vertesi, R.; Verzocchi, M.; Voss, H.; Vossebeld, J.; Waller, D.; Ward, C.P.; Ward, D.R.; Watkins, P.M.; Watson, A.T.; Watson, N.K.; Wells, P.S.; Wengler, T.; Wermes, N.; Wetterling, D.; Wilson, G.W.; Wilson, J.A.; Wolf, G.; Wyatt, T.R.; Yamashita, S.; Zer-Zion, D.; Zivkovic, Lidija

    2004-01-01

    A search for the Higgsstrahlung process e+e- -> hZ is described, where the neutral Higgs boson h is assumed to decay into hadronic final states. In order to be sensitive to a broad range of models, the search is performed independent of the flavour content of the Higgs boson decay. The analysis is based on e+e- collision data collected by the OPAL detector at energies between 192 GeV and 209 GeV. The search does not reveal any significant excess over the Standard Model background prediction. Results are combined with previous searches at energies around 91 GeV and at 189 GeV. A limit is set on the product of the cross-section and the hadronic branching ration of the Higgs boson, as a function of the Higgs boson mass. Assuming the hZ coupling predicted by the Standard Model, and a Higgs boson decaying only into hadronic final states, a lower bound of 104 GeV/c2 is set on the mass at the 95% confidence level.

  7. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  8. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    Science.gov (United States)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  9. Crystal structure of LaFe5Ge3O15 = LaFe5[GeO4][Ge2O7]O4

    International Nuclear Information System (INIS)

    Genkina, E.A.; Maksimov, B.A.; Mill, B.V.

    1991-01-01

    The authors have determined the structure of a new lanthanum-iron germanate LaFe 5 [GeO 4 ][GeO 4 ][Ge 2 O 7 ]O 4 (a = 18.040(4), b = 17.012(4), c = 7.591(1) angstrom, V = 2330.2(9) angstrom 3 , Z = 8, ρ t = 4.99 g/cm 3 , space ground Cmca, 1976 I hkl ≥ 3 σ(I), R = 4.5%). The compound is interesting because the framework simultaneously contains ortho- and diorthogroups of Ge and because of a classical set of coordination numbers (4,5,6) characteristic of trivalent iron within the composition of one structure. The coordination polyhedron of La has nine vertices

  10. Unexpected Ge-Ge contacts in the two-dimensional Ge{sub 4}Se{sub 3}Te phase and analysis of their chemical cause with the density of energy (DOE) function

    Energy Technology Data Exchange (ETDEWEB)

    Kuepers, Michael; Konze, Philipp M.; Maintz, Stefan; Steinberg, Simon [Institute of Inorganic Chemistry, Chair of Solid-State and Quantum Chemistry, RWTH Aachen University (Germany); Mio, Antonio M.; Cojocaru-Miredin, Oana; Zhu, Min; Wuttig, Matthias [I. Physikalisches Institut, RWTH Aachen University (Germany); Mueller, Merlin; Mayer, Joachim [Gemeinschaftslabor fuer Elektronenmikroskopie, RWTH Aachen University (Germany); Luysberg, Martina [Ernst-Ruska-Center, Forschungszentrum Juelich GmbH (Germany); Dronskowski, Richard [Institute of Inorganic Chemistry, Chair of Solid-State and Quantum Chemistry, RWTH Aachen University (Germany); Juelich-Aachen Research Alliance (JARA-HPC), RWTH Aachen University (Germany)

    2017-08-14

    A hexagonal phase in the ternary Ge-Se-Te system with an approximate composition of GeSe{sub 0.75}Te{sub 0.25} has been known since the 1960s but its structure has remained unknown. We have succeeded in growing single crystals by chemical transport as a prerequisite to solve and refine the Ge{sub 4}Se{sub 3}Te structure. It consists of layers that are held together by van der Waals type weak chalcogenide-chalcogenide interactions but also display unexpected Ge-Ge contacts, as confirmed by electron microscopy analysis. The nature of the electronic structure of Ge{sub 4}Se{sub 3}Te was characterized by chemical bonding analysis, in particular by the newly introduced density of energy (DOE) function. The Ge-Ge bonding interactions serve to hold electrons that would otherwise go into antibonding Ge-Te contacts. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  12. Radiation-modified structure of Ge25Sb15S60 and Ge35Sb5S60 glasses

    International Nuclear Information System (INIS)

    Kavetskyy, T.; Shpotyuk, O.; Kaban, I.; Hoyer, W.

    2008-01-01

    Atomic structures of Ge 25 Sb 15 S 60 and Ge 35 Sb 5 S 60 glasses are investigated in the γ-irradiated and annealed after γ-irradiation states by means of high-energy synchrotron x-ray diffraction technique. The first sharp diffraction peak (FSDP) is detected at around 1.1 A -1 in the structure factors of both alloys studied. The FSDP position is found to be stable for radiation/annealing treatment of the samples, while the FSDP intensity shows some changes between γ-irradiated and annealed states. The peaks in the pair distribution functions observed between 2 and 4 A are related to the Ge-S, Ge-Sb, and Sb-Sb first neighbor correlations and Ge-Ge second neighbor correlations in the edge-shared GeS 4/2 tetrahedra, and S-S and/or Ge-Ge second neighbor correlations in the corner-shared GeS 4/2 tetrahedra. Three mechanisms of the radiation-/annealing-induced changes are discussed in the framework of coordination topological defect formation and bond-free solid angle concepts

  13. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  14. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001 surface: nucleation, morphology, and CMOS compatibility

    Directory of Open Access Journals (Sweden)

    Yuryev Vladimir

    2011-01-01

    Full Text Available Abstract Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001 surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C and high (≳600°C temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001 surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001 quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  15. Understanding the elastic relaxation mechanisms of strain in Ge islands on pit-patterned Si(001) substrates

    International Nuclear Information System (INIS)

    Vastola, G; Montalenti, F; Miglio, Leo

    2008-01-01

    Substrate pre-patterning is a new and effective route for growing ordered arrays of heteroepitaxial nanoislands. Here, by exploiting elasticity theory solved by using finite element methods, we show why islands growing inside pits are better relaxed with respect to the flat-substrate case. Pit pre-patterning is demonstrated to be more important than previously realized, allowing for further degrees of freedom in controlling not only positioning but also shape, strain, and coherence of the growing islands. Our results offer a solid interpretation for the recent experimental results obtained by the group of Professor Guenther Bauer.

  16. Electronic structure of Ge-2 and Ge-2 and thermodynamic properties of Ge-2 from all electron ab initio investigations and Knudsen effusion mass spectroscopic measurements

    DEFF Research Database (Denmark)

    Shim, Irene; Baba, M. Sai; Gingerich, K.A.

    2002-01-01

    The low-lying states of the molecule Ge-2 and of the ion Ge-2(-) have been investigated by all electron ab initio multiconfiguration self-consistent field (CASSCF) and multi-reference configuration interaction (MRCI) calculations. The relativistic corrections for the Darwin contact term and for t......The low-lying states of the molecule Ge-2 and of the ion Ge-2(-) have been investigated by all electron ab initio multiconfiguration self-consistent field (CASSCF) and multi-reference configuration interaction (MRCI) calculations. The relativistic corrections for the Darwin contact term...... excited states are presented. Thermal functions based on the theoretically determined molecular parameters were used to derive the thermodynamic properties of the Ge-2 molecule from new mass spectrometric equilibrium data. The literature value for the dissociation energy of Ge-2 has been re...

  17. Single ferromagnetic fluctuations in UCoGe revealed by 73Ge- and 59Co-NMR studies

    Science.gov (United States)

    Manago, Masahiro; Ishida, Kenji; Aoki, Dai

    2018-02-01

    73Ge and 59Co nuclear magnetic resonance (NMR) and nuclear quadrupole resonance (NQR) measurements have been performed on a 73Ge-enriched single-crystalline sample of the ferromagnetic superconductor UCoGe in the paramagnetic state. The 73Ge NQR parameters deduced from NQR and NMR are close to those of another isostructural ferromagnetic superconductor URhGe. The Knight shifts of the Ge and Co sites are well scaled to each other when the magnetic field is parallel to the b or c axis. The hyperfine coupling constants of Ge are estimated to be close to those of Co. The large difference of spin susceptibilities between the a and b axes could lead to the different response of the superconductivity and ferromagnetism with the field parallel to these directions. The temperature dependence of the nuclear spin-lattice relaxation rates 1 /T1 at the two sites is similar to each other above 5 K. These results indicate that the itinerant U-5 f electrons are responsible for the ferromagnetism in this compound, consistent with previous studies. The similarities and differences in the three ferromagnetic superconductors are discussed.

  18. Diffractive pion dissociation into 5 pion final states at COMPASS

    Energy Technology Data Exchange (ETDEWEB)

    Neubert, Sebastian; Friedrich, Jan; Grabmueller, Stefanie; Haas, Florian; Ketzer, Bernhard; Paul, Stephan; Weitzel, Quirin [Technische Universitaet Muenchen, Physik Department E18, 85748 Garching (Germany); Chung, Suh-Urk [Technische Universitaet Muenchen, Physik Department E18, 85748 Garching (Germany); Brookhaven National Laboratory, Upton, NY 11973 (United States); Ryabchikov, Dimitry [Technische Universitaet Muenchen, Physik Department E18, 85748 Garching (Germany); Institute for High Ernergy Physics, 142284 Protvino (Russian Federation)

    2009-07-01

    COMPASS is a fixed-target experiment at the CERN SPS, which investigates the structure and spectroscopy of hadrons. In 2004, a first run with a 190 GeV/c {pi}{sup -} beam took place using nuclear targets. Diffractive dissociation reactions observed in this run provide clean access to meson resonances with masses up to 3 GeV/c{sup 2}. Exclusive final states with 5 charged pions have been extracted. The covered range in momentum transfer extends from threshold to a few GeV{sup 2}/c{sup 2} allowing to study resonance production in different regimes. We report on the status of the analysis of this unique data set.

  19. The study on Ge-68 production

    International Nuclear Information System (INIS)

    Yang, Seung Dae; Kim, Sang Wook; Hur, Min Goo

    2009-06-01

    The Ge-68 is a correction source of PET and is used in radiopharmaceuticals synthesis. This project is mainly aimed to produce the Ge-68. Based on this project results, the local Ge-68 production can be possible and the revitalization of the radioisotope utilization research areas can be accomplished. The characteristics of the Ge-68 and Ga-68 are obtained and analyzed. The production conditions are also developed, and the domestic and overseas status of the art are considered. The stacked foil target is designed using Al disc and dried Ga 2 O 3 powder, and the irradiation target is also designed. The cross section of the nat. Ga(p,xn) 68 Ge reaction is obtained using the developed target. The separation experiment of cold Ge/Ga in the H 2 SO 4 -HCl solution are carried out as a simulation experiment of the radioactive Ge/Ga sources. The separation of Ge/Ga by liquid extraction of CCl 4 in 8M HCl is also accomplished. And the synthesis experiment of the Hematophorphyrin-Ga complex is performed

  20. Shallow acceptors in Ge/GeSi heterostructures with quantum wells in magnetic field

    International Nuclear Information System (INIS)

    Aleshkin, V.Ya.; Antonov, A.V.; Veksler, D.B.; Gavrilenko, V.I.; Erofeeva, I.V.; Ikonnikov, A.V.; Kozlov, D.V.; Spirin, K.E.; Kuznetsov, O.A.

    2005-01-01

    One investigated both theoretically and experimentally into shallow acceptors in Ge/GeSi heterostructures with quantum wells (QW) in a magnetic field. It is shown that alongside with lines of cyclotron resonance in magnetoabsorption spectra one observes transitions from the ground state of acceptor to the excited ones associated with the Landau levels from the first and the second subbands of dimensional quantization, and resonance caused by ionization of A + -centres. To describe impurity transitions in Ge/GeSi heterostructures with QW in a magnetic field and to interpret the experiment results in detail one uses numerical method of calculation based on expansion of wave function of acceptor in terms of basis of wave functions of holes in QW in the absence of magnetic field [ru