WorldWideScience

Sample records for gaas substrates grown

  1. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  2. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  3. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  4. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  5. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  6. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  7. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  8. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  9. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  10. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  11. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  12. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  14. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  15. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  16. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  17. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  18. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  19. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  20. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  1. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  2. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  3. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  4. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  5. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  6. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  7. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  8. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  9. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  10. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  11. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  12. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  13. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  14. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  15. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  16. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  17. Magnetic properties of Fe3O4 thin films grown on different substrates by laser ablation

    International Nuclear Information System (INIS)

    Parames, M.L.; Viskadourakis, Z.; Rogalski, M.S.; Mariano, J.; Popovici, N.; Giapintzakis, J.; Conde, O.

    2007-01-01

    Magnetite thin films have been grown onto (1 0 0)Si (1 0 0)GaAs and (0 0 0 1)Al 2 O 3 , at substrate temperatures varying from 473 to 673 K, by UV pulsed laser ablation of Fe 3 O 4 targets in reactive atmospheres of O 2 and Ar, at working pressure of 8 x 10 -2 Pa. The influence of the substrate on stoichiometry, microstructure and the magnetic properties has been studied by X-ray diffraction (XRD), conversion electron Moessbauer spectroscopy (CEMS) and magnetic measurements. Magnetite crystallites, with stoichiometry varying from Fe 2.95 O 4 to Fe 2.99 O 4 , are randomly oriented for (1 0 0)GaAs and (1 0 0)Si substrates and exhibit (1 1 1) texture if grown onto (0 0 0 1)Al 2 O 3 . Interfacial Fe 3+ diffusion, which is virtually absent for (1 0 0)Si substrates, was found for both (0 0 0 1)Al 2 O 3 and (1 0 0)GaAs, with some deleterious effect on the subsequent microstructure and magnetic behaviour

  18. Parallel-aligned GaAs nanowires with (110) orientation laterally grown on [311]B substrates via the gold-catalyzed vapor-liquid-solid mode

    International Nuclear Information System (INIS)

    Zhang Guoqiang; Tateno, Kouta; Gotoh, Hideki; Nakano, Hidetoshi

    2010-01-01

    We report parallel aligned GaAs nanowires (NWs) with (110) orientation laterally grown on [311]B substrates via the vapor-liquid-solid mode and demonstrate their controllability and growth mechanism. We control the size, density, and site of the lateral NWs by using size- and density-selective Au colloidal particles and Au dot arrays defined by electron-beam lithography. The lateral NWs grow only along the [110] and [1-bar 1-bar 0] directions and formation of the stable facets of (111)B and (001) on the sides of the lateral NWs is crucial for lateral NW growth. We clarify the growth mechanism by comparing the growth results on [311]B, (311)A, and (001) substrates and the surface energy change of lateral and freestanding NWs.

  19. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  20. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  1. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  2. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  3. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  4. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  5. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.z [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa); Wagener, M.C. [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa)

    2009-12-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  6. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Wagener, M.C.

    2009-01-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  7. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  8. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  9. Control of threading dislocations by strain engineering in GaInP buffers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, K.L., E-mail: klli2010@sinano.ac.cn [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Sun, Y.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Dong, J.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); He, Y.; Zeng, X.L. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, Y.M.; Yu, S.Z.; Zhao, C.Y. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China)

    2015-10-30

    High quality strain-relaxed In0.3Ga0.7As layers with threading dislocation density about 2 × 10{sup 6} cm{sup −2} and root-mean-square surface roughness below 8.0 nm were obtained on GaAs substrates using compositionally undulating step-graded Ga{sub 1−x}In{sub x}P (x = 0.48–0.78) buffers. The transmission electron microscopy results reveal that the conventional step-graded GaInP buffers produce high density dislocation pile-ups, which are induced by the blocking effect of the nonuniform misfit dislocation strain field and crosshatched surface on the gliding of threading dislocations. In contrast, due to strain compensation, insertion of the tensile GaInP layers decreases the surface roughness and promotes dislocation annihilation in the interfaces, and eventually reduces the threading dislocation density. This provides a promising way to achieve a virtual substrate with the desired lattice parameter for metamorphic device applications. - Highlights: • Metamorphic GaInP buffers were grown by metal–organic chemical vapor deposition. • The compositionally undulating buffers effectively reduce the threading dislocation density. • High quality strain-relaxed In{sub 0.3}Ga{sub 0.7}As layers were obtained.

  10. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  11. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  12. Structural and electrical properties of high-quality 0.41 μm-thick InSb films grown on GaAs (1 0 0) substrate with InxAl1−xSb continuously graded buffer

    International Nuclear Information System (INIS)

    Shin, Sang Hoon; Song, Jin Dong; Lim, Ju Young; Koo, Hyun Cheol; Kim, Tae Geun

    2012-01-01

    High-quality InSb was grown on a GaAs (1 0 0) substrate with an InAlSb continuously graded buffer (CGB). The temperatures of In, Al K-cells and substrate were modified during the growth of InAlSb CGB. The cross-section TEM image reveals that the defects due to lattice-mismatch disappear near lateral structures in CGB. The measured electron mobility of 0.41 μm-thick InSb was 46,300 cm 2 /Vs at 300 K. These data surpass the electron mobility of state-of-the-art InSb grown by other methods with similar thickness of InSb.

  13. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  14. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  15. Submicron resolution X-ray diffraction from periodically patterned GaAs nanorods grown onto Ge[111

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Solid State Physics, Siegen University (Germany); Grenzer, Joerg [FZ-Dresden Rossendorf, Dresden (Germany); Paetzelt, Hendrik; Gottschalch, Volker; Bauer, Jens [Solid State Chemistry, University of Leipzig (Germany)

    2009-08-15

    We present high-resolution X-ray diffraction pattern of periodic GaAs nanorods (NRs) ensembles and individual GaAs NRs grown catalyst-free throughout a pre-patterned amorphous SiN{sub x} mask onto Ge[111]B surfaces by selective-area MOVPE method. To the best of our knowledge this is the first report about nano-structure X-ray characterization growth on non-polar substrate. The experiment has been performed at home laboratory and using synchrotron radiation using a micro-sized beam prepared by compound refractive lenses. Due to the non-polar character of the substrate the shapes of NRs appear not uniform and vary between deformed hexagonal and trigonal in symmetry. Because the average diameter of NRs equals the experimental resolution certain cuts through slightly inclined edges or corners of individual NRs with lateral size of about 225 nm could be selected using spatially resolved reciprocal space mapping. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  16. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  17. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011){sub B3} single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Higuchi, Jumpei; Yabuhara, Osamu [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011){sub B3} single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar]{sub bcc} || GaAs(011)[011-bar]{sub B3}. The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{l_brace}011{r_brace} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011){sub B3} substrates.

  18. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    Energy Technology Data Exchange (ETDEWEB)

    Allard Jr, Lawrence Frederick [ORNL

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize the binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION

  19. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    International Nuclear Information System (INIS)

    Alonso-Gonzalez, Pablo; Gonzalez, Luisa; Gonzalez, Yolanda; Fuster, David; Fernandez-Martinez, Ivan; Martin-Sanchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs quantum dot (QD) arrays with high optical quality from the first layer of QDs formed on the patterned substrate. The main result is the development of a patterning technology that allows the engineering of customized geometrical displays of QDs with the same optical quality as those formed spontaneously on flat non-patterned substrates

  20. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  1. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  2. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  3. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  4. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  5. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  6. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    International Nuclear Information System (INIS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-01-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  7. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  8. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  9. X-ray characterisation of single GaAs nanorods grown on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, A.; Pietsch, Ullrich [Universitaet Siegen (Germany). Festkoerperphysik; Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices. The nanorod approach can be used to form radial or axial heterostructures of materials with a large lattice mismatch. For the inspection of average structural parameters of the nanorods, typically X-ray or electron diffraction techniques are used. Alternatively, transmission electron microscopy can be used to inspect few individual nanorods after respective sample preparation. Complementary, recent developments in X-ray optics allow to focus a synchrotron beam down to the nanometer scale and to perform nondestructive diffraction studies at several individual nano-objects grown the same substrate. In this contribution we report on X-ray diffraction studies at individual GaAs nanorods grown Au seed-free on a Si[111] substrate. Due to the nanometer-sized x-ray beam, size and lattice parameters of individual nanorods could be measured and compared to the value obtained from the whole ensemble. Using the coherence properties of the focused beam we could observe speckle-like interference fringes in the surrounding of particular sensitive Bragg reflections which are a measure for the appearance of stacking faults within the nanorods. The separation of the speckles could be used to estimate the number of stacking faults and the size of the coherently scattering nanorod-segments.

  10. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  11. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  12. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  13. Contactless electroreflectance and photoluminescence of InAs quantum dots with GaInNAs barriers grown on GaAs substrate

    International Nuclear Information System (INIS)

    Motyka, M.; Kudrawiec, R.; Misiewicz, J.; Pucicki, D.; Tlaczala, M.; Fischer, M.; Marquardt, B.; Forchel, A.

    2007-01-01

    InAs quantum dots (QDs) with GaInNAs barriers grown on (001) GaAs substrate by molecular beam epitaxy have been studied by contactless electroreflectance (CER) and photoluminescence (PL) spectroscopies. It has been observed that the overgrowth of self-organized InAs QDs with GaInNAs layers effectively tunes the QD emission to the 1.3 μm spectral region. In case of PL spectra only one peak related to QD emission has been observed. In the case of CER spectra, in addition to a CER feature corresponding to the QD ground state, a rich spectrum of CER resonances related to optical transitions in InAs/GaInNAs/GaAs QW has been observed. It has been concluded that the application of GaInNAs instead InGaAs leads to better control of emission wavelength from InAs QDs since strains in GaInNAs can be tuned from compressive to tensile. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  15. GaAs FETs and novel heteroepitaxial quaternary lasers grown on InP substrates by organometallic chemical vapor deposition

    International Nuclear Information System (INIS)

    Lo, Y.H.; Bhat, R.; Chang-Hasnain, C.; Caneau, C.; Zah, C.E.; Lee, T.P.

    1988-01-01

    This paper reports the GaAs MESFETs and 1.3μm buried hetero-structure lasers with AlGaAs/GaAs lateral confinement layers simultaneously grown by OMCVD and fabricated on InP structures. The 1μm recessed gate MESFET has a transconductance of 220 mS/mm and the novel structured laser has a CW threshold current of 45 mA. The heteroepitaxy technology and devices show great promises for long wavelength opto-electronic integrated circuits

  16. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  17. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  18. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  19. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  20. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  1. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  2. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  3. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS2 thin films

    International Nuclear Information System (INIS)

    Berndt, P.R.; Botha, J.R.; Branch, M.S.; Leitch, A.W.R.; Kirmse, H.; Neumann, W.; Weber, J.

    2007-01-01

    In this study, various CuGaS 2 layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures

  4. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  5. Self-Assembled Local Artificial Substrates of GaAs on Si Substrate

    Directory of Open Access Journals (Sweden)

    Frigeri C

    2010-01-01

    Full Text Available Abstract We propose a self-assembling procedure for the fabrication of GaAs islands by Droplet Epitaxy on silicon substrate. Controlling substrate temperature and amount of supplied gallium is possible to tune the base size of the islands from 70 up to 250 nm and the density from 107 to 109 cm−2. The islands show a standard deviation of base size distribution below 10% and their shape evolves changing the aspect ratio from 0.3 to 0.5 as size increases. Due to their characteristics, these islands are suitable to be used as local artificial substrates for the integration of III–V quantum nanostructures directly on silicon substrate.

  6. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  7. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Berndt, P.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)]. E-mail: pearl.berndt@nmmu.ac.za; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Branch, M.S. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Kirmse, H. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Neumann, W. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Weber, J. [Institute for Applied Physics-Semiconductor Physics, University of Technology, Dresden (Germany)

    2007-05-31

    In this study, various CuGaS{sub 2} layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures.

  8. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  9. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  10. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  11. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  12. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  13. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  14. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  15. InGaAs Quantum Well Grown on High-Index Surfaces for Superluminescent Diode Applications

    Directory of Open Access Journals (Sweden)

    Wu Jiang

    2010-01-01

    Full Text Available Abstract The morphological and optical properties of In0.2Ga0.8As/GaAs quantum wells grown on various substrates are investigated for possible application to superluminescent diodes. The In0.2Ga0.8As/GaAs quantum wells are grown by molecular beam epitaxy on GaAs (100, (210, (311, and (731 substrates. A broad photoluminescence emission peak (~950 nm with a full width at half maximum (FWHM of 48 nm is obtained from the sample grown on (210 substrate at room temperature, which is over four times wider than the quantum well simultaneously grown on (100 substrate. On the other hand, a very narrow photoluminescence spectrum is observed from the sample grown on (311 with FWHM = 7.8 nm. The results presented in this article demonstrate the potential of high-index GaAs substrates for superluminescent diode applications.

  16. Critical size for the generation of misfit dislocations and their effects on electronic properties in GaAs nanosheets on Si substrate

    International Nuclear Information System (INIS)

    Yuan, Zaoshi; Shimamura, Kohei; Shimojo, Fuyuki; Nakano, Aiichiro

    2013-01-01

    While nanowires and nanosheets (NSs) grown on lattice-mismatched substrates have a number of promising technological applications such as solar cells, generation of misfit dislocations (MFDs) at their interfaces is a major concern for the efficiency of these devices. Here, combined molecular-dynamics and quantum-mechanical simulations are used to study MFDs at the interface between a GaAs NS and a Si substrate. Simulation results show the existence of a critical NS thickness, below which NSs are grown free of MFDs. The calculated critical thickness value is consistent with available experimental observations. Charge transfer at the MFD core is found to modify the electronic band profile at the GaAs/Si interface significantly. These effects should have profound impacts on the efficiency of lattice-mismatched NS devices

  17. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  18. Etching of GaAs substrates to create As-rich surface

    Indian Academy of Sciences (India)

    WINTEC

    during the manipulations of the substrate after the chemi- cal etching process. ... using the four techniques described in table 1 and for an. *Author for ... Etching of GaAs substrates to create As-rich surface. 563. Table 1. Treatment procedures used. Treatment. Techniques. 1st stage. 2nd stage. 3rd stage. 4th stage. 1. Treated ...

  19. Longer than 1.9 μm photoluminescence emission from InAs quantum structure on GaAs (001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Ke; Ma, Wenquan, E-mail: wqma@semi.ac.cn; Huang, Jianliang; Zhang, Yanhua; Cao, Yulian; Huang, Wenjun; Luo, Shuai; Yang, Tao [Institute of Semiconductors, Chinese Academy of Sciences, Qinghua East Road A 35, Beijing 100083 (China)

    2015-07-27

    We report on photoluminescence (PL) emission with long wavelength for quantum structure by the sub-monolayer (SML) growth technique on GaAs (001) substrate. It is found that the PL emission wavelength can be controlled by controlling the SML InAs deposition amount. At 12 K, the PL peak position of the grown samples changes from about 1.66 to 1.78 μm. At 120 K, the PL emission of a sample reaches 1.91 μm. The physical mechanism responsible for the measured long wavelength PL emission may be related to strong In segregation and intermixing effects occurred in the structure grown by SML growth technique.

  20. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  1. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  2. Study of a MHEMT heterostructure with an In0.4Ga0.6As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    International Nuclear Information System (INIS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2015-01-01

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In 0.4 Ga 0.6 As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for the (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure

  3. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  4. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  5. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  6. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  7. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  8. Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2014-06-21

    The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.

  9. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  10. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  11. Spin polarization of single-crystalline Co2MnSi films grown by PLD on GaAs(0 0 1)

    International Nuclear Information System (INIS)

    Wang, W.H.; Przybylski, M.; Kuch, W.; Chelaru, L.I.; Wang, J.; Lu, Y.F.; Barthel, J.; Kirschner, J.

    2005-01-01

    Single-crystalline Co 2 MnSi Heusler alloy films have been grown on GaAs(0 0 1) substrates by pulsed laser deposition. The best crystallographic quality has been achieved after deposition at 450 K. Spin-resolved photoemission measurements at BESSY reveal spin-resolved density of states that are in qualitative agreement with recent band structure calculations. The spin polarization of photoelectrons close to the Fermi level is found to be at most 12% at room temperature, in contrast to the predicted half-metallic behavior. We suggest that this discrepancy may be attributed to a non-magnetic surface region and/or partial chemical disorder in the Co 2 MnSi lattice

  12. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  13. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  14. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  15. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  16. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  17. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  18. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  19. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  20. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  1. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  2. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  3. Extended defects in MBE-grown CdTe-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wichrowska, Karolina; Wosinski, Tadeusz; Kret, Slawomir; Chusnutdinow, Sergij; Karczewski, Grzegorz [Institute of Physics, Polish Academy of Sciences, Warsaw (Poland); Rawski, Michal [Analytical Laboratory, Maria Curie-Sklodowska University, Lublin (Poland); Yastrubchak, Oksana [Institute of Physics, Maria Curie-Sklodowska University, Lublin (Poland)

    2015-08-15

    Extended defects in the p -ZnTe/n -CdTe heterojunctions grown by the molecular-beam epitaxy technique on two different substrates, GaAs and CdTe, have been investigated by deep-level transient spectroscopy (DLTS) and transmission electron microscopy (TEM). Four hole traps, called H1 to H4, and one electron trap, called E3, have been revealed in the DLTS spectra measured for the heterojunctions grown on the GaAs substrates. The H1, H3, H4 and E3 traps have been attributed to the electronic states of dislocations on the ground of their logarithmic capture kinetics. The DLTS peaks associated with the H1 and E3 traps were not observed in the DLTS spectra measured for the heterojunction grown on the CdTe substrate. They are most likely associated with threading dislocations generated at the mismatched interface with the GaAs substrate. Cross-sectional TEM images point out that they are dislocations of the 60 -type. In both the types of heterojunctions the H4 trap was observed only under forward-bias filling pulse, suggesting that this trap is associated with the CdTe/ZnTe interface. In addition, TEM images revealed also the presence of intrinsic and extrinsic stacking faults in the CdTe layers, which may considerably affect their electronic properties. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  5. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  6. Transmission electron microscopy and photoluminescence characterization of InGaAs strained quantum wires on GaAs vicinal (110) substrates

    CERN Document Server

    Shim, B R; Ota, T; Kobayashi, K; Maehashi, K; Nakashima, H; Lee, S Y

    1999-01-01

    We have used transmission electron microscopy (TEM) and photoluminescence (PL) to study InGaAs/AlGaAs strained quantum wires (QWRs) grown by molecular beam epitaxy (MBE) on GaAs vicinal (110) substrates. The cross-sectional TEM image reveals that InGaAs QWRs structures are naturally formed on AlGaAs giant steps. In the plan-view TEM images, the fringe pattern in the giant-step region is observed for In sub x Ga sub 1 sub - sub x As layers with x<= 0.4 We measured the separation of the fringe in the plan-view TEM images and compared the result with the calculated fringe separation. From this result, we conclude that the fringes observed in the plan-view TEM images are moire fringes. PL spectra of the InGaAs QWRs samples reveal 80-meV shifts to lower energy with respect to the spectrum of a quantum well (QWL) grown on a (001) substrate under the same conditions. We also measured the polarization anisotropy of the PL spectra from the QWRs. The PL peak shifts systematically toward higher energy with decreasing...

  7. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  8. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  9. High quality GaAs single photon emitters on Si substrate

    International Nuclear Information System (INIS)

    Bietti, S.; Sanguinetti, S.; Cavigli, L.; Accanto, N.; Vinattieri, A.; Minari, S.; Abbarchi, M.; Isella, G.; Frigeri, C.; Gurioli, M.

    2013-01-01

    We describe a method for the direct epitaxial growth of a single photon emitter, based on GaAs quantum dots fabricated by droplet epitaxy, working at liquid nitrogen temperatures on Si substrates. The achievement of quantum photon statistics up to T=80 K is directly proved by antibunching in the second order correlation function as measured with a H anbury Brown and Twiss interferometer

  10. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  11. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  12. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  13. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  14. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  15. Fermi edge singularity evidence from photoluminescence spectroscopy of AlGaAs/InGaAs/GaAs pseudomorphic HEMTs grown on (3 1 1)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bru-Chevallier, C.; Maaref, H.

    2011-01-01

    InGaAs/AlGaAs/GaAs pseudomorphic high electron mobility transistor (P-HEMT) structures were grown by Molecular Beam Epitaxy (MBE) on (3 1 1)A GaAs substrates with different well widths, and studied by photoluminescence (PL) spectroscopy as a function of temperature and excitation density. The PL spectra are dominated by one or two spectral bands, corresponding, respectively, to one or two populated electron sub-bands in the InGaAs quantum well. An enhancement of PL intensity at the Fermi level energy (E F ) in the high-energy tail of the PL peak is clearly observed and associated with the Fermi edge singularity (FES). This is practically detected at the same energy for all samples, in contrast with energy transitions in the InGaAs channel, which are shifted to lower energy with increasing channel thickness. PL spectra at low temperature and low excitation density are used to optically determine the density of the two-dimensional electron gas (2DEG) in the InGaAs channel for different thicknesses. The results show an enhancement of the 2DEG density when the well width increases, in good agreement with our previous theoretical study.

  16. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  17. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  18. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  19. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  20. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  1. Heteroepitaxially grown InP solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Brinker, D.J.; Wilt, D.M.

    1990-01-01

    Although they are significantly more radiation resistant than either Si or GaAs solar cells, their high wafer cost presents a barrier to the widespread use of InP solar cells in space. For this reason, the authors have initiated a program aimed at producing high efficiency, radiation resistant solar cells processed from InP heteroepitaxially grown on cheaper substrates. The authors' objective is to present the most recent results emanating from this program together with the results of their initial proton irradiations on these cells. This paper reports that InP cells were processed from a 4 micron layer of InP, grown by OMCVD on a silicon substrate, with a 0.5 micron buffer layer between the InP directly grown on a GaAs substrate. Initial feasibility studies, in a Lewis sponsored program at the Spire corporation, resulted in air mass zero efficiencies of 7.1% for the former cells and 9.1% for the latter. These initial low efficiencies are attributed to the high dislocation densities caused by lattice mismatch. The authors' preirradiation analysis indicates extremely low minority carrier diffusion lengths, in both cell base and emitter, and high values of both the diffusion and recombination components of the diode reverse saturation currents. Irradiation by 10 MeV protons, to a fluence of 10 13 cm -2 , resulted in relatively low degradation in cell efficiency, short circuit current and open circuit voltage

  2. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  3. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  4. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    Science.gov (United States)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  5. The influence of post-growth annealing on the optical properties of InAs quantum dot chains grown on pre-patterned GaAs(100)

    International Nuclear Information System (INIS)

    Hakkarainen, T V; Polojärvi, V; Schramm, A; Tommila, J; Guina, M

    2012-01-01

    We report on the effect of post-growth thermal annealing of [011]-, [01 1-bar ]-, and [010]-oriented quantum dot chains grown by molecular beam epitaxy on GaAs(100) substrates patterned by UV-nanoimprint lithography. We show that the quantum dot chains experience a blueshift of the photoluminescence energy, spectral narrowing, and a reduction of the intersubband energy separation during annealing. The photoluminescence blueshift is more rapid for the quantum dot chains than for self-assembled quantum dots that were used as a reference. Furthermore, we studied polarization resolved photoluminescence and observed that annealing reduces the intrinsic optical anisotropy of the quantum dot chains and the self-assembled quantum dots. (paper)

  6. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  8. X-ray investigation of the interface structure of free standing InAs nanowires grown on GaAs[ anti 1 anti 1 anti 1]{sub B}

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Wagner, Gerald [Universitaet Leipzig, Halbleiterchemie, Leipzig (Germany); Pietsch, Ullrich; Davydok, Anton; Biermanns, Andreas [Universitaet Siegen, Festkoerperphysik, Siegen (Germany); Grenzer, Joerg [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany)

    2009-09-15

    The heteroepitaxial growth process of InAs nanowires (NW) on GaAs[ anti 1 anti 1 anti 1]{sub B} substrate was investigated by X-ray grazing-incidence diffraction using synchrotron radiation. For crystal growth we applied the vapor-liquid-solid (VLS) growth mechanism via gold seeds. The general sample structure was extracted from various electron microscopic and X-ray diffraction experiments. We found a closed Ga{sub x}In{sub 1-x} As graduated alloy layer at the substrate to NW interface which was formed in the initial stage of VLS growth with a Au-Ga-In liquid alloy. With ongoing growth time a transition from this VLS layer growth to the conventional VLS NW growth was observed. The structural properties of both VLS grown crystal types were examined. Furthermore, we discuss the VLS layer growth process. (orig.)

  9. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  10. Design and characterisation of high electron mobility transistors for use in a monolithic GaAs X-ray imaging sensor

    International Nuclear Information System (INIS)

    Boardman, D.A.; Sellin, P.J.

    2001-01-01

    A new design of monolithic GaAs pixel detector is proposed for medical and synchrotron applications. In this device a semi-insulating GaAs wafer will be used as both the detector element and the substrate for the integrated charge readout matrix. The charge readout matrix consists of High Electron Mobility Transistors (HEMTs), which are grown epitaxially onto the GaAs substrate. Experimental characterisation of HEMTs has been carried out and their suitability for the proposed imaging device is assessed. Temperature measurements on initial devices showed the threshold voltage to be stable from room temperature down to -15 degree sign C. HEMT designs with lower leakage current that operate in enhancement mode have been fabricated and modelled using the Silvaco simulation package. These optimised devices have been fabricated using a gate recess, and exhibit enhancement mode operation and significantly reduced gate leakage currents

  11. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  12. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  14. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  15. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  16. Transmission electron microscopy and photoluminescence characterization of InGaAs strained quantum wires on GaAs vicinal (110) substrates

    International Nuclear Information System (INIS)

    Shim, Byoung Rho; Torii, Satoshi; Ota, Takeshi; Kobayashi, Keisuke; Maehashi, Kenzo; Nakashima, Hisao; Lee, Sang Yun

    1999-01-01

    We have used transmission electron microscopy (TEM) and photoluminescence (PL) to study InGaAs/AlGaAs strained quantum wires (QWRs) grown by molecular beam epitaxy (MBE) on GaAs vicinal (110) substrates. The cross-sectional TEM image reveals that InGaAs QWRs structures are naturally formed on AlGaAs giant steps. In the plan-view TEM images, the fringe pattern in the giant-step region is observed for In x Ga 1-x As layers with x≤ 0.4 We measured the separation of the fringe in the plan-view TEM images and compared the result with the calculated fringe separation. From this result, we conclude that the fringes observed in the plan-view TEM images are moire fringes. PL spectra of the InGaAs QWRs samples reveal 80-meV shifts to lower energy with respect to the spectrum of a quantum well (QWL) grown on a (001) substrate under the same conditions. We also measured the polarization anisotropy of the PL spectra from the QWRs. The PL peak shifts systematically toward higher energy with decreasing InGaAs thickness. The degree of polarization for the InGaAs QWRs was about 0.29. The PL observation evidences the carrier confinement in the QWRs. These results indicate that locally thick InGaAs strained QWRs were successfully formed at the edge of AlGaAs giant steps

  17. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  18. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Science.gov (United States)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  19. Structure characterization of MHEMT heterostructure elements with In_0_._4Ga_0_._6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    International Nuclear Information System (INIS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-01-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In_0_._4Ga_0_._6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In_xGa_1_–_xAs ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  20. Dislocation-limited electron transport in InSb grown on GaAs(0 0 1)

    Energy Technology Data Exchange (ETDEWEB)

    Sato, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)]. E-mail: taku-s@jaist.ac.jp; Suzuki, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Tomiya, S. [Materials Analysis Laboratory, Sony Corporation, 4-16-1 Okata, Atugi, Kanagawa 243-0021 (Japan); Yamada, S. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2006-04-01

    We investigated dislocations and electrical properties in InSb thin films with various thickness grown on GaAs(0 0 1). It is found that both the threading dislocation density and the local donor concentration decrease in proportion to the inverse of the distance from the InSb/GaAs interface, which indicates that the former is the origin of the latter. This behavior is well explained by pair annihilation mechanism of the threading dislocations. The electron mobility is limited by ionized donor scattering, i.e. charged dislocation scattering.

  1. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    Science.gov (United States)

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  2. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  3. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  4. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  5. Recovery Act : Near-Single-Crystalline Photovoltaic Thin Films on Polycrystalline, Flexible Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Venkat Selvamanickam; Alex Freundlich

    2010-11-29

    III-V photovoltaics have exhibited efficiencies above 40%, but have found only a limited use because of the high cost of single crystal substrates. At the other end of the spectrum, polycrystalline and amorphous thin film solar cells offer the advantage of low-cost fabrication, but have not yielded high efficiencies. Our program is based on single-crystalline-like thin film photovoltaics on polycrystalline substrates using biaxially-textured templates made by Ion Beam-Assisted Deposition (IBAD). MgO templates made by IBAD on flexible metal substrate have been successfully used for epitaxial growth of germanium films. In spite of a 4.5% lattice mismatch, heteroepitaxial growth of Ge was achieved on CeO2 that was grown on IBAD MgO template. Room temperature optical bandgap of the Ge films was identified at 0.67 eV indicating minimal residual strain. Refraction index and extinction coefficient values of the Ge films were found to match well with that measured from a reference Ge single crystal. GaAs has been successfully grown epitaxially on Ge on metal substrate by molecular beam epitaxy. RHEED patterns indicate self annihilation of antiphase boundaries and the growth of a single domain GaAs. The GaAs is found to exhibit strong photoluminescence signal and, an existence of a relatively narrow (FWHM~20 meV) band-edge excitons measured in this film indicates a good optoelectronic quality of deposited GaAs. While excellent epitaxial growth has been achieved in GaAs on flexible metal substrates, the defect density of the films as measured by High Resolution X-ray Diffraction and etch pit experiments showed a high value of 5 * 10^8 per cm^2. Cross sectional transmission electron microscopy of the multilayer architecture showed concentration of threading dislocations near the germanium-ceria interface. The defect density was found decrease as the Ge films were made thicker. The defects appear to originate from the MgO layer presumably because of large lattice mismatches

  6. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  7. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  8. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Energy Technology Data Exchange (ETDEWEB)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Ermakova, M. A. [Federal Agency on Technical Regulating and Metrology, Center for Study of Surface and Vacuum Properties (Russian Federation); Ruban, O. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  9. Above band gap absorption spectra of the arsenic antisite defect in low temperature grown GaAs and AlGaAs

    DEFF Research Database (Denmark)

    Dankowski, S. U.; Streb, D.; Ruff, M.

    1996-01-01

    coefficients at the band gap are twice as high as for high temperature grown materials. By annealing the samples, we obtained a drastic reduced absorption coefficient below as well as above the band gap. We observed absorption changes up to 17 000 cm(-1) for LT-GaAs and 9000 cm(-1) for LT-AlGaAs taking place......Room temperature absorption spectra of low temperature molecular beam epitaxy grown GaAs (LT-GaAs) and AlGaAs (LT-AlGaAs) are reported. We performed measurements in an extended spectral range from 0.8 eV to photon energies of 2.8 eV far above the band gap. For as-grown LT-materials, the absorption...

  10. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  11. GaAsSb/InGaAs type-II quantum wells for long-wavelength lasers on GaAs substrates

    International Nuclear Information System (INIS)

    Klem, J. F.; Blum, O.; Kurtz, S. R.; Fritz, I. J.; Choquette, K. D.

    2000-01-01

    We have investigated the properties of GaAsSb/InGaAs type-II bilayer quantum-well structures grown by molecular-beam epitaxy for use in long-wavelength lasers on GaAs substrates. Structures with layer strains and thicknesses designed to be thermodynamically stable against dislocation formation exhibit room-temperature photoluminescence at wavelengths as long as 1.43 μm. The photoluminescence emission wavelength is significantly affected by growth temperature and the sequence of layer growth (InGaAs/GaAsSb versus GaAsSb/InGaAs), suggesting that Sb and/or In segregation results in nonideal interfaces under certain growth conditions. At low-injection currents, double-heterostructure lasers with GaAsSb/InGaAs bilayer quantum-well active regions display electroluminescence at wavelengths comparable to those obtained in photoluminescence, but at higher currents the electroluminescence shifts to shorter wavelengths. Lasers have been obtained with threshold current densities of 120 A/cm2 at 1.17 μm, and 2.1 kA/cm2 at 1.21 μm. (c) 2000 American Vacuum Society

  12. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  13. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  14. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  15. Observation of the exciton and Urbach band tail in low-temperature-grown GaAs using four-wave mixing spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Yildirim, M.; Hacquebard, L.; March, S.; Mathew, R.; Gamouras, A.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2014-11-03

    Four-wave mixing (FWM) spectroscopy reveals clear signatures associated with the exciton, free carrier inter-band transitions, and the Urbach band tail in low-temperature-grown GaAs, providing a direct measure of the effective band gap as well as insight into the influence of disorder on the electronic structure. The ability to detect (and resolve) these contributions, in contrast to linear spectroscopy, is due to an enhanced sensitivity of FWM to the optical joint density of states and to many-body effects. Our experiments demonstrate the power of FWM for studying the near-band-edge optical properties and coherent carrier dynamics in low-temperature-grown semiconductors.

  16. Residual carrier density in GaSb grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2006-01-01

    The relationships between the densities of residual carriers and those of dislocation in GaSb films grown on Si substrates were investigated. Dislocation density was evaluated by cross-sectional transmission electron microscopy (TEM). The TEM images indicated that the dislocation density after a 5-μm-thick GaSb film was grown was below 1 x 10 8 /cm 2 although the density near the interface between the Si substrate and the GaSb film was about 3 x 10 9 /cm 2 . Forming a dislocation loop by growing a thick GaSb layer may decrease the dislocation density. The density and mobility of the residual carrier were investigated by Hall measurement using the van der Pauw method. The residual carriers in GaSb grown on Si substrates were holes, and their densities decreased significantly from 4.2 x 10 18 to 1.4 x 10 17 /cm 3 as GaSb thickness was increased from 500 to 5500 nm

  17. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  19. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    International Nuclear Information System (INIS)

    Paiano, P.; Lovergine, N.; Mancini, A.M.; Prete, P.

    2005-01-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me 2 Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to ∝10 6 cm -2 ) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga 2 Te 3 extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me 2 Zn molar flow between 2 x 10 15 cm -3 and 5 x 10 16 cm -3 . Temperature-dependent Hall measurements performed on samples grown at MFR≤1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10 16 cm -3 range and slowly increasing with Me 2 Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C Te -D Zn ), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  1. Impact of the substrate misorientation and its preliminary etching on the structural and optical properties of integrated GaAs/Si MOCVD heterostructures

    Science.gov (United States)

    Seredin, P. V.; Lenshin, A. S.; Zolotukhin, D. S.; Arsentyev, I. N.; Zhabotinskiy, A. V.; Nikolaev, D. N.

    2018-03-01

    This is the first attempt to make a report regarding the control of the structural and optical functional characteristics of integrated GaAs/Si heterostructures owing to the employment of preliminary etched misoriented Si substrates. The epitaxial GaAs layer on silicon substrates with no formation of the antiphase domains can be grown using substrates deviating less than 4°-6° from the singular (100) plane or without the use of a transition layer of GaAs nano-stakes. Preliminary etching of the Si substrate made it easier to acquire an epitaxial GaAs film in a single-crystalline state with a significantly less relaxation factor MOCVD, which positively influences on the structural performance of the film. These data agree with the results of Infrared reflection spectroscopy as well as Photoluminescence and UV-Vis spectroscopy. The optical properties of the integrated GaAs/Si (100) heterostructures in the IR and UV spectral regions were also identified by means of the relaxation coefficients.

  2. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  3. Yield of lettuce grown in aquaponic system using different substrates

    Directory of Open Access Journals (Sweden)

    Rodrigo A. Jordan

    Full Text Available ABSTRACT In the aquaponic system, the characteristics of the materials used as substrate directly affect plant development, because besides acting as a support base, they must present a surface to fix microorganisms, responsible for the conversion of nutrients into forms more easily available to plants. Thus, the objective of this study was to evaluate the effect of four growing substrates on the yield of lettuce grown in aquaponic system. The experimental design was randomized blocks with four treatments, which corresponded to the substrates, and six replicates. Plants were grown using the nutrient film technique (NFT system. The substrates used in the experiment were: coconut shell fiber with crushed stone #3, expanded vermiculite, zeolite and phenolic foam. The treatment with phenolic foam was considered as the least suitable for lettuce cultivation in aquaponic system, because it caused lower yield (20.8 t ha-1. The treatment using coconut shell fiber with crushed stone #3 was considered as the most adequate, since it led to higher yield (39.9 t ha-1 compared with the other substrates analyzed.

  4. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  5. Co thin film with metastable bcc structure formed on GaAs(111 substrate

    Directory of Open Access Journals (Sweden)

    Minakawa Shigeyuki

    2014-07-01

    Full Text Available Co thin films are prepared on GaAs(111 substrates at temperatures ranging from room temperature to 600 ºC by radio-frequency magnetron sputtering. The growth behavior and the detailed resulting film structure are investigated by in-situ reflection high-energy electron diffraction and X-ray diffraction. In early stages of film growth at temperatures lower than 200 ºC, Co crystals with metastable A2 (bcc structure are formed, where the crystal structure is stabilized through hetero-epitaxial growth. With increasing the film thickness beyond 2 nm, the metastable structure starts to transform into more stable A1 (fcc structure through atomic displacements parallel to the A2{110} close-packed planes. The crystallographic orientation relationship between the A2 and the transformed A1 crystals is A1{111} || A2{110}. When the substrate temperature is higher than 400 ºC, Ga atoms of substrate diffuse into the Co films and a Co-Ga alloy with bcc-based ordered structure of B2 is formed.

  6. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  7. Improved field emission properties of carbon nanotubes grown on stainless steel substrate and its application in ionization gauge

    Science.gov (United States)

    Li, Detian; Cheng, Yongjun; Wang, Yongjun; Zhang, Huzhong; Dong, Changkun; Li, Da

    2016-03-01

    Vertically aligned carbon nanotube (CNT) arrays were fabricated by chemical vapor deposition (CVD) technique on different substrates. Microstructures and field emission characteristics of the as-grown CNT arrays were investigated systematically, and its application in ionization gauge was also evaluated preliminarily. The results indicate that the as-grown CNT arrays are vertically well-aligned relating to the substrate surfaces, but the CNTs grown on stainless steel substrate are longer and more crystalline than the ones grown on silicon wafer substrate. The field emission behaviors of the as-grown CNT arrays are strongly dependent upon substrate properties. Namely, the CNT array grown on stainless steel substrate has better field emission properties, including lower turn on and threshold fields, better emission stability and repeatability, compared with the one grown on silicon wafer substrate. The superior field emission properties of the CNT array grown on stainless steel substrate are mainly attributed to low contact resistance, high thermal conductivity, good adhesion strength, etc. In addition, the metrological behaviors of ionization gauge with the CNT array grown on stainless steel substrate as an electron source were investigated, and this novel cathode ionization gauge extends the lower limit of linear pressure measurement to 10-8 Pa, which is one order of magnitude lower than the result reported for the same of gauge with CNT cathode.

  8. Improved field emission properties of carbon nanotubes grown on stainless steel substrate and its application in ionization gauge

    Energy Technology Data Exchange (ETDEWEB)

    Li, Detian; Cheng, Yongjun [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Wang, Yongjun, E-mail: wyjlxlz@163.com [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Zhang, Huzhong [Science and Technology on Vacuum Technology and Physics Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Dong, Changkun [Institute of Micro-Nano Structures and Optoelectronics, Wenzhou University, Wenzhou 325035 (China); Li, Da [Division of Advanced Nanomaterials, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2016-03-01

    Graphical abstract: - Highlights: • The high quality CNT arrays were successfully grown on conductive stainless steel substrates. • The CNT array grown on stainless steel substrate exhibited superior field emission properties. • A high vacuum level about 10–8 Pa was measured by resultant CNT-based ionization gauge. • The ionization gauge with CNT cathode demonstrated a high stability. - Abstract: Vertically aligned carbon nanotube (CNT) arrays were fabricated by chemical vapor deposition (CVD) technique on different substrates. Microstructures and field emission characteristics of the as-grown CNT arrays were investigated systematically, and its application in ionization gauge was also evaluated preliminarily. The results indicate that the as-grown CNT arrays are vertically well-aligned relating to the substrate surfaces, but the CNTs grown on stainless steel substrate are longer and more crystalline than the ones grown on silicon wafer substrate. The field emission behaviors of the as-grown CNT arrays are strongly dependent upon substrate properties. Namely, the CNT array grown on stainless steel substrate has better field emission properties, including lower turn on and threshold fields, better emission stability and repeatability, compared with the one grown on silicon wafer substrate. The superior field emission properties of the CNT array grown on stainless steel substrate are mainly attributed to low contact resistance, high thermal conductivity, good adhesion strength, etc. In addition, the metrological behaviors of ionization gauge with the CNT array grown on stainless steel substrate as an electron source were investigated, and this novel cathode ionization gauge extends the lower limit of linear pressure measurement to 10{sup −8} Pa, which is one order of magnitude lower than the result reported for the same of gauge with CNT cathode.

  9. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    Science.gov (United States)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  10. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  11. GaAsBi/GaAs multi-quantum well LED grown by molecular beam epitaxy using a two-substrate-temperature technique

    Science.gov (United States)

    Kisan Patil, Pallavi; Luna, Esperanza; Matsuda, Teruyoshi; Yamada, Kohki; Kamiya, Keisuke; Ishikawa, Fumitaro; Shimomura, Satoshi

    2017-03-01

    We report a GaAs0.96Bi0.04/GaAs multiple quantum well (MQW) light emitting diode (LED) grown by molecular beam epitaxy using a two-substrate-temperature (TST) technique. In particular, the QWs and the barriers in the intrinsic region were grown at the different temperatures of {T}{{GaAsBi}} = 350 °C and {T}{{GaAs}} = 550 ^\\circ {{C}}, respectively. Investigations of the microstructure using transmission electron microscopy (TEM) reveal homogeneous MQWs free of extended defects. Furthermore, the local determination of the Bi distribution profile across the MQWs region using TEM techniques confirm the uniform Bi distribution, while revealing a slightly chemically graded GaAs-on-GaAsBi interface due to Bi surface segregation. Despite this small broadening, we found that Bi segregation is significantly reduced (up to 18% reduction) compared to previous reports on Bi segregation in GaAsBi/GaAs MQWs. Hence, the TST procedure proves as a very efficient method to reduce Bi segregation and thus increase the quality of the layers and interfaces. These improvements positively reflect in the optical properties. Room temperature photoluminescence and electroluminescence (EL) at 1.23 μm emission wavelength are successfully demonstrated using TST MQWs containing less Bi content than in previous reports. Finally, LED fabricated using the present TST technique show current-voltage (I-V) curves with a forward voltage of 3.3 V at an injection current of 130 mA under 1.0 kA cm-2 current excitation. These results not only demonstrate that TST technique provides optical device quality GaAsBi/GaAs MQWs but highlight the relevance of TST-based growth techniques on the fabrication of future heterostructure devices based on dilute bismides.

  12. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Hacquebard, L.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  13. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  14. Tailoring broadband light trapping of GaAs and Si substrates by self-organised nanopatterning

    Energy Technology Data Exchange (ETDEWEB)

    Martella, C.; Chiappe, D.; Mennucci, C.; Buatier de Mongeot, F. [Dipartimento di Fisica, Università di Genova, via Dodecaneso 33, I-16146 Genova (Italy)

    2014-05-21

    We report on the formation of high aspect ratio anisotropic nanopatterns on crystalline GaAs (100) and Si (100) substrates exploiting defocused Ion Beam Sputtering assisted by a sacrificial self-organised Au stencil mask. The tailored optical properties of the substrates are characterised in terms of total reflectivity and haze by means of integrating sphere measurements as a function of the morphological modification at increasing ion fluence. Refractive index grading from sub-wavelength surface features induces polarisation dependent anti-reflection behaviour in the visible-near infrared (VIS-NIR) range, while light scattering at off-specular angles from larger structures leads to very high values of the haze functions in reflection. The results, obtained for an important class of technologically relevant materials, are appealing in view of photovoltaic and photonic applications aiming at photon harvesting in ultrathin crystalline solar cells.

  15. Anomalous diffusion of Ga and As from semi-insulating GaAs substrate into MOCVD grown ZnO films as a function of annealing temperature and its effect on charge compensation

    Directory of Open Access Journals (Sweden)

    Pranab Biswas

    2014-05-01

    Full Text Available The diffusion behavior of arsenic (As and gallium (Ga atoms from semi-insulating GaAs (SI-GaAs into ZnO films upon post-growth annealing vis-à-vis the resulting charge compensation was investigated with the help of x-ray photoelectron spectroscopy (XPS and secondary ion mass spectroscopy. The films, annealed at 600 ºC and 700 ºC showed p-type conductivity with a hole concentration of 1.1 × 1018 cm−3 and 2.8 × 1019 cm−3 respectively, whereas those annealed at 800 ºC showed n-type conductivity with a carrier concentration of 6.5 × 1016 cm−3. It is observed that at lower temperatures, large fraction of As atoms diffused from the SI-GaAs substrates into ZnO and formed acceptor related complex, (AsZn–2VZn, by substituting Zn atoms (AsZn and thereby creating two zinc vacancies (VZn. Thus as-grown ZnO which was supposed to be n-type due to nonstoichiometric nature showed p-type behavior. On further increasing the annealing temperature to 800 ºC, Ga atoms diffused more than As atoms and substitute Zn atoms thereby forming shallow donor complex, GaZn. Electrons from donor levels then compensate the p-type carriers and the material reverts back to n-type. Thus the conversion of carrier type took place due to charge compensation between the donors and acceptors in ZnO and this compensation is the possible origin of anomalous conduction in wide band gap materials.

  16. GaMnAs on patterned GaAs(001) substrates: Growth and magnetotransport

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Hummel, Thomas; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2007-07-01

    A new type of GaMnAs microstructures with laterally confined electronic and magnetic properties has been realized in a bottom-up procedure by growing GaMnAs films on [1 anti 10]-oriented ridge structures with (113)A sidewalls and (001) top layers prepared on GaAs(001) substrates. Previous studies on planar GaMnAs samples have revealed different incorporation of Mn and excess As in (001) and (113)A layers. Accordingly, temperature- and field-dependent magnetotransport measurements on the overgrown ridge structures clearly demonstrate the coexistence of electronic and magnetic properties specific for (001) and (113)A GaMnAs in one single sample. This introduces an additional degree of freedom in the development of new functional structures.

  17. A photoemission study of Mn grown on GaAs(100)

    International Nuclear Information System (INIS)

    James, D.; Riley, J.; Leckey, R.; Usher, B.; Sieber, N.; Seyller, Th.; Ley, L.

    2002-01-01

    Full text: Metal contacts on semiconductors have been an important area for device manufacture. The possibility of lattice matched growth of magnetic metals on semiconductors was once thought to be a unobtainable goal. More recently it has been found that transition metals can react with the semiconductor substrates, forming another lattice with a more comparable lattice constant, from which epitaxial growth can then proceed. Al grows epitaxially on GaN even with a lattice mismatch greater than 10%. In this instance, Al displaces Ga being driven by a larger heat of formation to produce an AlN buffer layer, on which Al can then grow. This paper investigates the room temperature deposition of Mn onto GaAs(100) at room temperature. The Photoemission study was carried out at the UEL56/2 PGM2 beam line at BESSY II in Berlin, Germany. Synchrotron radiation was used to observe the surface as thin layers of Mn were deposited. The interaction of manganese with the substrate tends to donate electron density to neighbouring atoms, decreasing binding energy. No further segregation of substitutional or interstitial Mn and Ga can be seen from angle dependence data at this temperature, with metallic manganese eventually attenuating the bulk Ga signal to the point where it is indistinguishable from the background. It is concluded that there the metal reacts with the semiconductor surface with some indiffusion as confirmed using SIMS. Previously, the reaction was only thought to have taken place above room temperature. The resulting structure consists of a Ga-As-Mn buffer layer as with the higher temperature depositions

  18. Surface photovoltage and photoluminescence study of thick Ga(In)AsN layers grown by liquid-phase epitaxy

    International Nuclear Information System (INIS)

    Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G

    2016-01-01

    We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)

  19. Tunnel currents produced by defects in p-n junctions of GaAs grown on vapor phase

    International Nuclear Information System (INIS)

    Barrales Guadarrama, V R; Rodríguez Rodriguez, E M; Barrales Guadarrama, R; Reyes Ayala, N

    2017-01-01

    With the purpose of assessing if the epitaxy on vapor phase technique “Close Space Vapor Deposition (CSVT)” is capable of produce thin films with adequate properties in order to manufacture p-n junctions, a study of invert and direct current was developed, in a temperature range of 94K to 293K, to junctions p-n of GaAs grown through the technique CSVT. It is shown that the dominant current, within the range 10 -7 to 10 -2 A, is consistent with a currents model of the type of internal emission form field, which shows these currents are due to the presence of localized states in the band gap. (paper)

  20. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Paiano, P.; Lovergine, N.; Mancini, A.M. [Dipartimento di Ingegneria dell' Innovazione, Universita di Lecce, Via Arnesano, I-73100 Lecce (Italy); Prete, P. [Istituto per la Microelettronica e Microsistemi del CNR, Sezione di Lecce, Via Arnesano, I-73100 Lecce (Italy)

    2005-11-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me{sub 2}Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to {proportional_to}10{sup 6} cm{sup -2}) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga{sub 2}Te{sub 3} extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me{sub 2}Zn molar flow between 2 x 10{sup 15} cm{sup -3} and 5 x 10{sup 16} cm{sup -3}. Temperature-dependent Hall measurements performed on samples grown at MFR{<=}1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10{sup 16} cm{sup -3} range and slowly increasing with Me{sub 2}Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C{sub Te}-D{sub Zn}), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    International Nuclear Information System (INIS)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A; Molina-Valdovinos, S; Melendez-Lira, M; Lopez-Lopez, M

    2009-01-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm -1 and 291 cm -1 , respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm -1 and 250 cm -1 are evidenced. Additional new peaks located around 650 and 690 cm -1 are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm -1 and 695.2 cm -1 , in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn) m As n localized structures.

  2. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  3. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  4. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  5. Structural and physical properties of InAlAs quantum dots grown on GaAs

    Science.gov (United States)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  6. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  7. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  8. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    Energy Technology Data Exchange (ETDEWEB)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A [Laboratorio de Magnetismo y Materiales Avanzados, Universidad Nacional de Colombia, Sede Manizales, A.A. 127 (Colombia); Molina-Valdovinos, S; Melendez-Lira, M [Physics Department, Centro de Investigacion y Estudios Avanzados del IPN, Av. IPN No. 2508, Apartado Postal 14-740, 07000 Mexico D.F (Mexico); Lopez-Lopez, M, E-mail: aopulzaram@unal.edu.c [Centro de Fisica Aplicada y Tecnologia Avanzada, Universidad Nacional Autonoma de Mexico, Apartado Postal 1-1010, Queretaro 76000 (Mexico)

    2009-05-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm{sup -1} and 291 cm{sup -1}, respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm{sup -1} and 250 cm{sup -1} are evidenced. Additional new peaks located around 650 and 690 cm {sup -1} are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm{sup -1} and 695.2 cm{sup -1}, in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn){sub m}As{sub n} localized structures.

  9. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  10. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  11. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  12. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  13. Shear deformation and relaxed lattice constant of (Ga,Mn)As layers on GaAs(113)A

    Energy Technology Data Exchange (ETDEWEB)

    Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, D-89069 Ulm (Germany)

    2008-07-01

    The shear deformation and the relaxed lattice constant of compressively strained (Ga,Mn)As layers with Mn concentrations of up to 5%, pseudomorphically grown on GaAs(113)A and GaAs(001) substrates by low-temperature molecular-beam epitaxy, have been studied by high resolution X-ray diffraction (HRXRD) measurements. Rocking curves reveal a triclinic distortion of the (113)A layers with a shear direction towards the [001] crystallographic axis, whereas the (001) layers are tetragonally distorted along [001]. The relaxed lattice constants were derived from {omega}-2{theta} scans for the symmetric (113) and (004) Bragg reflections, taking the elastic anisotropy of the cubic system into account. The increase of the lattice constant with Mn content has been found to be smaller for the (113)A layers than for the (001) layers, presumably due to the enhanced amount of excess As in the (113)A layers.

  14. ZnO homoepitaxy on the O polar face of hydrothermal and melt-grown substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Technical Univ. of Troyes (France); CNRS, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Largeteau, A.; Demazeau, G. [ICMCB-CNRS, Bordeaux 1 University (Science and Technology), Pessac (France); Moisson, C.; Turover, D. [Novasic, Savoie Technolac, Arche Bat. 4, BP 267, Le Bourget du Lac (France); Nause, J. [Cermet Inc., Atlanta, GA (United States); Garry, G. [Thales Research, Domaine de Corbeville, Orsay (France); Kling, R.; Gruber, T. [Ulm University, Department of Semiconductor Physics, Ulm (Germany); Waag, A. [Braunschweig Technical University, Institute of Semiconductor Technology, Braunschweig (Germany); Jomard, F.; Galtier, P.; Lusson, A. [LPSC-CNRS, Meudon (France); Monteiro, T.; Soares, M.J.; Neves, A.; Carmo, M.C.; Peres, M. [University of Aveiro, Physics Department, Aveiro (Portugal); Lerondel, G.; Hubert, C. [Technical University of Troyes-CNRS (FRE2671), 12 rue Marie Curie, BP 2060, Troyes (France)

    2007-07-15

    2 cm diameter hydrothermal ZnO crystals were grown and then made into substrates using both mechanical and chemical-mechanical polishing (CMP). CMP polishing showed superior results with an (0002) {omega} scan full width half maximum (FWHM) of 67 arcsec and an root mean square (RMS) roughness of 2 Aa. In comparison, commercial melt-grown substrates exhibited broader X-ray diffraction (XRD) linewidths with evidence of sub-surface crystal damage due to polishing, including a downward shift of c-lattice parameter. Secondary ion mass spectroscopy revealed strong Li, Fe, Co, Al and Si contamination in the hydrothermal crystals as opposed to the melt-grown substrates, for which glow discharge mass spectroscopy studies had reported high levels of Pb, Fe, Cd and Si. Low temperature photoluminescence (PL) studies indicated that the hydrothermal crystal had high defect and/or impurity concentrations compared with the melt-grown substrate. The dominant bound exciton for the melt-grown substrate was indexed to Al. ZnO films were grown using pulsed laser deposition. The melt-grown substrates gave superior results with XRD (0002) {omega} and 2{theta}/{omega} WHM of 124 and 34 arcsec, respectively. Atomic force microscope measurements indicated a low RMS roughness (1.9 nm) as confirmed by fringes in the XRD 2{theta}/{omega} scan. It was suggested that the improvement in XRD response relative to the substrate might be due to ''healing'' of sub-surface polishing damage due to the elevated T{sub s} used for the growth. Indeed the c-lattice parameter for the homoepitaxial layer on the melt-grown substrate had become that which would be expected for strain-free ZnO. Furthermore, the stability of the PL peak positions relative to bulk ZnO, confirmed that the films appear practically strain free. (orig.)

  15. Electrical characterisation of Sn doped InAs grown by MOVPE

    International Nuclear Information System (INIS)

    Shamba, P.; Botha, L.; Krug, T.; Venter, A.; Botha, J.R.

    2008-01-01

    The feasibility of tetraethyl tin (TESn) as an n-type dopant for InAs is investigated. The electrical properties of Sn doped InAs films grown on semi-insulating GaAs substrates by MOVPE are extensively studied as a function of substrate temperature, V/III ratio, substrate orientation and TESn flow rate. Results from this study show that Sn concentrations can be controlled over 2 orders of magnitude. The Sn doped InAs layers exhibit carrier concentrations between 2.7 x 10 17 and 4.7 x 10 19 cm -3 with 77 K mobilities ranging from 12 000 to 1300 cm 2 /Vs. Furthermore, the influence of the variation of these parameters on the structural properties of InAs are also reported. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Characterization of Cellulolytic Bacterial Cultures Grown in Different Substrates

    Directory of Open Access Journals (Sweden)

    Mohamed Idris Alshelmani

    2013-01-01

    Full Text Available Nine aerobic cellulolytic bacterial cultures were obtained from the Leibniz Institute DSMZ-German Collection of Microorganisms and Cell Culture (DSMZ and the American Type Culture Collection (ATCC. The objectives of this study were to characterize the cellulolytic bacteria and to determine the optimum moisture ratio required for solid state fermentation (SSF of palm kernel cake (PKC. The bacteria cultures were grown on reconstituted nutrient broth, incubated at 30∘C and agitated at 200 rpm. Carboxymethyl cellulase, xylanase, and mannanase activities were determined using different substrates and after SSF of PKC. The SSF was conducted for 4 and 7 days with inoculum size of 10% (v/w on different PKC concentration-to-moisture ratios: 1 : 0.2, 1 : 0.3, 1 : 0.4, and 1 : 0.5. Results showed that Bacillus amyloliquefaciens 1067 DSMZ, Bacillus megaterium 9885 ATCC, Paenibacillus curdlanolyticus 10248 DSMZ, and Paenibacillus polymyxa 842 ATCC produced higher enzyme activities as compared to other bacterial cultures grown on different substrates. The cultures mentioned above also produced higher enzyme activities when they were incubated under SSF using PKC as a substrate in different PKC-to-moisture ratios after 4 days of incubation, indicating that these cellulolytic bacteria can be used to degrade and improve the nutrient quality of PKC.

  17. Annealing effects on electrical and optical properties of ZnO thin-film samples deposited by radio frequency-magnetron sputtering on GaAs (001) substrates

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Hu, G. X.; Gong, H.; Xiang, N.

    2007-01-01

    The effects of thermal annealing on Hall-effect measurement and photoluminescence (PL) from undoped n-type ZnO/GaAs thin-film samples have been studied. The evolutions of carrier concentration, electrical resistivity, and PL spectrum at various annealing conditions reveal that the dominant mechanism that affects the electrical and PL properties is dependent on the amount of thermal energy and the ambient pressure applied during the annealing process. At low annealing temperatures, annihilation of native defects is dominant in reducing the carrier concentration and weakening the low-energy tail of the main PL peak, while the GaAs substrate plays only a minor role in carrier compensations. For the higher temperatures, diffusion of Ga atoms from the GaAs substrate into ZnO film leads to a more n-type conduction of the sample. As a result, the PL exhibits a high-energy tail due to the high-level doping

  18. STM/STS Measurements of Two-Dimensional Electronic States in Magnetic Fields at Epitaxially Grown InAs(111)A Surfaces

    International Nuclear Information System (INIS)

    Niimi, Y; Kanisawa, K; Kojima, H; Kambara, H; Hirayama, Y; Tarucha, S; Fukuyama, Hiroshi

    2007-01-01

    The local density of states (LDOS) at the epitaxially grown InAs surface on a GaAs substrate was studied at very low temperatures in magnetic fields up to 6 T by scanning tunneling microscopy and spectroscopy. We observed a series of peaks, associated with Landau quantization of the two-dimensional electron system (2DES), in the tunnel spectra just above the subband energy (-80 meV) of the 2DES. The intervals between the peaks are consistent with the estimation from the effective mass of the 2DES at the InAs surface. In a wider energy range, another type of oscillation which was independent of magnetic field was also observed. This oscillation can be explained by the energy dependence of the transmission probability of the tunneling current through the Schottky barrier formed at the interface between the InAs film and GaAs substrate

  19. Superconducting proximity effect in MBE grown Nb-InAs junctions

    Science.gov (United States)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  20. Nano-dot and nano-pit fabrication on a GaAs substrate by a pulse applied AFM

    International Nuclear Information System (INIS)

    Kim, H C; Yu, J S; Ryu, S H

    2012-01-01

    The nano-patterning characteristics of GaAs is investigated using a pulse applied atomic force microscope (AFM). Very short range voltage pulses of micro to nano-seconds’ duration are applied to a conductive diamond-coated silicon (Si) tip in contact mode, to regulate the created feature size. The effects of pulse conditions such as pulse voltage, duration, frequency, offset voltage, anodization time, and applied tip pressure on nano-dot generation are characterized, based on the experiments. An interesting phenomenon, nano-pit creation instead of nano-dot creation, is observed when the applied pulse duration is less than 100 μs. Pulse frequency and offset voltage are also involved in nano-pit generation. The electrical spark discharge between the tip and the GaAs's surface is the most probable cause of the nano-pit creation and its generation mechanism is explained by considering the relevant pulse parameters. Nano-pits over 15 nm in depth are acquired on the GaAs substrate by adjusting the pulse conditions. This research facilitates the fabrication of more complex nano-structures on semiconductor materials since nano-dots and nano-pits could be easily made without any additional post-processes. (paper)

  1. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  2. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  3. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  4. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  5. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  6. Misfit dislocation reduction in InGaAs epilayers grown on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Dimitrakopulos, G.P.; Bazioti, C.; Grym, Jan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Pacherová, Oliva; Komninou, Ph.

    2014-01-01

    Roč. 306, Jul (2014), s. 89-93 ISSN 0169-4332 R&D Projects: GA MŠk 7AMB12GR034 Institutional support: RVO:68378271 ; RVO:67985882 Keywords : compound semiconductors * InGaAs * porous substrate * misfit dislocations * strain Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.711, year: 2014

  7. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  8. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  9. Multi-spectral optical absorption in substrate-free nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junpeng; Chia, Andrew; Boulanger, Jonathan; LaPierre, Ray, E-mail: lapierr@mcmaster.ca [Department of Engineering Physics, McMaster University, 1280 Main St. West, Hamilton, Ontario L8S 4L7 (Canada); Dhindsa, Navneet; Khodadad, Iman; Saini, Simarjeet [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Ave West, Waterloo, Ontario N2L 3G1 (Canada); Waterloo Institute of Nanotechnology, University of Waterloo, 200 University Ave West, Waterloo, Ontario N2L 3G1 (Canada)

    2014-09-22

    A method is presented of fabricating gallium arsenide (GaAs) nanowire arrays of controlled diameter and period by reactive ion etching of a GaAs substrate containing an indium gallium arsenide (InGaP) etch stop layer, allowing the precise nanowire length to be controlled. The substrate is subsequently removed by selective etching, using the same InGaP etch stop layer, to create a substrate-free GaAs nanowire array. The optical absorptance of the nanowire array was then directly measured without absorption from a substrate. We directly observe absorptance spectra that can be tuned by the nanowire diameter, as explained with rigorous coupled wave analysis. These results illustrate strong optical absorption suitable for nanowire-based solar cells and multi-spectral absorption for wavelength discriminating photodetectors. The solar-weighted absorptance above the bandgap of GaAs was 94% for a nanowire surface coverage of only 15%.

  10. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  11. Sample sufficiency of chinese pink grown in different substrates

    Directory of Open Access Journals (Sweden)

    Sidinei José lopes

    2016-04-01

    Full Text Available The cravina is an excellent plant to build up gardens due to its early flowering, abundant flowering and great performance in spring and autumn. The objective was to estimate the sample size for plant chinese pink, grown on different substrates, and check the variability of the sample size between growth parameters and production and substrates. They used seven treatments (substrates: S1 = 50% soil + 50% rice husk ash; S2 = 80% soil + 20% earthworm castings; S3 = 80% rice husk ash + 20% earthworm castings; S4 = 40% soil + 40% rice husk ash + 20% earthworm castings; S5 = 100% peat; S6 = 100% commercial substrate Mecplant®; S7 = 50% peat + 50% rice husk ash, with 56 repetitions each, totaling 392 plants of garden pink, which was evaluated in 17 of growth and production parameters. The methodology used to bootstrap resampling, with replacement, for each character within each substrate with predetermined error: 5, 10, 20 and 40% of the average (D%. To a 95% confidence interval, with D = 20%, the substrate 50% soil and 50% of rice husk ash had the largest sample size 11 characters; when comparing the characters , the number of flower buds had the highest sample size on average 113 plants. Using samples of 44 plant chinese pink for commercial substrate Mecplant® meet the lower precisions or equal to 20% for all variables. There is variation in sample size in relation to the substrate used and the variable evaluated in chinese pink plants.

  12. All-MOCVD-grown BH laser on P-InP substrates

    Science.gov (United States)

    Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao

    1993-07-01

    A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.

  13. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  14. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  15. High-efficiency, thin-film- and concentrator solar cells from GaAs. Final report; High-efficiency, Duennschicht- und Konzentrator-Solarzellen aus Galliumarsenid. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Wettling, W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Bett, A W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Pilkuhn, M [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Scholz, F [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Baldus, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blieske, U [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blug, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Duong, T [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Schetter, C [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Stollwerck, G [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Sulima, O [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Wegener, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Doernen, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Frankowsky, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Haase, D [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hahn, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hangleiter, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Stauss, P [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Tsai, C Y [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Zieger, K [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4

    1996-10-01

    Main topic of the project was the manufacturing of highly efficient GaAs-solar cells and the fabrication of concentrator cells. During this process significant progress was made with the material preparation, the solar cell technology and the material and process characterisation. This succeeded in the following efficiencies: - GaAs solar cell made by MOVPE technology: 22.9% on 4 cm{sup 2} (AM1.5g) - GaAs solar cell made by LPE-ER process: 22.8% on 4 cm{sup 2} (AM1.5g) - GaAs concentrator solar cell made by LPE-ER process: 24.9% at C=100xAM1.5d - GaAs concentrator module with fresnel lenses: Module efficiency 20.1% (under irradiation of 793 W/m{sup 2}). Another main focus was the epitaxy of GaAs on Si substrate. Two different approaches were investigated. Together with the cooperation partner ASE, Heilbronn a selective growth technology was developed that led to a decreased crack formation. By a simultanous optimization of the other epitaxy and process parameters, the efficiency was increased up to 16.6% AM0 on 1 cm{sup 2} solar cells. Furthermore a hybrid epitaxy was investigated. A GaAs layer was deposited onto a Si substrate using MOVPE. The solar cell structure was grown with a low temperature LPE. Unexpected difficulties appeared with this process, so that fundamental experiments needed to be done with the LPE technology. So far, no solar cells could be manufactured with this method. In addition, work was performed on GaInP solar cells on GaAs substrate. An efficiency of 15.7% (AM0) was acchieved. (orig.) [Deutsch] Gegenstand des Projekts war die Herstellung hocheffizienter GaAs-Solarzellen und die Fertigung von Konzentratorsolarzellen. Dazu wurden wesentliche Fortschritte bei der Materialpraeparation, der Solarzellentechnologie und der Material- and Prozesscharakterisierung erzielt. Diese Erfolge druecken sich in den erzielten Wirkungsgraden aus: - GaAs-Solarzelle hergestellt mit MOVPE-Technologie: 22.9% auf 4 cm{sup 2} (AM1.5g) - GaAs-Solarzelle hergestellt

  16. Evolution of the optical transitions in AlxGa1-xAs/GaAs quantum well structures grown on GaAs buffers with different surface treatments by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Mejia-Garcia, C.; Caballero-Rosas, A.; Lopez-Lopez, M.; Winter, A.; Pascher, H.; Lopez-Lopez, J.L.

    2010-01-01

    Al 0.3 Ga 0.7 As/GaAs Quantum Well structures were grown by molecular beam epitaxy (MBE) on a 500 nm thick GaAs buffer layer subjected to the following surface processes: a) in-situ Cl 2 etching at 70 o C and 200 o C, b) air-exposure for 30 min. The characteristics of these samples were compared to those of a continuously grown sample with no processing (control sample). We obtained the quantum wells energy transitions using photoreflectance spectroscopy as a function of the temperature (8-300 K), in the range of 1.2 to 2.1 eV. The sample etched at 200 o C shows a larger intensity of the quantum well peaks in comparison to the others samples. We studied the temperature dependence of the excitonic energies in the quantum wells (QWs) as well as in GaAs using three different models; the first one proposed by Varshni [4], the second one by Vina et al. [5], and the third one by Paessler and Oelgart [6]. The Paessler model presents the best fitting to the experimental data.

  17. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  18. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  20. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  1. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  2. Modeling osmotic salinity effects on yield characteristics of substrate-grown greenhouse crops

    NARCIS (Netherlands)

    Sonneveld, C.; Bos, van den A.L.; Voogt, W.

    2004-01-01

    In a series of experiments with different osmotic potentials in the root environment, various vegetables, and ornamentals were grown in a substrate system. The osmotic potential was varied by addition of nutrients. Yield characteristics of the crop were related to the osmotic potential of the

  3. Weak interaction between germanene and GaAs(0001) by H intercalation: A route to exfoliation

    KAUST Repository

    Kaloni, Thaneshwor P.

    2013-11-13

    Epitaxial germanene on a semiconducting GaAs(0001) substrate is studied by ab initio calculations. The germanene-substrate interaction is found to be strong for direct contact but can be substantially reduced by H intercalation at the interface. Our results indicate that it is energetically possible to take the germanene off the GaAs(0001) substrate. While mounted on the substrate, the electronic structure shows a distinct Dirac cone shift above the Fermi energy with a splitting of 175 meV. On the other hand, we find for a free standing sheet a band gap of 24 meV, which is due to the intrinsic spin orbit coupling.

  4. Weak interaction between germanene and GaAs(0001) by H intercalation: A route to exfoliation

    KAUST Repository

    Kaloni, Thaneshwor P.; Schwingenschlö gl, Udo

    2013-01-01

    Epitaxial germanene on a semiconducting GaAs(0001) substrate is studied by ab initio calculations. The germanene-substrate interaction is found to be strong for direct contact but can be substantially reduced by H intercalation at the interface. Our results indicate that it is energetically possible to take the germanene off the GaAs(0001) substrate. While mounted on the substrate, the electronic structure shows a distinct Dirac cone shift above the Fermi energy with a splitting of 175 meV. On the other hand, we find for a free standing sheet a band gap of 24 meV, which is due to the intrinsic spin orbit coupling.

  5. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  6. Observation of electron polarization above 80% in photoemission from strained III-V compounds

    International Nuclear Information System (INIS)

    Garwin, E.L.; Maruyama, T.; Prepost, R.; Zapalac, G.H.

    1992-02-01

    Spin-polarized electron photoemission has been investigated for strained III--V compounds; (1) strained In x Ga 1-x As epitaxially grown on a GaAs substrate, and (2) strained GaAs grown on a GaAs 1-x P x buffer layer. The lattice mismatched heterostructure results in a highly strained epitaxial layer, and electron spin polarization as high as 90% has been observed

  7. Investigation on nonlinear optical properties of MoS2 nanoflake, grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, S.; Mortazavi, S. Z.; Reyhani, A.

    2018-03-01

    In this study, MoS2 was directly synthesized by one-step thermal chemical vapour deposition (TCVD), on different substrates including Si/SiO2 and quartz, using MoO3 and sulfide powders as precursor. The XRD patterns demonstrate the high crystallinity of MoS2 on Si/SiO2 and quartz substrates. SEM confirmed the formation of MoS2 grown on both substrates. According to line width and frequency difference between the E1 2g and A1g in Raman spectroscopy, it is inferred that the MoS2 grown on Si/SiO2 substrate is monolayer and the MoS2 grown on quartz substrate is multilayer. Moreover, by assessment of MoS2 nanoflake band gap via UV-visible analysis, it verified the formation of few layer structures. In addition, the open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the synthesized MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as light source. The monolayer MoS2 synthesized on Si/SiO2, display considerable two-photon absorption. However, the multilayer MoS2 synthesized on quartz displayed saturable absorption (SA). It is noticeable that both samples demonstrate obvious self-defocusing behaviour.

  8. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  9. Some Aspects of the RHEED Behavior of Low-Temperature GaAs Growth

    International Nuclear Information System (INIS)

    Nemcsics, A.

    2005-01-01

    The reflection high-energy electron diffraction (RHEED) behavior manifested during MBE growth on a GaAs(001) surface under low-temperature (LT) growth conditions is examined in this study. RHEED and its intensity oscillations during LT GaAs growth exhibit some particular behavior. The intensity, phase, and decay of the oscillations depend on the beam equivalent pressure (BEP) ratio and substrate temperature, etc. Here, the intensity dependence of RHEED behavior on the BEP ratio, substrate temperature, and excess of As content in the layer are examined. The change in the decay constant of the RHEED oscillations is also discussed

  10. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  11. TEM study of the indentation behaviour of thin Au film on GaAs

    International Nuclear Information System (INIS)

    Patriarche, G.; Le Bourhis, E.; Faurie, D.; Renault, P.O.

    2004-01-01

    Au films of 8.9 nm thickness have been sputter deposited onto a (001) GaAs substrate at room temperature. An average grain size of 10 nm and no texture were obtained. Subsequent, nanoindentation tests were performed on the coated specimens and the mechanical response was compared to that of a bulk GaAs sample with the same crystallographic orientation. Furthermore, the loading-unloading curves were analysed in view of transmission electron microscopy plan-view images obtained on the deformed substrate-film specimens and compared to results previously reported in the literature for bulk sample. Constrained plasticity of the films was observed to occur for residual depth to thickness ratio below 0.67. Further, plastic deformation of the substrate happened on coated specimens at loads less than those required to plastically deform bare substrate

  12. Substrate structures for InP-based devices

    International Nuclear Information System (INIS)

    Wanlass, M.W.; Sheldon, P.

    1990-01-01

    A substrate structure for an InP-based semiconductor device having an InP based film is described. The substrate structure includes a substrate region having a lightweight bulk substrate and an upper GaAs layer. An interconnecting region is disposed between the substrate region and the InP-based device. The interconnecting region includes a compositionally graded intermediate layer substantially lattice-matched at the opposite end to the InP=based film. The interconnecting region further includes a dislocation mechanism disposed between the GaAs layer and the InP-based film in cooperation with the graded intermediate layer, the buffer mechanism blocking and inhibiting propagation of threading dislocations between the substrate region, and the InP-based device

  13. In situ electron backscattered diffraction of individual GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Prikhodko, S.V. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)], E-mail: sergey@seas.ucla.edu; Sitzman, S. [Oxford Instruments America, Concord, MA 01742 (United States); Gambin, V. [Northrop Grumman Space Technology, Redondo Beach, CA 90278 (United States); Kodambaka, S. [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2008-12-15

    We suggest and demonstrate that electron backscattered diffraction, a scanning electron microscope-based technique, can be used for non-destructive structural and morphological characterization of statistically significant number of nanowires in situ on their growth substrate. We obtain morphological, crystal phase, and crystal orientation information of individual GaAs nanowires in situ on the growth substrate GaAs(1 1 1) B. Our results, verified using transmission electron microscopy and selected area electron diffraction analyses of the same set of wires, indicate that most wires possess a wurtzite structure with a high density of thin structural defects aligned normal to the wire growth axis, while others grow defect-free with a zincblende structure. The demonstrated approach is general, applicable to other material systems, and is expected to provide important insights into the role of substrate structure on nanowire structure on nanowire crystallinity and growth orientation.

  14. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    Energy Technology Data Exchange (ETDEWEB)

    Boardman, D

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of {approx}1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/{mu}Gy mm{sup 2}, for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  15. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    International Nuclear Information System (INIS)

    Boardman, D.

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of ∼1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/μGy mm 2 , for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  16. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  17. Influence of substrate on the performances of semi-insulating GaAs detectors

    CERN Document Server

    Baldini, R; Nava, F; Canali, C; Lanzieri, C

    2000-01-01

    A study of the carrier transport mechanism, the charge collection efficiency and the energy resolution has been carried out on semi-insulating GaAs X-ray detectors realised on substrates with concentrations of acceptor dopants N sub a , varying from 10 sup 1 sup 4 to 10 sup 1 sup 7 cm sup - sup 3. The electron collection efficiency (ECE) and the reverse current were found to decrease with increasing N sub a , while the resistivity of the material was found to increase. At room temperature, the best collection efficiency (95%) and the best energy resolution (13.7 keV FWHM) for 59.5 keV X-rays of the sup 2 sup 4 sup 1 Am source, have been achieved with the less doped detectors (N sub a approx 10 sup 1 sup 4 cm sup - sup 3). The concentrations of ionised EL2 sup + , determined by optical measurements in IR regions, was shown to increase with N sub a and to be quasi-inversely proportional to the ECE values. This behaviour strongly supports the hypothesis that the EL2 defects play a main role in the compensation o...

  18. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  19. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  20. The effects of Fe-chelate type and PH on substrate grown roses

    NARCIS (Netherlands)

    Voogt, W.; Sonneveld, C.

    2009-01-01

    Substrate grown roses appear to be susceptible to chlorosis, which indicates problems with Fe or Mn uptake and hence yield reduction. In common practice this problem is often treated by the addition of extra Fe-chelate, or the use of Fe-EDDHA instead of Fe-DTPA. In previous tests, it was shown that

  1. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  2. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  3. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  4. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  5. Interactions between nitrate and chloride in nutrient solutions for substrate grown tomato

    NARCIS (Netherlands)

    Voogt, W.; Sonneveld, C.

    2004-01-01

    In two successive experiments tomato was grown at different Cl and NO3 concentrations in the root environment with rockwool as a sub-strate. The EC value in the nutrient solution was fairly constant, varying between 3.5 and 4.0 dS m-1 in all treatments. The NO3 concentrations in the treatments

  6. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  7. Complex laterally ordered InGaAs and InAs quantum dots by guided self-organized anisotropic strain engineering on artificially patterned GaAs (3 1 1)B substrates

    NARCIS (Netherlands)

    Selçuk, E.; Hamhuis, G.J.; Nötzel, R.

    2009-01-01

    Self-organized anisotropic strain engineering is combined with growth on artificially patterned GaAs (3 1 1)B substrates to realize complex lateral ordering of InGaAs and InAs quantum dots (QDs) guided by steps and facets generated along the pattern sidewalls. Depending on the pattern design, size,

  8. Layer-controlled large area MoS{sub 2} layers grown on mica substrate for surface-enhanced Raman scattering

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.Y.; Yang, C. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Jiang, S.Z. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); State Key Lab of Crystal Materials Shandong University, Jinan 250100 (China); Man, B.Y., E-mail: byman@sdnu.edu.cn [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Liu, M.; Chen, C.S.; Zhang, C.; Sun, Z.C.; Qiu, H.W. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China); Li, H.S. [Department of Radiation Oncology, Key Laboratory of Radiation Oncology of Shandong Province, Shandong Cancer Hospital and Institute, Jinan 250117 (China); Feng, D.J. [College of Information Science and Engineering, Shandong University, Jinan 250100 (China); Zhang, J.X. [College of Physics and Electronics, Shandong Normal University, Jinan 250014 (China)

    2015-12-01

    Highlights: • Layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate at relatively low temperature. • The as-grown MoS{sub 2}/mica substrate was demonstrated to be suitable as a substrate for enhancing Raman signals without any modification and we even collected Raman signals of R6G as low as 10{sup −7} M. • Using the Raman peak of R6G at 1361 cm{sup −1} as a signature, Raman intensity showed an approximately linear increase with the increasing of the logarithm of R6G concentrations. - Abstract: Molybdenum disulfide has recently raised more and more interest due to its layer-related properties and potential applications in optoelectronics and electronics. Here, layer-controlled large-area and continuous MoS{sub 2} atomic layers were obtained on mica substrate by thermally decomposing ammonium thiomolybdate. The obtained MoS{sub 2} film is three layers uniformly. Because of the small lattice mismatch between MoS{sub 2} and mica, the epitaxial MoS{sub 2} film is well grown on the substrate. The as-grown MoS{sub 2}/mica substrate is demonstrated to be suitable as a substrate for enhancing Raman signals of adsorbed molecules without any modification, which even can compare with graphene and will expand the application of MoS{sub 2} to microanalysis.

  9. Biomass distribution efficiency of rose cv. Charlotte grown in soil and substrates at second production peak

    Directory of Open Access Journals (Sweden)

    María Y González G

    2013-12-01

    Full Text Available Growing plants in substrates is an alternative for the production of roses under unfavorable soil conditions. The objective of this study was to determine the biomass distribution efficiency of rose cv. Charlotte grown in soil and substrates under greenhouse conditions until second production peak. In this trial, soil and substrates with 100% burned rice husk (100BR H; 65% burned rice husk: 35% coconut fiber (65BR H; 35% burned rice husk: 65% coconut fiber (35BR H; and 100% coconut fiber (100CF were used. The experimental design consisted of a randomized complete block design with three repetitions. Destructive sampling was carried out using whole plants and flowering stems at previously determined bud stages. Leaf area and dry matter in organs were measured and growth rate and physiological indexes were calculated. The assessed variables were fitted to logistic and exponential models. The plants grown in substrates with BR H (burned rice husk showed similar values regarding dry matter and fresh weight accumulation in organs. Plants in the soil treatment were the last ones to reach the different development stages of the flowering buds, while those that were grown in 100CF were the first ones. The treatments 35BR H and 100CF showed less growth of flowering stems, which was expressed in terms of relative dry matter increase per day. The plants grown in soil showed more dry matter in leaves and stems but less in flower buds. The 65BR H treatment showed some of the highest dry matter accumulations in leaves, stems and flower buds and also showed the highest leaf area ratio, leaf weight ratio, and specific leaf area values

  10. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. ZnO nanostructures directly grown on paper and bacterial cellulose substrates without any surface modification layer.

    Science.gov (United States)

    Costa, Saionara V; Gonçalves, Agnaldo S; Zaguete, Maria A; Mazon, Talita; Nogueira, Ana F

    2013-09-21

    In this report, hierarchical ZnO nano- and microstructures were directly grown for the first time on a bacterial cellulose substrate and on two additional different papers by hydrothermal synthesis without any surface modification layer. Compactness and smoothness of the substrates are two important parameters that allow the growth of oriented structures.

  12. Fast X-ray detection systems based on GaAs diodes grown by LPE

    International Nuclear Information System (INIS)

    Rente, C.; Lauter, J.; Apetz, R.; Lueth, H.

    1996-01-01

    We report on the fabrication and characterization of GaAs based X-ray detectors. The detector structures are grown by liquid phase epitaxy (LPE) and show typical background doping in the order of 10 14 cm -3 (n-type) so that active regions up to 43 μm could be realized. Schottky diodes were processed with active areas up to 1mm 2 . Typical dark current densities are as low as 360pA/mm 2 at 100V. The energy resolution of the detector in combination with a charge sensitive preamplifier was determined to be 1.6keV (FWHM) for x-rays with an energy between 6 and 60keV. The time response of the devices coupled to a fast transimpedance amplifier with a bandwidth of 100MHz was investigated. Single photon detection at room temperature was achieved for X-rays having energies of 14 keV and higher. The measured time resolutions were 600ps (FWHM=1.4ns) and 430ps (FWHM=1.0ns) for X-ray photons of 14.4keV and 21.5keV, respectively. The efficiency of the detector having a 43μm thick depleted layer was determined to be 70% at 14.4 keV and 40% at 21.5keV. These detectors open a new field of X-ray spectroscopy especially for high rate applications and timing measurements at synchrotron radiation facilities

  13. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  14. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  15. Growth, Plastochron, and the Final Number of Nodes of China Pink Seedlings Grown on Different Substrates

    Directory of Open Access Journals (Sweden)

    Marília Milani

    Full Text Available ABSTRACT The objective of this work was to plot the growth curves and determine the plastochron and the final number of nodes of China pink seedlings grown on different substrates. Thus, 392 China pink seedlings were grown on seven substrates under greenhouse conditions, in Santa Maria in the state of Rio Grande do Sul, Brazil. The growth curves were plotted using the logistic model. The plastochron was estimated by the inverse of the angular coefficient of the simple linear regression between the number of accumulated nodes and accumulated thermal sum from the subsampling of the seedlings. In all substrates, the logistic model fit better for the variable number of leaves than for the plant height. The plants in substrates with 50% of soil plus 50% of rice husk ash, and 80% of rice husk ash plus 20% earthworm humus had the longest cycles with 74 and 65 days, respectively. They completed the cycles with a thermal sum of 1317.9 ºC day for number of leaves and plant height. The growth curves that were plotted by the logistic model and the plastochron of the China pink seedlings are dependent on the type of substrate used. The commercial substrate Mecplant® had the best results. The average final number of nodes of the main stem of the plants was 14 for all substrates.

  16. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  17. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  18. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  19. n- and p-type transport in (110) GaAs substrates, single- and double-cleave structures

    Energy Technology Data Exchange (ETDEWEB)

    Roth, S.F.

    2007-06-06

    In this work low-dimensional systems based on GaAs/AlGaAs are investigated with either holes (p-type) in two-dimensional (2D) systems or electrons (n-type) in one-dimensional (1D) systems as charge carriers. Two-dimensional hole systems (2DHS) are grown with molecular beam epitaxy both on (110) wafers and (1 anti 10) facets with the cleaved-edge overgrowth (CEO) method. We use Si as an acceptor by modulating the growth conditions to fabricate the 2DHS in single-interface heterojunction quantum wells. The mobility of the structures reaches up to 7.0 x 10{sup 5} cm{sup 2}/Vs along the [1 anti 10]-direction and 4.1 x 10{sup 5} cm{sup 2}/Vs along the [001]-direction at a hole density of 1.2 x 10{sup 11} cm{sup -2}. Effective values for anisotropic effective hole masses and scattering times are obtained. Inversion asymmetry induced spin splitting results in different spin densities, which yield beatings of the Shubnikov-de Haas oscillations at low temperatures. In a perpendicular magnetic field the 2DHS is quantized into Landau levels, which depend nonlinearly on B due to a strong mixing of light- and heavy-holes. When the Landau levels anticross on the (110) facet, additional peaks appear within minima of the quantum Hall effect. Thermal activation measurements demonstrate a B-dependent energy gap consistent with such an anticrossing. In the second part of the thesis an electron quantum wire is fabricated with twofold cleaved-edge overgrowth. A variation of the conduction band energy in the substrate layers can directly transfer a potential modulation to the adjacent quantum wire. The concept of a transfer potential applied to a narrow two-dimensional system is demonstrated as a first step. Finally, in narrow quantum well samples a simple vertical quantum wire is successfully demonstrated and contacted at each end with n{sup +}-GaAs layers via two-dimensional (2D) leads. We characterize the 2D lead density and mobility for both cleave facets with four

  20. Individual GaAs nanorods imaged by coherent X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Pietsch, Ullrich; Biermanns, Andreas; Davydok, Anton [Universitaet Siegen (Germany); Paetzelt, Hendrik [Universitaet Leipzig (Germany); IOM Leipzig (Germany); Diaz, Ana; Metzger, Hartmut [ID01 Beamline, ESRF (France); Gottschalch, Volker [Universitaet Leipzig (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices because the nanorod approach can be used to form heterostructures of materials with a large lattice mismatch and to define nanorod arrays with tailored inter-rod distance. However, all applications require objects with uniform physical properties based on uniform morphology. Complementary to electron microscopy techniques, destruction free X-ray diffraction techniques can be used to determine structural and morphological details. Using scanning X-ray diffraction microscopy with a spot size of 220 x 600 nm{sup 2} we were able to inspect individual GaAs nanorods grown by seed-free MOVPE through circular openings in a SiN{sub x} mask in a periodic array with 3 {mu}m spacing on GaAs[111]B. The focussed X-ray beam allows the determination of the strain state of individual rods and in combination with coherent diffraction imaging, we were able to characterize also morphological details. Rods grown at different positions in the array show significant differences in shape, size and strain state.

  1. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  2. Weed Growth and Efficacy of Pre-Applied Herbicides in Alternative Rooting Substrates Used in Container-Grown Nursery Crops

    Science.gov (United States)

    Container-grown nursery crops in the Southeastern United States are typically grown in a rooting substrate comprised primarily of the ground bark of pine trees. However pine bark is becoming less available and more costly due to changes in production and marketed practices within Southeastern pine f...

  3. Influence of implantation conditions of He+ ions on the structure of a damaged layer in GaAs(001)

    International Nuclear Information System (INIS)

    Shcherbachev, Kirill; Bailey, Melanie J.

    2011-01-01

    An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He + ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  5. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  7. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  8. Recent progress in MBE grown HgCdTe materials and devices at UWA

    Science.gov (United States)

    Gu, R.; Lei, W.; Antoszewski, J.; Madni, I.; Umana-Menbreno, G.; Faraone, L.

    2016-05-01

    HgCdTe has dominated the high performance end of the IR detector market for decades. At present, the fabrication costs of HgCdTe based advanced infrared devices is relatively high, due to the low yield associated with lattice matched CdZnTe substrates and a complicated cooling system. One approach to ease this problem is to use a cost effective alternative substrate, such as Si or GaAs. Recently, GaSb has emerged as a new alternative with better lattice matching. In addition, implementation of MBE-grown unipolar n-type/barrier/n-type detector structures in the HgCdTe material system has been recently proposed and studied intensively to enhance the detector operating temperature. The unipolar nBn photodetector structure can be used to substantially reduce dark current and noise without impeding photocurrent flow. In this paper, recent progress in MBE growth of HgCdTe infrared material at the University of Western Australia (UWA) is reported, including MBE growth of HgCdTe on GaSb alternative substrates and growth of HgCdTe nBn structures.

  9. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  10. Growth and flowering of Helleborus argutifolius (Viviani grown in pots depending on substrate type

    Directory of Open Access Journals (Sweden)

    Monika Henschke

    2014-09-01

    Full Text Available An experiment was conducted on the effect of substrate type on growth of Corsican hellebore (Helleborus argutifolius Viviani. Plants were grown for two years in pots with substrates whose components included Klasmann highmoor peat and Hartmann highmoor peat, mineral soil, expanded clay and perlite at various volumetric ratios. Vegetative growth and flowering were observed in hellebores. It was shown that substrates exhibited a varied effect on plant growth. Corsican hellebore in a substrate with a considerable addition of mineral soil was lower, but more branched, and it did not form inflorescences. An optimal medium for growing H. argutifolius in pots was Hartmann’s de-acidified peat + mineral soil (1:1 v:v. In this medium vegetative growth of plants was extensive, flowering was early and abundant, and long peduncles were produced.

  11. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  12. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  13. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  14. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  15. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  16. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  17. The Crystal structure of InAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3,57072, Siegen (Germany); Breuer, Steffen; Dimakis, Manos; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-07-01

    Nanowires are of particular interest due to the ability to synthesize heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NWs onto another AIIIBV or group IV [111] substrate independent from lattice mismatch. We presented an X-ray characterization of InAs NRs on Si [111] grown by assist free MBE method. Lattice mismatch of this materials is 11%. For study of strain realizing we concentrated our research on initial stages of growth process investigating samples set with different growth time. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and grazing-incidence diffraction. Combining the results we were able to characterize the transition between silicon silicon substrate and InAs NWs. We find in-plane lattice mismatch of -0.18% close to the interface compared to InAs bulk material. With help of micro-focus setup we are able measure structural parameters of single NWs to determine the strain accomodation as function of NW size. In particular using asymmetric wurzite-sensitive reflections under coherent beam illumination we could quantify the number of stacking faults. In the talk we present details of the analysis and first simulation results.

  18. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  19. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  20. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  1. Determination of deep-level impurities and their effects on the small-single and LF noise properties of ion-implanted GaAs MESFETs

    International Nuclear Information System (INIS)

    Sriram, S.; Kim, B.; Ghosh, P.K.; Das, M.B.; Pennsylvania State Univ., University Park; Pennsylvania State Univ., University Park

    1982-01-01

    A large number of deep levels, with energies ranging from Esub(c)-0.19eV to Esub(c)-0.9eV, have been identified and characterized using ion-implanted MESFET's on undoped and Cr-doped LEC-grown semi-insulating GaAs substrates. Measurement techniques used include deep level transient (DLTS) and steady state spectroscopic (DLSS) methods. Large capture cross-section values are obtained for levels below Esub(c)-0.5eV, possibly due to high electric field. Spectral densities of LF noise with distinct bulges have been shown to be related to deep levels. In some samples, natural deep level related oscillations have been observed and their ionization energies have been determined. (author)

  2. Secretomic survey of Trichoderma harzianum grown on plant biomass substrates.

    Science.gov (United States)

    Gómez-Mendoza, Diana Paola; Junqueira, Magno; do Vale, Luis Henrique Ferreira; Domont, Gilberto Barbosa; Ferreira Filho, Edivaldo Ximenes; Sousa, Marcelo Valle de; Ricart, Carlos André Ornelas

    2014-04-04

    The present work aims at characterizing T. harzianum secretome when the fungus is grown in synthetic medium supplemented with one of the four substrates: glucose, cellulose, xylan, and sugarcane bagasse (SB). The characterization was done by enzymatic assays and proteomic analysis using 2-DE/MALDI-TOF and gel-free shotgun LC-MS/MS. The results showed that SB induced the highest cellulolytic and xylanolytic activities when compared with the other substrates, while remarkable differences in terms of number and distribution of protein spots in 2-DE gels were also observed among the samples. Additionally, treatment of the secretomes with PNGase F revealed that most spot trails in 2-DE gels corresponded to N-glycosylated proteoforms. The LC-MS/MS analysis of the samples identified 626 different protein groups, including carbohydrate-active enzymes and accessory, noncatalytic, and cell-wall-associated proteins. Although the SB-induced secretome displayed the highest cellulolytic and xylanolytic activities, it did not correspond to a higher proteome complexity because CM-cellulose-induced secretome was significantly more diverse. Among the identified proteins, 73% were exclusive to one condition, while only 5% were present in all samples. Therefore, this study disclosed the variation of T. harzianum secretome in response to different substrates and revealed the diversity of the fungus enzymatic toolbox.

  3. Enhanced quantum efficiency for CsI grown on a graphite-based substrate coating

    CERN Document Server

    Friese, J; Homolka, J; Kastenmüller, A; Maier-Komor, P; Peter, M; Zeitelhack, K; Kienle, P; Körner, H J

    1999-01-01

    Quantum efficiencies (QE) in the vacuum ultraviolet (VUV) wavelength region have been measured for solid CsI layers on various substrates. The CsI films were deposited applying electron beam evaporation. The QE measurements were performed utilizing synchrotron radiation as well as light from a deuterium lamp. A GaAsP diode with a sensitivity calibration traceable to a primary radiation standard was used for normalization. For CsI layers grown on resin-stabilized graphite films a significant enhancement of QE was observed. Substrates suitable for gas detector applications and aging properties were investigated. The procedures to prepare and reproduce high quantum efficient CsI layers are described.

  4. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  5. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  6. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  8. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  9. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  10. Magnetic domains in epitaxial (100) Fe thin films

    International Nuclear Information System (INIS)

    Florczak, J.M.; Dahlberg, E.D.; Ryan, P.J.; White, R.M.; Kuznia, J.N.; Wowchak, A.M.; Cohen, P.I.

    1989-01-01

    This paper discusses the investigation of the domain patterns of thin Fe films (10 nm) grown on In x Ga 1 - x As (0.09< x<0.25)/GaAs substrates by use of Kerr microscopy. For this investigation, two types of InGaAs buffer layers were prepared. One consisted of a single, thick InGaAs layer and the second composed of an InGaAs strained layer superlattice. Both were grown on (100) GaAs substrates. The study showed that many of the domain walls were approximately parallel to the easy axis of Fe for those films grown on the low x alloy, e.g. x = 0.1, InGaAs buffer layers

  11. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  12. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  13. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Science.gov (United States)

    Labis, Joselito P.; Alanazi, Anwar Q.; Albrithen, Hamad A.; El-Toni, Ahmed Mohamed; Hezam, Mahmoud; Elafifi, Hussein Elsayed; Abaza, Osama M.

    2017-09-01

    The parameters of pulsed laser deposition (PLD) have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO). In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ˜300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL), while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002) preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  14. SrZnO nanostructures grown on templated Al2O3 substrates by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Joselito P. Labis

    2017-09-01

    Full Text Available The parameters of pulsed laser deposition (PLD have been optimized to design different nanostructures of Strontium-alloyed zinc oxide (SrZnO. In this work, SrZnO nanostructures are grown on Al2O3 substrates via two-step templating/seeding approach. In the temperature range between 300 - 750 oC and O2 background pressures between 0.01 and 10 Torr, the growth conditions have been tailored to grow unique pointed leaf-like- and pitted olive-like nanostructures. Prior to the growth of the nanostructures, a thin SrZnO layer that serves as seed layer/template is first deposited on the Al2O3 substrates at ∼300oC and background oxygen pressure of 10 mTorr. The optical properties of the nanostructures were examined by UV/Vis spectroscopy and photoluminescence (PL, while the structures/morphologies were examined by SEM, TEM, and XRD. The alloyed SrZnO nanostructures, grown by ablating ZnO targets with 5, 10, 25% SrO contents, have in common a single-crystal hexagonal nanostructure with (0002 preferential orientation and have shown remarkable changes in the morphological and optical properties of the materials. To date, this is the only reported work on optimization of laser ablation parameters to design novel SrZnO nanostructures in the 5-25% alloying range, as most related Sr-doped ZnO studies were done below 7% doping. Although the physical properties of ZnO are modified via Sr doping, the mechanism remains unclear. The PLD-grown SrZnO nanostructures were directly grown onto the Al2O3 substrates; thus making these nanomaterials very promising for potential applications in biosensors, love-wave filters, solar cells, and ultrasonic oscillators.

  15. New method for the determination of the defect profile in thin layers grown over a substrate

    International Nuclear Information System (INIS)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F.; Tuomisto, F.; Zuniga, J.; Munoz-Sanjose, V.

    2007-01-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. New method for the determination of the defect profile in thin layers grown over a substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zubiaga, A.; Garcia, J.A.; Plazaola, F. [Fisika Aplikatua II Saila, Euskal Herriko Unibertsitatea, Bilbao (Spain); Tuomisto, F. [Laboratory of Physics, Helsinki University of Technology, Espoo (Finland); Zuniga, J.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada i Electromagnetisme, Burjassot (Valencia) (Spain)

    2007-07-01

    We present a new method to obtain information about the defect profile of films grown over high quality substrates. The method is valid, at least, for films where the positron mean-diffusion length is small. We have used the method for the case of ZnO films grown over sapphire, but the method can be generalized very easily to other film/substrate systems. Applying the method to the ratio of W and S parameters obtained from Doppler broadening measurements, W/S plots, one can determine the thickness of the film and the defect profile trend in the film, when mainly one positron trap is contributing to positron trapping within the film. Indeed, the quality of such a characterization is very important for the potential technological applications of the film. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Morphological dependent Indium incorporation in InGaN/GaN multiple quantum wells structure grown on 4° misoriented sapphire substrate

    Directory of Open Access Journals (Sweden)

    Teng Jiang

    2016-03-01

    Full Text Available The epitaxial layers of InGaN/GaN MQWs structure were grown on both planar and vicinal sapphire substrates by metal organic chemical vapor deposition. By comparing the epitaxial layers grown on planar substrate, the sample grown on 4° misoriented from c-plane toward m-plane substrate exhibited many variations both on surface morphology and optical properties according to the scanning electronic microscopy and cathodoluminescence (CL spectroscopy results. Many huge steps were observed in the misoriented sample and a large amount of V-shape defects located around the boundary of the steps. Atoms force microscopy images show that the steps were inclined and deep grooves were formed at the boundary of the adjacent steps. Phase separation was observed in the CL spectra. CL mapping results also indicated that the deep grooves could effectively influence the localization of Indium atoms and form an In-rich region.

  18. Effects of substrate misorientation and growth rate on ordering in GaInP

    Science.gov (United States)

    Su, L. C.; Ho, I. H.; Stringfellow, G. B.

    1994-05-01

    Epitaxial layers of GaxIn1-xP with x≊0.52 have been grown by organometallic vapor-phase epitaxy on GaAs substrates misoriented from the (001) plane in the [1¯10] direction by angles ϑm, of 0°, 3°, 6°, and 9°. For each substrate orientation growth rates rg of 1, 2, and 4 μm/h have been used. The ordering was characterized using transmission electron diffraction (TED), dark-field imaging, and photoluminescence. The (110) cross-sectional images show domains of the Cu-Pt structure separated by antiphase boundaries (APBs). The domain size and shape and the degree of order are found to be strongly affected by both the substrate misorientation and the growth rate. For example, lateral domain dimensions range from 50 Å for layers grown with rg=4 μm/h and ϑm=0° to 2500 Å for rg=1 μm/h and ϑm=9°. The APBs generally propagate from the substrate/epilayer interface to the top surface at an angle to the (001) plane that increases dramatically as the angle of misorientation increases. The angle is nearly independent of growth rate. From the superspot intensities in the TED patterns, the degree of order appears to be a maximum for ϑm≊5°. Judging from the reduction in photoluminescence peak energy caused by ordering, the maximum degree of order appears to occur at ϑm≊4°.

  19. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  20. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  1. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  2. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  3. High quality InAsSb grown on InP substrates using AlSb/AlAsSb buffer layers

    International Nuclear Information System (INIS)

    Wu, B.-R.; Liao, C.; Cheng, K. Y.

    2008-01-01

    High quality InAsSb grown on semi-insulating InP substrates by molecular beam epitaxy was achieved using AlSb/AlAsSb structure as the buffer layer. A 1000 A InAsSb layer grown on top of 1 μm AlSb/AlAsSb buffer layer showed a room temperature electron mobility of ∼12 000 cm 2 /V s. High structural quality and low misfit defect density were also demonstrated in the InAsSb layer. This novel AlSb/AlAsSb buffer layer structure with the AlAsSb layer lattice matched to InP substrates could enhance the performance of optoelectronic devices utilizing 6.1 A family of compound semiconductor alloys

  4. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  5. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  6. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  7. On the optimization of asymmetric barrier layers in InAlGaAs/AlGaAs laser heterostructures on GaAs substrates

    International Nuclear Information System (INIS)

    Zhukov, A. E.; Asryan, L. V.; Semenova, E. S.; Zubov, F. I.; Kryzhanovskaya, N. V.; Maximov, M. V.

    2015-01-01

    Band offsets at the heterointerface are calculated for various combinations of InAlGaAs/AlGaAs heteropairs that can be synthesized on GaAs substrates in the layer-by-layer pseudomorphic growth mode. Patterns which make it possible to obtain an asymmetric barrier layer providing the almost obstruction-free transport of holes and the highest possible barrier height for electrons are found. The optimal compositions of both compounds (In 0.232 Al 0.594 Ga 0.174 As/Al 0.355 Ga 0.645 As) at which the flux of electrons across the barrier is at a minimum are determined with consideration for the critical thickness of the indium-containing quaternary solid solution

  8. InAs/GaAs quantum dots on GaAs-on-V-grooved-Si substrate with high optical quality in the 1.3 μm band

    International Nuclear Information System (INIS)

    Wan, Yating; Li, Qiang; Geng, Yu; Shi, Bei; Lau, Kei May

    2015-01-01

    We report self-assembled InAs/GaAs quantum dots (QDs) grown on a specially engineered GaAs-on-V-grooved-Si substrate by metal-organic vapor phase epitaxy. Recessed pockets formed on V-groove patterned Si (001) substrates were used to prevent most of the hetero-interfacial stacking faults from extending into the upper QD active region. 1.3 μm room temperature emission from high-density (5.6 × 10 10  cm −2 ) QDs has been obtained, with a narrow full-width-at-half-maximum of 29 meV. Optical quality of the QDs was found to be better than those grown on conventional planar offcut Si templates, as indicated by temperature-dependent photoluminescence analysis. Results suggest great potential to integrate QD lasers on a Si complementary-metal-oxide-semiconductor compatible platform using such GaAs on Si templates

  9. AlGaAs/InGaAs/AlGaAs double pulse doped pseudomorphic high electron mobility transistor structures on InGaAs substrates

    Science.gov (United States)

    Hoke, W. E.; Lyman, P. S.; Mosca, J. J.; McTaggart, R. A.; Lemonias, P. J.; Beaudoin, R. M.; Torabi, A.; Bonner, W. A.; Lent, B.; Chou, L.-J.; Hsieh, K. C.

    1997-10-01

    Double pulse doped AlGaAs/InGaAs/AlGaAs pseudomorphic high electron mobility transistor (PHEMT) structures have been grown on InxGa1-xAs (x=0.025-0.07) substrates using molecular beam epitaxy. A strain compensated, AlGaInAs/GaAs superlattice was used for improved resistivity and breakdown. Excellent electrical and optical properties were obtained for 110-Å-thick InGaAs channel layers with indium concentrations up to 31%. A room temperature mobility of 6860 cm2/V s with 77 K sheet density of 4.0×1012cm-2 was achieved. The InGaAs channel photoluminescence intensity was equivalent to an analogous structure on a GaAs substrate. To reduce strain PHEMT structures with a composite InGaP/AlGaAs Schottky layer were also grown. The structures also exhibited excellent electrical and optical properties. Transmission electron micrographs showed planar channel interfaces for highly strained In0.30Ga0.70As channel layers.

  10. Effects of growth duration on the structural and optical properties of ZnO nanorods grown on seed-layer ZnO/polyethylene terephthalate substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Y.I.; Shin, C.M.; Heo, J.H. [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, Busan 614-714 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Busan 617-736 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Institute of Advanced Materials Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2011-10-01

    Well-aligned single crystalline zinc oxide (ZnO) nanorods were successfully grown, by hydrothermal synthesis at a low temperature, on flexible polyethylene terephthalate (PET) substrates with a seed layer. Photoluminescence (PL), field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) measurements were used to analyze the optical and structural properties of ZnO nanorods grown for various durations from 0.5 h to 10 h. Regular and well-aligned ZnO nanorods with diameters ranging from 62 nm to 127 nm and lengths from 0.3 {mu}m to 1.65 {mu}m were formed after almost 5 h of growth. The growth rate of ZnO grown on PET substrates is lower than that grown on Si (1 0 0) substrates. Enlarged TEM images show that the tips of the ZnO nanorods grown for 6 h have a round shape, whereas the tips grown for 10 h are sharpened. The crystal properties of ZnO nanorods can be tuned by using the growth duration as a growth condition. The XRD and PL results indicate that the structural and optical properties of the ZnO nanorods are most improved after 5 h and 6 h of growth, respectively.

  11. Substrate optimization for integrated circuit antennas

    OpenAIRE

    Alexopoulos, N. G.; Katehi, P. B.; Rutledge, D. B.

    1982-01-01

    Imaging systems in microwaves, millimeter and submillimeter wave applications employ printed circuit antenna elements. The effect of substrate properties is analyzed in this paper by both reciprocity theorem as well as integral equation approach for infinitesimally short as well as finite length dipole and slot elements. Radiation efficiency and substrate surface wave guidance is studied for practical substrate materials as GaAs, Silicon, Quartz and Duroid.

  12. Anisotropic MoS2 Nanosheets Grown on Self-Organized Nanopatterned Substrates.

    Science.gov (United States)

    Martella, Christian; Mennucci, Carlo; Cinquanta, Eugenio; Lamperti, Alessio; Cappelluti, Emmanuele; Buatier de Mongeot, Francesco; Molle, Alessandro

    2017-05-01

    Manipulating the anisotropy in 2D nanosheets is a promising way to tune or trigger functional properties at the nanoscale. Here, a novel approach is presented to introduce a one-directional anisotropy in MoS 2 nanosheets via chemical vapor deposition (CVD) onto rippled patterns prepared on ion-sputtered SiO 2 /Si substrates. The optoelectronic properties of MoS 2 are dramatically affected by the rippled MoS 2 morphology both at the macro- and the nanoscale. In particular, strongly anisotropic phonon modes are observed depending on the polarization orientation with respect to the ripple axis. Moreover, the rippled morphology induces localization of strain and charge doping at the nanoscale, thus causing substantial redshifts of the phonon mode frequencies and a topography-dependent modulation of the MoS 2 workfunction, respectively. This study paves the way to a controllable tuning of the anisotropy via substrate pattern engineering in CVD-grown 2D nanosheets. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  14. GaInAsP-InP Double Heterostructure Lasers on Si Substrate Grown by LP-MOCVD

    National Research Council Canada - National Science Library

    Razeghi, M

    1993-01-01

    ... #N00014-93-1-0176 'GaInAsP-InP double heterostructure lasers on Si substrate grown by MOVCD'. In order to achieve this goal of the contract, the CQD research group split the divided research work into three phases (with specific tasks...

  15. Gallium arsenide single crystal solar cell structure and method of making

    Science.gov (United States)

    Stirn, Richard J. (Inventor)

    1983-01-01

    A production method and structure for a thin-film GaAs crystal for a solar cell on a single-crystal silicon substrate (10) comprising the steps of growing a single-crystal interlayer (12) of material having a closer match in lattice and thermal expansion with single-crystal GaAs than the single-crystal silicon of the substrate, and epitaxially growing a single-crystal film (14) on the interlayer. The material of the interlayer may be germanium or graded germanium-silicon alloy, with low germanium content at the silicon substrate interface, and high germanium content at the upper surface. The surface of the interface layer (12) is annealed for recrystallization by a pulsed beam of energy (laser or electron) prior to growing the interlayer. The solar cell structure may be grown as a single-crystal n.sup.+ /p shallow homojunction film or as a p/n or n/p junction film. A Ga(Al)AS heteroface film may be grown over the GaAs film.

  16. Enhanced photocatalytic properties of hierarchical three-dimensional TiO{sub 2} grown on femtosecond laser structured titanium substrate

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ting, E-mail: huangting@bjut.edu.cn; Lu, Jinlong; Xiao, Rongshi; Wu, Qiang; Yang, Wuxiong

    2017-05-01

    Highlights: • The hierarchical 3D-TiO{sub 2} is fabricated on femtosecond laser structured Ti substrate. • The formation mechanism of hierarchical 3D-TiO{sub 2} is proposed. • The structure-induced improvement of photocatalytic activity is reported. - Abstract: Three-dimensional micro-/nanostructured TiO{sub 2} (3D-TiO{sub 2}) fabricated on titanium substrate effectively improves its performance in photocatalysis, dye-sensitized solar cell and lithium-ion battery applications. In this study, the hierarchical 3D-TiO{sub 2} with anatase phase directly grown on femtosecond laser structured titanium substrate is reported. First, the primary columnar arrays were fabricated on the surface of titanium substrate by femtosecond laser structuring. Next, the secondary nano-sheet substructures were grown on the primary columnar arrays by NaOH hydrothermal treatment. Followed by ion-exchange process in HCl and annealing in the air, the hierarchical anatase 3D-TiO{sub 2} was achieved. The hierarchical anatase 3D-TiO{sub 2} exhibited enhanced performances in light harvesting and absorption ability compared to that of nano-sheet TiO{sub 2} grown on flat titanium surface without femtosecond laser structuring. The photocatalytic degradation of methyl orange reveals that photocatalytic efficiency of the hierarchical anatase 3D-TiO{sub 2} was improved by a maximum of 57% compared to that of nano-sheet TiO{sub 2} (55% vs 35%). Meanwhile, the hierarchical anatase 3D-TiO{sub 2} remained mechanically stable and constant in consecutive degradation cycles, which promises significance in practical application.

  17. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  18. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  19. Photoluminescence investigation of strictly ordered Ge dots grown on pit-patterned Si substrates

    International Nuclear Information System (INIS)

    Brehm, Moritz; Grydlik, Martyna; Tayagaki, Takeshi; Schmidt, Oliver G; Langer, Gregor; Schäffler, Friedrich

    2015-01-01

    We investigate the optical properties of ordered Ge quantum dots (QDs) by means of micro-photoluminescence spectroscopy (PL). These were grown on pit-patterned Si(001) substrates with a wide range of pit-periods and thus inter QD-distances (425–3400 nm). By exploiting almost arbitrary inter-QD distances achievable in this way we are able to choose the number of QDs that contribute to the PL emission in a range between 70 and less than three QDs. This well-defined system allows us to clarify, by PL-investigation, several points which are important for the understanding of the formation and optical properties of ordered QDs. We directly trace and quantify the amount of Ge transferred from the surrounding wetting layer (WL) to the QDs in the pits. Moreover, by exploiting different pit-shapes, we reveal the role of strain-induced activation energy barriers that have to be overcome for charge carriers generated outside the dots. These need to diffuse between the energy minimum of the WL in and between the pits, and the one in the QDs. In addition, we demonstrate that the WL in the pits is already severely intermixed with Si before upright QDs nucleate, which further enhances intermixing of ordered QDs as compared to QDs grown on planar substrates. Furthermore, we quantitatively determine the amount of Ge transferred by surface diffusion through the border region between planar and patterned substrate. This is important for the growth of ordered islands on patterned fields of finite size. We highlight that the Ge WL-facets in the pits act as PL emission centres, similar to upright QDs. (paper)

  20. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  1. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  2. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  3. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  4. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  5. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    Science.gov (United States)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  6. Miniaturized bandpass filter using a meandered stepped-impedance resonator with a meandered-line stub-load on a GaAs substrate.

    Science.gov (United States)

    Chuluunbaatar, Z; Wang, C; Kim, N Y

    2014-01-01

    This paper reports a compact bandpass filter with improved skirt selectivity using integrated passive device fabrication technology on a GaAs substrate. The structure of the filter consists of electromagnetically coupled meandered-line symmetric stepped-impedance resonators. The strength of the coupling between the resonators is enhanced by using a meandered-line stub-load inside the resonators to improve the selectivity and miniaturize the size of the filter. In addition, the center frequency of the filter can be flexibly controlled by varying degrees of the capacitive coupling between resonator and stub-load. To verify the proposed concept, a protocol bandpass filter with center frequency of 6.53 GHz was designed, fabricated, and measured, with a return loss and insertion loss of 39.1 dB and 1.63 dB.

  7. Facile Five-Step Heteroepitaxial Growth of GaAs Nanowires on Silicon Substrates and the Twin Formation Mechanism.

    Science.gov (United States)

    Yao, Maoqing; Sheng, Chunyang; Ge, Mingyuan; Chi, Chun-Yung; Cong, Sen; Nakano, Aiichiro; Dapkus, P Daniel; Zhou, Chongwu

    2016-02-23

    Monolithic integration of III-V semiconductors with Si has been pursued for some time in the semiconductor industry. However, the mismatch of lattice constants and thermal expansion coefficients represents a large technological challenge for the heteroepitaxial growth. Nanowires, due to their small lateral dimension, can relieve strain and mitigate dislocation formation to allow single-crystal III-V materials to be grown on Si. Here, we report a facile five-step heteroepitaxial growth of GaAs nanowires on Si using selective area growth (SAG) in metalorganic chemical vapor deposition, and we further report an in-depth study on the twin formation mechanism. Rotational twin defects were observed in the nanowire structures and showed strong dependence on the growth condition and nanowire size. We adopt a model of faceted growth to demonstrate the formation of twins during growth, which is well supported by both a transmission electron microscopy study and simulation based on nucleation energetics. Our study has led to twin-free segments in the length up to 80 nm, a significant improvement compared to previous work using SAG. The achievements may open up opportunities for future functional III-V-on-Si heterostructure devices.

  8. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  9. Observation of the in-plane spin-dephasing anisotropy in [111]-grown GaAs/AlGaAs quantum well

    International Nuclear Information System (INIS)

    Zhao, Chunbo; Li, Junbin; Yu, Ying; Ni, Haiqiao; Niu, Zhichuan; Zhang, Xinhui

    2014-01-01

    The electron density and temperature dependent in-plane spin-dephasing anisotropy in [111]-grown GaAs quantum well (QW) has been investigated by time-resolved magneto-Kerr rotation technique. Due to the specific symmetry of [111]-grown quantum well, the in-plane Rashba and linear Dresselhaus effective spin-orbit magnetic field is parallel to each other for electron wave vectors in all directions. However, an obvious in-plane spin-dephasing anisotropy comparing [2 ¯ 11] with [01 ¯ 1] crystalline orientations has been observed and discussed in this work. Our results demonstrate the innegligible spin dephasing channel through inhomogeneous broadening induced by the out-of-plane non-linear Dresselhaus field, which arises naturally from the C 3 symmetry of [111]-grown GaAs QW

  10. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  11. Raman study of low-temperature-grown Al0.29Ga0.71ASGaAs photorefractive materials

    International Nuclear Information System (INIS)

    Guo, L.W.; Han, Y.J.; Hu, C.Y.; Tan, P.H.; Yang, F.H.; Huang, Q.; Zhou, J.M.

    2002-01-01

    We report on the observation of resonant Raman scattering in low-temperature-grown AlGaASGaAs structure. Two kinds of excitation lights, 632.8 and 488 nm laser lines, were used to detect scattering signal from different regions based on different penetration depths. Under the outgoing resonant condition, up to fourth-order resonant Raman peaks were observed in the low-temperature-grown AlGaAs alloy, owing to a broad exciton luminescence in low-temperature-grown AlGaAs alloy induced by intrinsic defects and As cluster after post-annealing. These resonant peaks were assigned according to their fundamental modes. Among the resonant peaks, besides the overtones of the GaAs- or AlAs-like mode, there exist combination bands of these two kinds of modes. In addition, a weak scattering peak similar to the bulk GaAs longitudinal optical mode was observed in low-temperature Raman experiments. We consider the weak signal correlated with GaAs clusters appearing in AlGaAs alloys. The accumulation of GaAs in AlGaAs alloys was enhanced after annealing at high temperatures. A detailed study of the dependence of vibration modes on measuring temperature and post-annealing conditions is given also. In light of our experiments, it is suggested that a Raman scattering experiment is a sensitive microscopic probe of local disorder and, especially performed at low temperature, is a superior method in detecting and analyzing the weak interaction between phonons and electrons

  12. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)], E-mail: Japie.Engelbrecht@nmmu.ac.za; Hashe, N.G. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Hillie, K.T. [CSIR-NML Laboratory, P.O. Box 395, Pretoria 0001 (South Africa); Claassens, C.H. [Physics Department, University of the Free State, Bloemfontein 9300 (South Africa)

    2007-12-15

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted.

  13. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Hashe, N.G.; Hillie, K.T.; Claassens, C.H.

    2007-01-01

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted

  14. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  15. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  16. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  17. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  18. First principle analyses of direct bandgap solar cells with absorbing substrates versus mirrors

    Energy Technology Data Exchange (ETDEWEB)

    Kirk, Alexander P. [School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, Arizona 85287 (United States); Kirk, Wiley P. [Department of Materials Science and Engineering, University of Texas at Arlington, Arlington, Texas 76019 (United States)

    2013-11-07

    Direct bandgap InP, GaAs, CdTe, and Ga{sub 0.5}In{sub 0.5}P solar cells containing backside mirrors as well as parasitically absorbing substrates are analyzed for their limiting open circuit voltage and power conversion efficiency with comparison to record solar cells. From the principle of detailed balance, it is shown quantitatively that mirror solar cells have greater voltage and power conversion efficiency than their substrate counterparts. Next, the radiative recombination coefficient and maximum radiative lifetime of GaAs mirror and substrate solar cells are calculated and compared to the nonradiative Auger and Shockley-Read-Hall (SRH) lifetimes. Mirror solar cells have greater radiative lifetime than their substrate variants. Auger lifetime exceeds radiative lifetime for both substrate and mirror cells while SRH lifetime may be less or greater than radiative lifetime depending on trap concentration and capture cross section. Finally, the change in free energy of the photogenerated carriers is analyzed in a comparison between InP, GaAs, CdTe, and Ga{sub 0.5}In{sub 0.5}P mirror and substrate solar cells in order to characterize the relationship between solar photon quality and free energy management in solar cells with differing bandgaps. Wider bandgap visible threshold Ga{sub 0.5}In{sub 0.5}P solar cells make better use of the available change in free energy of the photogenerated charge carriers, even when normalized to the bandgap energy, than narrower bandgap near-IR threshold InP, GaAs, and CdTe solar cells.

  19. Fabrication and optical properties of multishell InAs quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Xin; Zhang, Xia, E-mail: xzhang@bupt.edu.cn; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-02-07

    Hybrid nanostructures combining nanowires with quantum dots promote the development of nanoelectronic and nanophotonic devices with integrated functionalities. In this work, we present a complex nanostructure with multishell quantum dots grown on nanowires. 1–4 shells of Stranski-Krastanov InAs quantum dots are grown on the sidewalls of GaAs nanowires by metal organic chemical vapor deposition. Different dot shells are separated by 8 nm GaAs spacer shells. With increasing the number of shells, the quantum dots become sparser and tend to align in one array, which is caused by the shrinkage of facets on which dots prefer to grow as well as the strain fields produced by the lower set of dots which influences the migration of In adatoms. The size of quantum dots increases with the increase of shell number due to enhanced strain fields coupling. The spectra of multishell dots exhibit multiwavelength emission, and each peak corresponds to a dot shell. This hybrid structure may serve as a promising element in nanowire intermediate band solar cells, infrared nanolasers, and photodetectors.

  20. Pseudo-Rhombus-Shaped Subwavelength Crossed Gratings of GaAs for Broadband Antireflection

    International Nuclear Information System (INIS)

    Chen Xi; Zhang Jing; Song Guo-Feng; Chen Liang-Hui; Fan Zhong-Chao

    2010-01-01

    Holographic lithography coupled with the nonlinear response of photoresist to the exposure is adopted to fabricate porous photoresist (PR) mask. Conventional dot PR mask is also generated, and both patterns are transferred into a underlying GaAs substrate by the optimal dry etching process to obtain tapered subwavelength crossed gratings (SWCGs) to mimic the moth-eye structure. In comparison of the experiment and simulation, the closely-packed pseudo-rhombus-shaped GaAs SWCGs resulting from the porous mask outperforms the conical counterpart which comes from the dot mask, and achieves a reported lowest mean spectral reflectance of 1.1%. (fundamental areas of phenomenology(including applications))

  1. Direct observation of oxygen-vacancy-enhanced polarization in a SrTiO{sub 3}-buffered ferroelectric BaTiO{sub 3} film on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Qiao, Qiao [Department of Physics, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Zhang, Yuyang [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Contreras-Guerrero, Rocio; Droopad, Ravi [Ingram School of Engineering, Texas State University, San Marcos, Texas 78666 (United States); Pantelides, Sokrates T. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Electrical Engineering and Computer Science, Vanderbilt University, Nashville, Tennessee 37240 (United States); Pennycook, Stephen J. [Department of Materials Science and Engineering, National University of Singapore, Singapore 117575 (Singapore); Ogut, Serdar; Klie, Robert F. [Department of Physics, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-11-16

    The integration of functional oxide thin-films on compound semiconductors can lead to a class of reconfigurable spin-based optoelectronic devices if defect-free, fully reversible active layers are stabilized. However, previous first-principles calculations predicted that SrTiO{sub 3} thin films grown on Si exhibit pinned ferroelectric behavior that is not switchable, due to the presence of interfacial vacancies. Meanwhile, piezoresponse force microscopy measurements have demonstrated ferroelectricity in BaTiO{sub 3} grown on semiconductor substrates. The presence of interfacial oxygen vacancies in such complex-oxide/semiconductor systems remains unexplored, and their effect on ferroelectricity is controversial. Here, we use a combination of aberration-corrected scanning transmission electron microscopy and first-principles density functional theory modeling to examine the role of interfacial oxygen vacancies on the ferroelectric polarization of a BaTiO{sub 3} thin film grown on GaAs. We demonstrate that interfacial oxygen vacancies enhance the polar discontinuity (and thus the single domain, out-of-plane polarization pinning in BaTiO{sub 3}), and propose that the presence of surface charge screening allows the formation of switchable domains.

  2. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael [Technical University of Berlin, Institute for Solid State Physics, Berlin D-10623 (Germany)

    2014-10-06

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm{sup 2} and 95 kW/cm{sup 2} at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  3. Low-threshold stimulated emission at 249 nm and 256 nm from AlGaN-based multiple-quantum-well lasers grown on sapphire substrates

    International Nuclear Information System (INIS)

    Li, Xiao-Hang; Detchprohm, Theeradetch; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Dupuis, Russell D.; Wang, Shuo; Wei, Yong O.; Xie, Hongen; Fischer, Alec M.; Ponce, Fernando A.; Wernicke, Tim; Reich, Christoph; Martens, Martin; Kneissl, Michael

    2014-01-01

    Optically pumped deep-ultraviolet (DUV) lasing with low threshold was demonstrated from AlGaN-based multiple-quantum-well (MQW) heterostructures grown on sapphire substrates. The epitaxial layers were grown pseudomorphically by metalorganic chemical vapor deposition on (0001) sapphire substrates. Stimulated emission was observed at wavelengths of 256 nm and 249 nm with thresholds of 61 kW/cm 2 and 95 kW/cm 2 at room temperature, respectively. The thresholds are comparable to the reported state-of-the-art AlGaN-based MQW DUV lasers grown on bulk AlN substrates emitting at 266 nm. These low thresholds are attributed to the optimization of active region and waveguide layer as well as the use of high-quality AlN/sapphire templates. The stimulated emission above threshold was dominated by transverse-electric polarization. This work demonstrates the potential candidacy of sapphire substrates for DUV diode lasers.

  4. Hydrothermally grown ZnO nanorods on self-source substrate and their field emission

    International Nuclear Information System (INIS)

    Liu, J P; Xu, C X; Zhu, G P; Li, X; Cui, Y P; Yang, Y; Sun, X W

    2007-01-01

    Vertically aligned zinc oxide nanorod arrays were grown directly using a zinc foil as both source and substrate in pure water at low temperature by a simple hydrothermal reaction. The morphology and crystal structure of the ZnO nanorod arrays were examined by scanning electron microscopy, transmission electron microscopy and x-ray diffraction, respectively. The nanorods grew along the [0 0 0 1] direction and were 80 nm in diameter and almost 2 μm in length. Directly employing the zinc foil substrate as cathode, the field emission (FE) of the ZnO nanorods presented a two-stage slope behaviour in a ln(J/E 2 )-1/E plot according to the Fowler-Nordheim equation. The FE behaviour was investigated by considering the action of the defects in ZnO nanorods based on the measurement of the photoluminescence

  5. Miniaturized Bandpass Filter Using a Meandered Stepped-Impedance Resonator with a Meandered-Line Stub-Load on a GaAs Substrate

    Directory of Open Access Journals (Sweden)

    Z. Chuluunbaatar

    2014-01-01

    Full Text Available This paper reports a compact bandpass filter with improved skirt selectivity using integrated passive device fabrication technology on a GaAs substrate. The structure of the filter consists of electromagnetically coupled meandered-line symmetric stepped-impedance resonators. The strength of the coupling between the resonators is enhanced by using a meandered-line stub-load inside the resonators to improve the selectivity and miniaturize the size of the filter. In addition, the center frequency of the filter can be flexibly controlled by varying degrees of the capacitive coupling between resonator and stub-load. To verify the proposed concept, a protocol bandpass filter with center frequency of 6.53 GHz was designed, fabricated, and measured, with a return loss and insertion loss of 39.1 dB and 1.63 dB.

  6. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  7. Milliwatt-level output power in the sub-terahertz range generated by photomixing in a GaAs photoconductor

    Science.gov (United States)

    Peytavit, E.; Lepilliet, S.; Hindle, F.; Coinon, C.; Akalin, T.; Ducournau, G.; Mouret, G.; Lampin, J.-F.

    2011-11-01

    It is shown from accurate on-wafer measurement that continuous wave output powers of 1.2 mW at 50 GHz and 0.35 mW at 305 GHz can be generated by photomixing in a low temperature grown GaAs photoconductor using a metallic mirror Fabry-Pérot cavity. The output power is improved by a factor of about 100 as compared to the previous works on GaAs photomixers. A satisfactory agreement between the theory and the experiment is obtained in considering both the contribution of the holes and the electrons to the total photocurrent.

  8. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  9. Critical thickness of high structural quality SrTiO3 films grown on orthorhombic (101) DyScO3

    International Nuclear Information System (INIS)

    Biegalski, M. D.; Trolier-McKinstry, S.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Nelson, C. T.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.

    2008-01-01

    Strained epitaxial SrTiO 3 films were grown on orthorhombic (101) DyScO 3 substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 A were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 A. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018 deg.). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 deg. C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO 3 films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films

  10. Scanning tunneling spectroscopy of CdSe nanocrystals covalently bound to GaAs

    DEFF Research Database (Denmark)

    Walzer, K.; Marx, E.; Greenham, N.C.

    2003-01-01

    We present scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS) measurements of CdSe nanocrystals covalently attached to doped GaAs substrates using monolayers of 1,6-hexanedithiol. STM measurements showed the formation of stable, densely packed, homogeneous monolayers...... of nanocrystals. STS measurements showed rectifying behaviour, with high currents at the opposite sample bias to that previously observed for CdSe nanocrystals adsorbed on Si substrates. We explain the rectifying behaviour by considering the interaction between the electronic states of the nanocrystals...

  11. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    Science.gov (United States)

    Kang, Dongseok; Young, James L.; Lim, Haneol; Klein, Walter E.; Chen, Huandong; Xi, Yuzhou; Gai, Boju; Deutsch, Todd G.; Yoon, Jongseung

    2017-03-01

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfaces for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.

  12. Valence band photoemission from in-situ grown GaAs(100)-c(4 x 4)

    Czech Academy of Sciences Publication Activity Database

    Jiříček, Petr; Cukr, Miroslav; Bartoš, Igor; Adell, M.; Strasser, T.; Schattke, W.

    2006-01-01

    Roč. 56, č. 1 (2006), s. 21-26 ISSN 0011-4626. [Symposium on Surface Physics /10./. Praha, 11.07.2005-15.07.2005] R&D Projects: GA ČR(CZ) GA202/04/0994 Institutional research plan: CEZ:AV0Z10100521 Keywords : GaAs(100)-c(4X4) * surface states * band structure * structure plot Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.568, year: 2006

  13. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  14. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  15. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  16. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  17. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  18. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  19. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  20. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  1. Real-time observation of rotational twin formation during molecular-beam epitaxial growth of GaAs on Si (111) by x-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Hidetoshi, E-mail: hsuzuki@cc.miyazaki-u.ac.jp [Faculty of Engineering, University of Miyazaki, 1-1 Gakuen-Kibanadai-Nishi, Miyazaki 889-2192 (Japan); Nakata, Yuka; Takahasi, Masamitu [Graduate School of Materials Science, University of Hyogo, 3-2-1 Koto, Kamigori-cho, Hyogo 678-1297 (Japan); Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Koto, Sayo-cho, Hyogo 679-5148 (Japan); Ikeda, Kazuma [Toyota Technological Institute, 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan); Ohshita, Yoshio; Morohara, Osamu; Geka, Hirotaka; Moriyasu, Yoshitaka [Advanced Devices and Sensor Systems Development Center, Asahi Kasei Co. Ltd., 2-1 Samejima, Fuji 416-8501 (Japan)

    2016-03-15

    The formation and evolution of rotational twin (TW) domains introduced by a stacking fault during molecular-beam epitaxial growth of GaAs on Si (111) substrates were studied by in situ x-ray diffraction. To modify the volume ratio of TW to total GaAs domains, GaAs was deposited under high and low group V/group III (V/III) flux ratios. For low V/III, there was less nucleation of TW than normal growth (NG) domains, although the NG and TW growth rates were similar. For high V/III, the NG and TW growth rates varied until a few GaAs monolayers were deposited; the mean TW domain size was smaller for all film thicknesses.

  2. Selective synthesis of double helices of carbon nanotube bundles grown on treated metallic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cervantes-Sodi, Felipe; Iniguez-Rabago, Agustin; Rosas-Melendez, Samuel; Ballesteros-Villarreal, Monica [Departamento de Fisica y Matematicas, Universidad Iberoamericana, Prolongacion Paseo de la Reforma 880, Lomas de Santa Fe (Mexico); Vilatela, Juan J. [IMDEA Materials Institute, E.T.S. de Ingenieros de Caminos, Madrid (Spain); Reyes-Gutierrez, Lucio G.; Jimenez-Rodriguez, Jose A. [Ingenieria Industrial, Grupo JUMEX, Ecatepec de Morelos, Estado de Mexico (Mexico); Palacios, Eduardo [Lab. de Microscopia Electronica de Ultra Alta Resolucion, Instituto Mexicano del Petroleo, San Bartolo Atepehuacan (Mexico); Terrones, Mauricio [Department of Physics, Department of Materials Science and Engineering and Materials Research Institute, Pennsylvania State University, University Park, PA (United States); Research Center for Exotic Nanocarbons (JST), Shinshu University, Nagano (Japan)

    2012-12-15

    Double-helix microstructures consisting of two parallel strands of hundreds of multi-walled carbon nanotubes (MWCNTs) have been synthesized by chemical vapour deposition of ferrocene/toluene vapours on metal substrates. Growth of coiled carbon nanostructures with site selectivity is achieved by varying the duration of thermochemical pretreatment to deposit a layer of SiO{sub x} on the metallic substrate. Production of multibranched structures of MWCNTs converging in SiO{sub x} microstructure is also reported. In the abstract figure, panel (a) shows a coloured micrograph of a typical double-helix coiled microstructure of MWCNTs grown on SiO{sub x} covered steel substrate. Green and blue show each of the two individual strands of MWCNTs. Panel (b) is an amplification of a SiO{sub x} microparticle (white) on the tip of the double-stranded coil (green and blue). The microparticle guides the collective growth of hundreds of MWCNTs to form the coiled structure. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Copper substrate as a catalyst for the oxidation of chemical vapor deposition-grown graphene

    International Nuclear Information System (INIS)

    Li, Zhiting; Zhou, Feng; Parobek, David; Shenoy, Ganesh J.; Muldoon, Patrick; Liu, Haitao

    2015-01-01

    We report the catalytic effect of copper substrate on graphene–oxygen reaction at high temperature. Previous studies showed that graphene grown on copper are mostly defect-free with strong oxidation resistance. We found that a freshly prepared copper-supported graphene sample can be completely oxidized in trace amount of oxygen (<3 ppm) at 600 °C within 2 h. Both X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) suggest that upon ambient air exposure, oxygen molecules diffuse into the space between graphene and copper, resulting in the formation of copper oxide which acts as catalytic sites for the graphene-oxygen reaction. This result has important implications for the characterization, processing, and storage of copper-supported graphene samples. - Graphical abstract: The copper substrate enhances the thermel oxidation of single-layer graphene. - Highlights: • A copper-supported graphene can be oxidized in Ar (O 2 <3 ppm, 600 °C, 2 h). • O 2 intercalates between graphene and copper upon exposure to air. • The copper foil should not be considered as an inert substrate

  4. Nitrogen lattice location in MOVPE grown Ga1-xInxNyAs1-y films using ion beam channeling

    International Nuclear Information System (INIS)

    Nebiki, Takuya; Narusawa, Tadashi; Kumagai, Akiko; Doi, Hideyuki; Saito, Tadashi; Takagishi, Shigenori

    2006-01-01

    We have investigated the nitrogen lattice location in MOVPE grown Ga 1-x In x N y As 1-y with x=0.07 and y=0.025 by means of ion beam channeling technique. In this system, the lattice constant of the Ga 1-x In x N y As 1-y film is equal to GaAs lattice. Therefore, we can grow apparently no strain, high quality and very thick GaInNAs film on GaAs substrate. The quality of the films as well as the lattice location of In and N were characterized by channeling Rutherford backscattering spectrometry and nuclear reaction analysis using 3.95 MeV He 2+ beam. The fraction of substitutional nitrogen in the film was measured using the 14 N(α,p) 17 O endothermic nuclear reaction. Our results indicate that more than 90% of In and N atoms are located the substitutional site, however, N atoms are slightly displaced by ∼0.2 A from the lattice site. We suggest that the GaInNAs film has a local strain or point defects around the N atoms. (author)

  5. Estimating CO2 gas exchange in mixed age vegetable plant communities grown on soil-like substrates for life support systems

    Science.gov (United States)

    Velichko, V. V.; Tikhomirov, A. A.; Ushakova, S. A.

    2018-02-01

    If soil-like substrate (SLS) is to be used in human life support systems with a high degree of mass closure, the rate of its gas exchange as a compartment for mineralization of plant biomass should be understood. The purpose of this study was to compare variations in CO2 gas exchange of vegetable plant communities grown on the soil-like substrate using a number of plant age groups, which determined the so-called conveyor interval. Two experimental plant communities were grown as plant conveyors with different conveyor intervals. The first plant community consisted of conveyors with intervals of 7 days for carrot and beet and 14 days for chufa sedge. The conveyor intervals in the second plant community were 14 days for carrot and beet and 28 days for chufa sedge. This study showed that increasing the number of age groups in the conveyor and, thus, increasing the frequency of adding plant waste to the SLS, decreased the range of variations in CO2 concentration in the "plant-soil-like substrate" system. However, the resultant CO2 gas exchange was shifted towards CO2 release to the atmosphere of the plant community with short conveyor intervals. The duration of the conveyor interval did not significantly affect productivity and mineral composition of plants grown on the SLS.

  6. Critical thickness of high structural quality SrTiO{sub 3} films grown on orthorhombic (101) DyScO{sub 3}.

    Energy Technology Data Exchange (ETDEWEB)

    Biegalski, M. D.; Trolier-McKinstry, S.; Nelson, C. T.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.; Pennsylvania State Univ.; Forschungszentrum Julich; Univ. Michigan; LANL; Max-Born-Strabe

    2008-12-01

    Strained epitaxial SrTiO{sub 3} films were grown on orthorhombic (101) DyScO{sub 3} substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 {angstrom} were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 {angstrom}. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018{sup o}). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO{sub 3} films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films.

  7. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  8. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  9. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  10. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  11. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  12. Polarity influence on the indentation punching of thin {111} GaAs foils at elevated temperatures

    International Nuclear Information System (INIS)

    Patriarche, G; Largeau, L; Riviere, J P; Bourhis, E Le

    2005-01-01

    Thin {111} GaAs substrates were deformed by a Vickers indenter at 350 deg. C-370 deg. C under loads ranging between 0.4 and 1.9 N. Optical microscopy and interferometry were used to observe the indented and opposite faces of the thin foils and hence to investigate the plastic flow through the samples. Attention was paid to the polarity (A or B) of the specimen surface, as GaAs is known to show a large difference between α and β dislocations mobilities. A model considering the influence of polarity is proposed to describe the material flow throughout thin samples

  13. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  14. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  15. Formation of columnar (In,Ga)As quantum dots on GaAs(100)

    International Nuclear Information System (INIS)

    He, J.; Noetzel, R.; Offermans, P.; Koenraad, P.M.; Gong, Q.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    Columnar (In,Ga)As quantum dots (QDs) with homogeneous composition and shape in the growth direction are realized by molecular-beam epitaxy on GaAs(100) substrates. The columnar (In,Ga)As QDs are formed on InAs seed QDs by alternating deposition of thin GaAs intermediate layers and monolayers of InAs with extended growth interruptions after each layer. The height of the columnar (In,Ga)As QDs is controlled by varying the number of stacked GaAs/InAs layers. The structural and optical properties are studied by cross-sectional scanning tunneling microscopy, atomic force microscopy, and photoluminescence spectroscopy. With increase of the aspect ratio of the columnar QDs, the emission wavelength is redshifted and the linewidth is reduced

  16. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  17. Effects of electron and proton irradiations on n/p and p/n GaAs cells grown by MOCVD

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.

    1987-01-01

    State-of-the-art n/p and p/n heteroface GaAs cells, processed by metal organic chemical vapor deposition, were irradiated by 1 MeV electrons and 37 MeV protons and their performance determined as a function of fluence. It was found that the p/n cells were more radiation resistant than the n/p cells. The increased loss in the n/p cells was attributed to increases in series resistance and losses in the p-region resulting from the irradiation. The greater loss in fill factor observed for the n/p cells introduces the possibility that the presently observed superiority of the p/n cells may not be an intrinsic property of this configuration in GaAs

  18. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  19. Effect of residual monomer from polyacrylamide on head lettuce grown in peat substrate.

    Science.gov (United States)

    Mroczek, Ewelina; Kleiber, Tomasz; Konieczny, Piotr; Waśkiewicz, Agnieszka

    2015-01-01

    The paper investigates the migration of the acrylamide monomer (AMD) to lettuce chosen as a test plant growing in an organic medium (peat substrate). Polyacrylamide (PAM)-based flocculant added to the growing medium contained no more than 1000 mg kg(-1) of AMD. Plants were grown with varied doses of PAM preparation (0.5-3.0 mg dm(-3) of peat substrate) to compare the results with the control sample. The determination of AMD content, chlorophyll content, weight of the lettuce head, and also analysis of macro- and micro-elements in lyophilised test material was made under the same analytical conditions. The results showed that lettuce plants absorb AMD to the leaves from the peat substrate. The AMD uptake has a negative impact on the growth of lettuce. It reduces the average fresh weight of heads and destabilises the mineral composition of the plant. Therefore, concern related to the transfer risk of the residual AMD from sludge used for organic fertilisation of edible plants still remains a crucial question from a food and consumer safety point of view. To ensure consumer safety, the fate of the AMD following the application of PAM to cropland should be carefully monitored in the whole food chain.

  20. Organic against inorganic electrodes grown onto polymer substrates for flexible organic electronics applications

    International Nuclear Information System (INIS)

    Logothetidis, S.; Laskarakis, A.

    2009-01-01

    One of the most challenging topics in the area of organic electronic devices is the growth of transparent electrodes onto flexible polymeric substrates that will be characterized by enhanced conductivity in combination with high optical transparency. An essential aspect for these materials is their synthesis and/or microstructure which define the transparency, the stability and the interfacial chemistry which in turn determine the performance and stability of the organic electronic devices, such as organic light emitting diodes, organic photovoltaics, etc. In this work, we will discuss the latest advances in the growth of organic (e.g. PEDOT:PSS) and inorganic (e.g. zinc oxide-ZnO, indium tin oxide-ITO) conductive materials and their deposition onto flexible polymeric substrates. We will compare the optical, structural, nano-mechanical and nano-topographical properties of the inorganic and organic materials and we investigate the effect of their structure on their properties and functionality. In the case of the organic conductive materials, we will discuss the effects of PEDOT:PSS weight ratios and the various spin speeds on their optical and electrical properties. Furthermore, in the case of ZnO the growth mechanisms, interface phenomena, crystallinity and optical properties of ZnO thin films grown onto polymer and hybrid (inorganic-organic) flexible substrates will be also discussed.

  1. Plant cell-wall hydrolyzing enzymes from indigenously isolated fungi grown on conventional and novel natural substrates

    International Nuclear Information System (INIS)

    Kumari, D.; Sohail, M.; Jahangeer, S.; Abideen, Z.; Khan, M.A.

    2017-01-01

    Fungi elaborate a variety of plant-hydrolyzing enzymes including cellulases, xylanases, pectinases and amylases. Although these enzymes have potential biotechnological applications, their production at industrial level is limited because of higher costs of the purified substrates. Hence, the present study was aimed to explore the novel, natural and cheaper substrates for enzyme production. Indigenously isolated fungal strains of Aspergillus sp. were grown on banana-peels, grapefruit-peels, pomegranate-peels, sugarcane bagasse, Eucalyptus camaldulensis-leaves and shoots of two halophytic plants including Halopyrum mucronatum and Desmostachya bipinnata under solid-state fermentation (SSF) and submerged fermentation (Smf) conditions. The crude enzyme preparation was screened for cellulase (endoglucanase, beta-glucosidase and filter-paperase), hemicellulase (xylanase), pectinase and amylase production. The results revealed that among all investigated enzymes, the xylanase titers were highest using D. bipinnata- shoots and H. mucronatum- shoots as substrates under solid state fermentation conditions, suggesting their exploitation at commercial scale. (author)

  2. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  3. Nitridation effects of Si(1 1 1) substrate surface on InN nanorods grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Shan [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Tan, Jin, E-mail: jintan_cug@163.com [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China); Engineering Research Center of Nano-Geomaterials of Ministry of Education, China University of Geosciences, Wuhan 430074 (China); Li, Bin; Song, Hao; Wu, Zhengbo; Chen, Xin [Faculty of Materials Science and Chemistry, China University of Geosciences, Wuhan 430074 (China)

    2015-02-05

    Graphical abstract: The morphology evolution of InN nanorods in samples (g)–(i). The alignment of InN nanorods is improved and the deviation angle distribution narrows down with increase in nitriding time. It suggests that extending the nitriding time can enhance the vertical orientation of InN nanorods. - Highlights: • InN nanorods were grown on surface nitrided Si(1 1 1) substrate using PAMBE system. • Nitridation of substrate surface has a strong effect on morphology of InN nanorods. • InN nanorods cannot be formed with 1 min nitridation of Si(1 1 1) substrate. • Increasing nitriding time will increase optimum growth temperature of InN nanorods. • Increasing nitriding time can enhance vertical orientation of InN nanorods. - Abstract: The InN nanorods were grown on Si(1 1 1) substrate by plasma-assisted molecular beam epitaxy (PAMBE) system, with a substrate nitridation process. The effect of nitriding time of Si(1 1 1) substrate on morphology, orientation and growth temperature of InN nanorods was characterized via scanning electron microscopy (SEM) and X-ray diffraction (XRD). The deviation angle of InN nanorods was measured to evaluate the alignment of arrays. The results showed that InN nanorods could not be formed with 1 min nitridation of Si(1 1 1) substrate, but they could be obtained again when the nitriding time was increased to more than 10 min. In order to get aligned InN nanorods, the growth temperature needed to increase with longer nitriding time. The vertical orientation of InN nanorods could be enhanced with increase in nitriding time. The influence of the substrate nitridation on the photoluminescence (PL) spectra of InN nanorods has been investigated.

  4. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  5. Quality of Heliconia psittacorum seedlings grown on different substrates

    Directory of Open Access Journals (Sweden)

    Raimundo Luiz Laurinho dos Santos

    2016-01-01

    Full Text Available The production of good seedlings depends on the quality of the matrix and propagation techniques used. In choosing a substrate should be particularly observed physical and chemical characteristics. The objective of this study was to assess the development and vigor of heliconia seedlings from Heliconia psittacorum species, grown on different substrates and mixtures. The materials that form the treatments were: burnt rice husk (RHB, vermiculite (VC, sugarcane waste burnt (SWB, subsoil (S, chicken bedding (CB, cattle manure (CM, earthworm humus (EH, coconut husk powder (CSP and Horticultural Plantmax (HP. The treatments chosen were: 1( ⅔ CM + ⅓ CSP, 2( ⅔CM + ⅓RHB, 3( ⅔CB + ⅓ SWB, 4(CSP, 5(½VC+ ½SWB, 6(⅔ S + ⅓ CB, 7(⅓CM + ⅔SWB, 8(⅔ CM + ⅓SWB, 9(⅔CB + ⅓RHB, 10(⅓CM + ⅔RHB, 11(⅓CB + ⅔SWB, 12(⅔CB + ⅓CSP, 13(⅔EH + ⅓CSP, 14(⅔EH + ⅓SWB, 15(⅓CB + ⅔RHB, 16(⅓EH + ⅔SWB, 17(⅔EH + ⅓RHB, 18( ½VC + ½RHB, 19(S, 20(⅔ S + ⅓ CM, 21(⅔ S + ⅓ EH, 22(EH, 23(HP, 24(⅓EH + ⅔RHB. Samples of all treatment compositions were taken and carried out chemical and physical analysis. A set of ten treatments (1, 2, 5, 7, 8, 10, 14, 16, 20 and 22 basically consisting of CM EH, RHB, CSP and SWB produced the best seedlings and treatment with CB as a main component or not produced the worst seedlings due to high electrical conductivity

  6. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    International Nuclear Information System (INIS)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.

    1993-01-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane

  7. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Highly flexible indium zinc oxide electrode grown on PET substrate by cost efficient roll-to-roll sputtering process

    International Nuclear Information System (INIS)

    Park, Yong-Seok; Kim, Han-Ki; Jeong, Soon-Wook; Cho, Woon-Jo

    2010-01-01

    We have investigated the characteristics of flexible indium zinc oxide (IZO) electrode grown on polyethylene terephthalate (PET) substrates using a specially designed roll-to-roll (RTR) sputtering system for use in flexible optoelectronics. It was found that both electrical and optical properties of the flexible IZO electrode were critically dependent on the DC power and Ar/O 2 flow ratio during the roll-to-roll sputtering process. At optimized conditions (constant working pressure of 3 mTorr, Ar/O 2 flow ratio of Ar at only 30 sccm, DC power 800 W and rolling speed at 0.1 cm/s) the flexible IZO electrode exhibits a sheet resistance of 17.25 Ω/sq and an optical transmittance of 89.45% at 550 nm wavelength. Due to the low PET substrate temperature, which is effectively maintained by cooling drum system, all IZO electrodes showed an amorphous structure regardless of the DC power and Ar/O 2 flow ratio. Furthermore, the IZO electrodes grown at optimized condition exhibited superior flexibility than the conventional amorphous ITO electrodes due to its stable amorphous structure. This indicates that the RTR sputter grown IZO electrode is a promising flexible electrode that can substitute for the conventional ITO electrode, due to its low resistance, high transparency, superior flexibility and fast preparation by the RTR process.

  9. Iron (III Ion Sensor Based on the Seedless Grown ZnO Nanorods in 3 Dimensions Using Nickel Foam Substrate

    Directory of Open Access Journals (Sweden)

    Mazhar Ali Abbasi

    2013-01-01

    Full Text Available In the present work, the seedless, highly aligned and vertical ZnO nanorods in 3 dimensions (3D were grown on the nickel foam substrate. The seedless grown ZnO nanorods were characterised by field emission scanning electron microscopy (FESEM, high resolution transmission electron microscopy (HRTEM, and X-ray diffraction (XRD techniques. The characterised seedless ZnO nanorods in 3D on nickel foam were highly dense, perpendicular to substrate, grown along the (002 crystal plane, and also composed of single crystal. In addition to this, these seedless ZnO nanorods were functionalized with trans-dinitro-dibenzo-18-6 crown ether, a selective iron (III ion ionophore, along with other components of membrane composition such as polyvinyl chloride (PVC, 2-nitopentylphenyl ether as plasticizer (NPPE, and tetrabutyl ammonium tetraphenylborate (TBATPB as conductivity increaser. The sensor electrode has shown high linearity with a wide range of detection of iron (III ion concentrations from 0.005 mM to 100 mM. The low limit of detection of the proposed ion selective electrode was found to be 0.001 mM. The proposed sensor also described high storage stability, selectivity, reproducibility, and repeatability and a quick response time of less than 10 s.

  10. Structural properties of layers of HgCdTe, grown by the laser epitaxy method on silicon substrates

    International Nuclear Information System (INIS)

    Plyatsko, S.V.; Vergush, M.M.; Litvin, P.M.; Kozirjev, Yu.M.; Shevlyakov, S.A.

    2001-01-01

    Thin films (0.1-1.5 μm) of HgCdTe on substrates Si (100) and Si (111) from monocrystal and pressed sources Hg 1-x Cd x Te (x=0.22) sprayed by laser IR radiation were grown and are investigated. The concentration of macro defects (drops) on the surface of films is determined by the relation of the diameter of a laser beam and depth of the crater, formed by laser irradiation. The size of crystal grains almost does not depend on the temperature of a substrate and power densities of a laser radiation and increases with the thickness of a layer

  11. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  12. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  13. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  15. Photoreflectance and contactless electroreflectance spectroscopy of GaAs-based structures: The below band gap oscillation features

    International Nuclear Information System (INIS)

    Kudrawiec, R.; Motyka, M.; Gladysiewicz, M.; Sitarek, P.; Misiewicz, J.

    2006-01-01

    GaAs-based structures characterized below band gap oscillation features (OFs) in photoreflectance (PR) are studied in both PR and contactless electro-reflectance (CER) spectroscopies. It has been shown that the OFs are usually very strong for structures grown on n-type GaAs substrate. The origin of the OFs is the modulation of the refractive index in the sample due to a generation of additional carriers by the modulated pump beam. The presence of OFs in PR spectra complicates the analysis of PR signal related to quantum well transitions. Therefore, PR spectroscopy is often limited to samples grown on semi-insolating (SI) type substrates. However, sometimes the OFs could be observed for structures grown on SI-type GaAs substrates. In this paper we show that the OFs could be successfully eliminated by applying the CER technique instead of PR one because during CER measurements any additional carriers are not generated and hence CER spectra are free of OFs. This advantage of CER spectroscopy is very important in investigations of all structures for which OFs are present in PR spectra

  16. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  17. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  18. Adding GaAs Monolayers to InAs Quantum-Dot Lasers on (001) InP

    Science.gov (United States)

    Qiu, Yueming; Chacon, Rebecca; Uhl, David; Yang, Rui

    2005-01-01

    In a modification of the basic configuration of InAs quantum-dot semiconductor lasers on (001)lnP substrate, a thin layer (typically 1 to 2 monolayer thick) of GaAs is incorporated into the active region. This modification enhances laser performance: In particular, whereas it has been necessary to cool the unmodified devices to temperatures of about 80 K in order to obtain lasing at long wavelengths, the modified devices can lase at wavelengths of about 1.7 microns or more near room temperature. InAs quantum dots self-assemble, as a consequence of the lattice mismatch, during epitaxial deposition of InAs on ln0.53Ga0.47As/lnP. In the unmodified devices, the quantum dots as thus formed are typically nonuniform in size. Strainenergy relaxation in very large quantum dots can lead to poor laser performance, especially at wavelengths near 2 microns, for which large quantum dots are needed. In the modified devices, the thin layers of GaAs added to the active regions constitute potential-energy barriers that electrons can only penetrate by quantum tunneling and thus reduce the hot carrier effects. Also, the insertion of thin GaAs layer is shown to reduce the degree of nonuniformity of sizes of the quantum dots. In the fabrication of a batch of modified InAs quantum-dot lasers, the thin additional layer of GaAs is deposited as an interfacial layer in an InGaAs quantum well on (001) InP substrate. The device as described thus far is sandwiched between InGaAsPy waveguide layers, then further sandwiched between InP cladding layers, then further sandwiched between heavily Zn-doped (p-type) InGaAs contact layer.

  19. Integrated MSM-FET photoreceiver fabricated on MOCVD grown Hg2-xCdxTe

    International Nuclear Information System (INIS)

    Leech, P.W.; Gwynn, P.J.; Pain, G.N.; Petkovic, N.R.; Thompson, J.; Jamieson, D.N.

    1991-01-01

    This paper reports on progress in the monolithic integration of a metal-semiconductor-metal (MSM) detector and transimpedance amplifier and of a photoconductive detector (PCD) with a metal-semiconductor field effect transistor (MESFET) in Hg 1-x Cd x Te. The layers of CdTe/n-type Hg 1-x Cd x Te were grown by MOCVD on semi-insulating GaAs substrates (2 0 misoriented 100). Fabrication of the devices was by an FET planar process; with a standard lift-off used to form Schottky metallization on both the interdigitated electrodes of the MSM detector (2 μm width, 2 μm spacing) and the gate of the MESFETs (5μm length, 100μm width). The MSM photodetectors exhibited breakdown voltages in the range 60 to 80V, a dark current of 10na at 5V bias, and responsivities of >1.0 A/W measured at 40V using CW 1.3 μm illumination

  20. A study on carbon incorporation in semi-insulating GaAs crystals grown by the vapor pressure controlled Czochralski technique (VCz). Pt. I. Experiments and Results

    Energy Technology Data Exchange (ETDEWEB)

    Jacob, K.; Frank, C.; Neubert, M.; Rudolph, P. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Ulrici, W. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Paul-Drude-Inst. fuer Festkoerperelektronik, Berlin (Germany); Jurisch, M. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Freiberger Compound Materials GmbH, Freiberg (Germany); Korb, J. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); GTT Technologies, Freiberg (Germany)

    2000-07-01

    In the past it has been demonstrated that the carbon concentration of large semi-insulating (SI) GaAs single crystals grown by the conventional liquid encapsulation Czochralski (LEC) technique can be controlled by several methods including variations of growth parameters. It was the aim of the present paper to clarify which of the relationships of LEC growth could be used for a carbon control in the VCz-method characterized by the application of an inner chamber made from graphite to avoid selective As evaporation. In detail this comprised a study of the influence of several growth parameters like the water content of the boric oxide, the composition of the working atmosphere, the gas flow, a titanium gettering and additions of gallium oxide. As a result, for the first time carbon concentrations down to {approx} 10{sup 14} cm{sup -3} were obtained in 3{sup ''} (75 mm) diameter VCz crystals. (orig.)

  1. (In)GaSb/AlGaSb quantum wells grown on Si substrates

    International Nuclear Information System (INIS)

    Akahane, Kouichi; Yamamoto, Naokatsu; Gozu, Shin-ichiro; Ueta, Akio; Ohtani, Naoki

    2007-01-01

    We have successfully grown GaSb and InGaSb quantum wells (QW) on a Si(001) substrate, and evaluated their optical properties using photoluminescence (PL). The PL emissions from the QWs at room temperature were observed at around 1.55 μm, which is suitable for fiber optic communications systems. The measured ground state energy of each QW matched well with the theoretical value calculated by solving the Schroedinger equation for a finite potential QW. The temperature dependence of the PL intensity showed large activation energy (∼ 77.6 meV) from QW. The results indicated that the fabricated QW structure had a high crystalline quality, and the GaSb QW on Si for optical devices operating at temperatures higher than room temperature will be expected

  2. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    Science.gov (United States)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  3. Exciton radiative lifetime in sub-monlayer and stranskii-Krastanow grown InGaAs/GaAs quantum dots

    DEFF Research Database (Denmark)

    Xu, Zhangcheng; Zhang, Yating; Tackeuchi, Atsushi

    radiative lifetime rad [4]. Here, we compare the exciton radiative lifetime of SML-grown and SK-grown InGaAs/GaAs QDs at 10 K, via time-resolved photoluminescence (PL). The SML-QDs, were formed by 10 cycles of alternate deposition of 0.5 monolayer (ML) InAs and 2.5 ML GaAs, at 500 °C. The SK-QDs were...... formed by depositing 4.1 ML In0.6Ga0.4As on GaAs. The PL spectra indicate that the SML-QDs show better uniformity than the SK-QDs. Transient PL for SML and SK QDs at 10 K, where the PL decay time d is dominated by the exciton radiative lifetime, show d = 90 ps for SML-QDs which is much shorter than...

  4. Towards quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moesl, Johannes; Ludwig, Stefan [Fakultaet fuer Physik, Center for NanoScience, LMU Munich, Geschwister-Scholl- Platz 1, D-80539 Muenchen (Germany); Fontcuberta i Morral, Anna [TU Munich, Walter Schottky Institut, Am Coulombwall 3, 85748 Garching (Germany); EPF, Lausanne (Switzerland)

    2009-07-01

    Semiconductor nanowires is an emergent research topic in the field of nanoelectronics, as they form an excellent building block for 0D and 1D applications and allow novel architectures and material combinations. We study electronic transport properties of catalyst-free MBE grown GaAs nanowires, p-doped at a number of different doping levels. Detailed characterization of the wires including electronic contacts fabricated by e-beam lithography and based on palladium or annealed zinc-silver alloys are discussed. Contact properties and a pronounced hysteresis of the current through the nanowires, as a backgate-voltage is swept, are explained within tentative models. In addition we present first transport measurements on quantum dots, which are defined electrostatically as well as by etched constrictions.

  5. Photoluminescence of highly compensated GaAs doped with high concentration of Ge

    Science.gov (United States)

    Watanabe, Masaru; Watanabe, Akira; Suezawa, Masashi

    1999-12-01

    We have studied the photoluminescence (PL) properties of Ge-doped GaAs crystals to confirm the validity of a theory developed by Shklovskii and Efros to explain the donor-acceptor pair (DAP) recombination in potential fluctuation. GaAs crystals doped with Ge of various concentrations were grown by a liquid-encapsulated Czochralski method. They were homogenized by annealing at 1200°C for 20 h under the optimum As vapor pressure. Both quasi-continuous and time-resolved PL spectra were measured at 4.2 K. The quasi-continuous PL spectra showed that the peak position shifted to lower energy as the Ge concentration increased, which was consistent with the Shklovskii and Efros's theory. Under very strong excitation in time-resolved measurements, the exciton peak appeared within short periods after excitation and then the peak shifted to that of DAP recombination. This clearly showed that the potential fluctuation disappeared under strong excitation and then recovered as the recombination proceeded.

  6. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  7. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  8. Charge collection efficiency of GaAs detectors studied with low-energy heavy charged particles

    CERN Document Server

    Bates, R; Linhart, V; O'Shea, V; Pospísil, S; Raine, C; Smith, K; Sinor, M; Wilhelm, I

    1999-01-01

    Epitaxially grown GaAs layers have recently been produced with sufficient thickness and low enough free carrier concentration to permit their use as radiation detectors. Initial tests have shown that the epi-material behaves as a classical semiconductor as the depletion behaviour follows the square root dependency on the applied bias. This article presents the results of measurements of the growth of the active depletion depth with increasing bias using low-energy protons and alpha particles as probes for various depths and their comparison to values extrapolated from capacitance measurements. From the proton and alpha particle spectroscopic measurements, an active depth of detector material that collects 100% of the charge generated inside it was determined. The consistency of these results with independent capacitance measurements supports the idea that the GaAs epi-material behaves as a classical semiconductor. (author)

  9. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  10. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  11. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  12. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  13. Design and Fabrication of 850 and 980 nm Vertical Cavity Surface Emitting Laser

    National Research Council Canada - National Science Library

    Das, N

    2004-01-01

    .... VCSELs on GaAs substrates were grown by the molecular beam epitaxy technique. In this report we present detailed procedures to design and fabricate 850-nm top-emitting and 980-nm bottom-emitting VCSELs...

  14. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  15. Compositional analysis of silicon nitride films on Si and GaAs by backscattering spectrometry and nuclear resonance reaction analysis

    International Nuclear Information System (INIS)

    Kumar, Sanjiv; Raju, V.S.

    2004-01-01

    This paper describes the application of proton and α-backscattering spectrometry for the determination of atomic ratio of Si to N in 1100-5000 A silicon nitride films on Si and GaAs. The conventional α-Rutherford backscattering spectrometry is suitable for the analysis of films on Si; it is rather inadequate for films on GaAs due to higher background from the substrate. It is shown that these films can be analysed by 14 N(α,α) 14 N scattering with 3.5 MeV α-particles. Proton elastic scattering with enhanced cross sections for 28 Si(p,p) 28 Si and 14 N(p,p) 14 N scatterings, is also suitable for analysing films on GaAs. However, the analysis of films on Si by this technique is difficult due to interferences between the signals of Si from the film and the substrate. In addition, the hydrogen content in films is determined by 1 H( 19 F,αγ) 16 O nuclear reaction analysis using the resonance at 6.4 MeV. The combination of backscattering spectrometry with nuclear reaction analysis provides compositional analysis of ternary Si 1-(x+y) N x H y films

  16. Surface study of organopalladium molecules on S-terminated GaAs

    International Nuclear Information System (INIS)

    Konishi, Tomoya; Toujyou, Takashi; Ishikawa, Takuma; Teraoka, Teruki; Ueta, Yukiko; Kihara, Yoshifumi; Moritoki, Hideji; Tono, Tatsuo; Musashi, Mio; Tada, Takashi; Tsukamoto, Shiro; Nishiwaki, Nagatoshi; Fujikawa, Seiji; Takahasi, Masamitu; Bell, Gavin; Shimoda, Masahiko

    2011-01-01

    Organopalladium species ({Pd}) immobilized on an S-terminated GaAs substrate (S/GaAs) effectively catalyzes C-C bond formation in the Mizoroki-Heck reaction with cycle durability. However, the immobilizing mechanism of {Pd} is unknown. In this study, we deposited Pd(OCOCH 3 ) 2 on S/GaAs in two different methods, namely dry-physical vapor-deposition and wetchemical deposition, and compared the catalytic activities in the Mizoroki-Heck reaction. Also, S-termination and {Pd}-immobilization on GaAs grains were performed by the wet-chemical method to monitor the change in the surface chemical structure during the preparation process with diffuse reflectance Fourier transform infrared spectroscopy (FT-IR). FT-IR measurements implied that the immobilization of catalytic active {Pd} was related to the OH groups on the S-terminated surface. {Pd}-S/GaAs prepared dryphysically showed poor catalytic activity, because {Pd} was not immobilized under absence of OH groups. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Transfer of Graphene Layers Grown on SiC Wafers to Other Substrates and Their Integration into Field Effect Transistors

    Science.gov (United States)

    Unarunotai, Sakulsuk; Murata, Yuya; Chialvo, Cesar; Kim, Hoon-Sik; MacLaren, Scott; Mason, Nadya; Petrov, Ivan; Rogers, John

    2010-03-01

    An approach to produce graphene films by epitaxial growth on silicon carbide substrate is promising, but its current implementation requires the use of SiC as the device substrate. We present a simple method for transferring epitaxial sheets of graphene on SiC to other substrates. The graphene was grown on the (0001) face of 6H-SiC by thermal annealing in a hydrogen atmosphere. Transfer was accomplished using a peeling process with a bilayer film of Gold/polyimide, to yield graphene with square millimeters of coverage on the target substrate. Back gated field-effect transistors fabricated on oxidized silicon substrates with Cr/Au as source-drain electrodes exhibited ambipolar characteristics with hole mobilities of ˜100 cm^2/V-s, and negligible influence of resistance at the contacts. This work was supported by the U.S. DOE, under Award No. DE-FG02-07ER46471, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  18. Inhomogeneity in barrier height at graphene/Si (GaAs) Schottky junctions

    OpenAIRE

    Tomer, D.; Rajput, S.; Hudy, L. J.; Li, C. H.; Li, L.

    2015-01-01

    Graphene interfaced with a semiconductor forms a Schottky junction with rectifying properties, however, fluctuations in the Schottky barrier height are often observed. In this work, Schottky junctions are fabricated by transferring chemical vapor deposited monolayer graphene onto n-type Si and GaAs substrates. Temperature dependence of the barrier height and ideality factor are obtained by current-voltage measurements between 215 and 350 K. An increase in the zero bias barrier height and decr...

  19. Structural characterization of AgGaTe{sub 2} layers grown on a- and c-sapphire substrates by a closed space sublimation method

    Energy Technology Data Exchange (ETDEWEB)

    Uruno, Aya; Usui, Ayaka [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2014-07-15

    AgGaTe{sub 2} layers were grown on a- and c-plane sapphire substrates by a closed space sublimation method with varying the source temperature. Grown films were evaluated by θ -2θ and pole figure measurements of X-ray diffraction. AgGaTe{sub 2} layers were grown to have strong preference for the (103) orientation. However, it was cleared the Ag{sub 5}Te{sub 3} was formed along with the AgGaTe{sub 2} when the layer was grown on c-plane sapphire. The orientation of the film was analyzed by using the pole figure, and resulted in AgGaTe{sub 2} without Ag{sub 5}Te{sub 3} layers could be grown on a-plane sapphire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  1. Luminescence properties of ZnO layers grown on Si-on-insulator substrates

    International Nuclear Information System (INIS)

    Kumar, Bhupendra; Gong, Hao; Vicknesh, S.; Chua, S. J.; Tripathy, S.

    2006-01-01

    The authors report on the photoluminescence properties of polycrystalline ZnO thin films grown on compliant silicon-on-insulator (SOI) substrates by radio frequency magnetron sputtering. The ZnO thin films on SOI were characterized by micro-Raman and photoluminescence (PL) spectroscopy. The observation of E 2 high optical phonon mode near 438 cm -1 in the Raman spectra of the ZnO samples represents the wurtzite crystal structure. Apart from the near-band-edge free exciton (FX) transition around 3.35 eV at 77 K, the PL spectra of such ZnO films also showed a strong defect-induced violet emission peak in the range of 3.05-3.09 eV. Realization of such ZnO layers on SOI would be useful for heterointegration with SOI-based microelectronics and microelectromechanical systems

  2. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  3. Growth initiation processes for GaAs and AlGaAs in CBE

    International Nuclear Information System (INIS)

    Hill, D.

    2002-01-01

    The aim of this work was to investigate the nature of the transient period found in reflectance anisotropy (RA) measurements of high III:V BEP ratio growth of gallium arsenide (GaAs) and aluminium gallium arsenide (AIGaAs) by chemical beam epitaxy (CBE). Growth at substrate temperatures between 510-610 deg C with arsine (AsH 3 ) thermally cracked to As 2 , triethylgallium (TEGa), trimethylgallium (TMGa), trimethylaminealane (TMAA) and diethylmethylaminealane (DEMAA) at high III:V BEP ratios reveals that the transition from 'pre-growth' to 'in-growth' reconstructions is not as straightforward as that for lower III:V BEP ratio growth. Instead of the reconstruction changing directly to the usual 2x4 'in-growth' reconstruction over 1-2 seconds it passes through several other transient reconstructions over a period of up to and greater than 60s, firstly the Ga rich 4x2 then several other 2x4 As-stable reconstructions. It has been shown that at the III:V BEP ratios and substrate temperatures used in this work growth is taking place in a transitional area of the phase diagram for 'in-growth' reconstructions. At higher III:V BEP ratio growth the transition is believed to be direct, from the 'pre-growth' reconstruction to a 4x2 Ga-rich 'in-growth' reconstruction. The surfaces grown with any of the precursors are initially saturated with Ga and then as the As coverage gradually increases the reconstructions change until enough As is present on the surface for usual 2x4 'in-growth' reconstruction to stabilise. However unlike for TMGa, GaAs growth with TEGa proceeds by a non-self limiting growth mode and TEGa rapidly dissociates. The result of this is that TEGa decomposes on top of other TEGa molecules, or their fragments and due to the high flux rate this leads to a 'stacking-up' of Ga on the surface. The presence of excess Ga provides a rapid increase of surface reflectance and then its subsequent decay as the excess Ga is incorporated by the increasing As content of the

  4. Modulation of low-frequency oscillations in GaAs MESFETs' channel current by sidegating bias

    Institute of Scientific and Technical Information of China (English)

    DING Yong; LU Shengli; ZHAO Fuchuan

    2005-01-01

    Low-frequency oscillations in channel current are usually observed when measuring the GaAs MESFET's output characteristics. This paper studies the oscillations by testing the MESFET's output characteristics under different sidegate bias conditions. It is shown that the low-frequency oscillations of channel current are directly related to the sidegate bias. In other words, the sidegate bias can modulate the oscillations. Whether the sidegate bias varies positively or negatively, there will inevitably be a threshold voltage after which the low-frequency oscillations disappear. The observation is strongly dependent upon the peculiarities of channel-substrate (C-S) junction and impact ionization of traps-EL2 under high field. This conclusion is of particular pertinence to the design of low-noise GaAs IC's.

  5. Time-resolved photoluminescence of Ga(NAsP) multiple quantum wells grown on Si substrate: Effects of rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Woscholski, R., E-mail: ronja.woscholski@physik.uni-marburg.de; Shakfa, M.K.; Gies, S.; Wiemer, M.; Rahimi-Iman, A.; Zimprich, M.; Reinhard, S.; Jandieri, K.; Baranovskii, S.D.; Heimbrodt, W.; Volz, K.; Stolz, W.; Koch, M.

    2016-08-31

    Time-resolved photoluminescence (TR-PL) spectroscopy has been used to study the impact of rapid thermal annealing (RTA) on the optical properties and carrier dynamics in Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates. TR-PL measurements reveal an enhancement in the PL efficiency when the RTA temperature is increased up to 925 °C. Then, the PL intensity dramatically decreases with the annealing temperature. This behavior is explained by the variation of the disorder degree in the studied structures. The analysis of the low-temperature emission-energy-dependent PL decay time enables us to characterize the disorder in the Ga(NAsP) MQWHs. The theoretically extracted energy-scales of disorder confirm the experimental observations. - Highlights: • Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates • Impact of rapid thermal annealing on the optical properties and carrier dynamics • Time resolved photoluminescence spectroscopy was applied. • PL transients became continuously faster with increasing annealing temperature. • Enhancement in the PL efficiency with increasing annealing temperature up to 925 °C.

  6. Time-resolved photoluminescence of Ga(NAsP) multiple quantum wells grown on Si substrate: Effects of rapid thermal annealing

    International Nuclear Information System (INIS)

    Woscholski, R.; Shakfa, M.K.; Gies, S.; Wiemer, M.; Rahimi-Iman, A.; Zimprich, M.; Reinhard, S.; Jandieri, K.; Baranovskii, S.D.; Heimbrodt, W.; Volz, K.; Stolz, W.; Koch, M.

    2016-01-01

    Time-resolved photoluminescence (TR-PL) spectroscopy has been used to study the impact of rapid thermal annealing (RTA) on the optical properties and carrier dynamics in Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates. TR-PL measurements reveal an enhancement in the PL efficiency when the RTA temperature is increased up to 925 °C. Then, the PL intensity dramatically decreases with the annealing temperature. This behavior is explained by the variation of the disorder degree in the studied structures. The analysis of the low-temperature emission-energy-dependent PL decay time enables us to characterize the disorder in the Ga(NAsP) MQWHs. The theoretically extracted energy-scales of disorder confirm the experimental observations. - Highlights: • Ga(NAsP) multiple quantum well heterostructures (MQWHs) grown on silicon substrates • Impact of rapid thermal annealing on the optical properties and carrier dynamics • Time resolved photoluminescence spectroscopy was applied. • PL transients became continuously faster with increasing annealing temperature. • Enhancement in the PL efficiency with increasing annealing temperature up to 925 °C

  7. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  8. Long-Wavelength InAs/GaAs Quantum-Dot Light Emitting Sources Monolithically Grown on Si Substrate

    Directory of Open Access Journals (Sweden)

    Siming Chen

    2015-06-01

    Full Text Available Direct integration of III–V light emitting sources on Si substrates has attracted significant interest for addressing the growing limitations for Si-based electronics and allowing the realization of complex optoelectronics circuits. However, the high density of threading dislocations introduced by large lattice mismatch and incompatible thermal expansion coefficient between III–V materials and Si substrates have fundamentally limited monolithic epitaxy of III–V devices on Si substrates. Here, by using the InAlAs/GaAs strained layer superlattices (SLSs as dislocation filter layers (DFLs to reduce the density of threading dislocations. We firstly demonstrate a Si-based 1.3 µm InAs/GaAs quantum dot (QD laser that lases up to 111 °C, with a low threshold current density of 200 A/cm2 and high output power over 100 mW at room temperature. We then demonstrate the operation of InAs/GaAs QD superluminescent light emitting diodes (SLDs monolithically grown on Si substrates. The fabricated two-section SLD exhibits a 3 dB linewidth of 114 nm, centered at ~1255 nm with a corresponding output power of 2.6 mW at room temperature. Our work complements hybrid integration using wafer bonding and represents a significant milestone for direct monolithic integration of III–V light emitters on Si substrates.

  9. Flexible indium zinc oxide/Ag/indium zinc oxide multilayer electrode grown on polyethersulfone substrate by cost-efficient roll-to-roll sputtering for flexible organic photovoltaics

    International Nuclear Information System (INIS)

    Park, Yong-Seok; Kim, Han-Ki

    2010-01-01

    The authors describe the preparation and characteristics of flexible indium zinc oxide (IZO)-Ag-IZO multilayer electrodes grown on flexible polyethersulfone (PES) substrates using a roll-to-roll sputtering system for use in flexible organic photovoltaics. By the continuous roll-to-roll sputtering of the bottom IZO, Ag, and top IZO layers at room temperature, they were able to fabricate a high quality IZO-Ag-IZO multilayer electrode with a sheet resistance of 6.15 ε/square, optical transmittance of 87.4%, and figure of merit value of 42.03x10 -3 Ω -1 on the PES substrate. In addition, the IZO-Ag-IZO multilayer electrode exhibited superior flexibility to the roll-to-roll sputter grown single ITO electrode due to the existence of a ductile Ag layer between the IZO layers and stable amorphous structure of the IZO film. Furthermore, the flexible organic solar cells (OSCs) fabricated on the roll-to-roll sputter grown IZO-Ag-IZO electrode showed higher power efficiency (3.51%) than the OSCs fabricated on the roll-to-roll sputter grown single ITO electrode (2.67%).

  10. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  11. Growth of GaAs “nano ice cream cones” by dual wavelength pulsed laser ablation

    Science.gov (United States)

    Schamp, C. T.; Jesser, W. A.; Shivaram, B. S.

    2007-05-01

    Harmonic generation crystals inherently offer the possibility of using multiple wavelengths of light in a single laser pulse. In the present experiment, the fundamental (1064 nm) and second harmonic (532 nm) wavelengths from an Nd:YAG laser are focused together on GaAs and GaSb targets for ablation. Incident energy densities up to about 45 J/cm 2 at 10 Hz with substrate temperatures between 25 and 600 °C for durations of about 60 s have been used in an ambient gas pressure of about 10 -6 Torr. The ablated material was collected on electron-transparent amorphous carbon films for TEM analysis. Apart from a high density of isolated nanocrystals, the most common morphology observed consists of a crystalline GaAs cone-like structure in contact with a sphere of liquid Ga, resembling an "ice cream cone", typically 50-100 nm in length. For all of the heterostuctures of this type, the liquid/solid/vacuum triple junction is found to correspond to the widest point on the cone. These heterostructures likely form by preferential evaporation of As from molten GaAs drops ablated from the target. The resulting morphology minimizes the interfacial and surface energies of the liquid Ga and solid GaAs.

  12. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  13. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Surface-related reduction of photoluminescence in GaAs quantum wires and its recovery by new passivation

    International Nuclear Information System (INIS)

    Shiozaki, Nanako; Anantathanasarn, Sanguan; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    Etched GaAs quantum wires (QWRs) and selectively grown (SG) QWRs were fabricated, and dependence of their photoluminescence (PL) properties on QWR width (W) and QWR distance to surface (d) were investigated. PL intensity greatly reduced with reduction of W and d, due to non-radiative recombination through surface states. Surface passivation by growing a Si interface control layer (Si-ICL) on group III-terminated surfaces greatly improved PL properties

  15. Surface properties and field emission characteristics of chemical vapor deposition diamond grown on Fe/Si substrates

    International Nuclear Information System (INIS)

    Hirakuri, Kenji; Yokoyama, Takahiro; Enomoto, Hirofumi; Mutsukura, Nobuki; Friedbacher, Gernot

    2001-01-01

    Electron field emission characteristics of diamond grains fabricated on iron dot-patterned silicon (Fe/Si) substrates at different methane concentrations have been investigated. The characteristics of the samples could be improved by control of the methane concentration during diamond fabrication. Etching treatment of the as-grown diamond has enhanced the emission properties both with respect to current and threshold voltage. In order to study the influence of etching effects on the field emission characteristics, the respective surfaces were studied by Raman spectroscopy, Auger electron spectroscopy, and electron spectroscopy for chemical analysis (ESCA). ESCA revealed intensive graphite and FeO x peaks on the sample surface grown at high methane concentration. For the etched samples, the peaks of diamond and silicon carbide were observed, and the peaks of nondiamond carbon disappeared. The experimental results show that the etching process removes graphitic and nondiamond carbon components. [copyright] 2001 American Institute of Physics

  16. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  17. Characterization of InP/GaAs/Si structures grown by atmospheric pressure metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pearton, S.J.; Short, K.T.; Macrander, A.T.; Abernathy, C.R.; Mazzi, V.P.; Haegel, N.M.; Al-Jassim, M.M.; Vernon, S.M.; Haven, V.E.

    1989-01-01

    The thickness dependence of material quality of InP-GaAs-Si structures grown by atmospheric pressure metalorganic chemical vapor deposition was investigated. The InP thickness was varied from 1--4 μm, and that of the GaAs from 0.1--4 μm. For a given thickness of InP, its ion channeling yield and x-ray peak width were essentially independent of the GaAs layer thickness. The InP x-ray peak widths were typically 400--440 arcsec for 4-μm-thick layers grown on GaAs. The GaAs x-ray widths in turn varied from 320--1000 arcsec for layer thicknesses from 0.1--4 μm. Cross-sectional transmission electron microscopy showed high defect densities at both the InP-GaAs and GaAs-Si interfaces. In 4-μm-thick InP layers the average threading dislocation density was in the range (3--8) x 10 8 cm -2 with a stacking fault density within the range (0.4--2) x 10 8 cm 2 . The He + ion channeling yield near the InP surface was similar to that of bulk InP (chi/sub min/∼4%), but rose rapidly toward the InP-GaAs heterointerface where it was typically around 50% for 1-μm-thick InP layers. All samples showed room-temperature luminescence, while at 4.4 K, exciton-related transitions, whose intensity was a function of the InP thickness, were observed

  18. Quantum efficiency of InAs/InP nanowire heterostructures grown on silicon substrates

    International Nuclear Information System (INIS)

    Anufriev, Roman; Chauvin, Nicolas; Bru-Chevallier, Catherine; Khmissi, Hammadi; Naji, Khalid; Gendry, Michel; Patriarche, Gilles

    2013-01-01

    Photoluminescence (PL) quantum efficiency (QE) is experimentally investigated, using an integrating sphere, as a function of excitation power on both InAs/InP quantum rod nanowires (QRod-NWs) and radial quantum well nanowires (QWell-NWs) grown on silicon substrates. The measured values of the QE are compared with those of the planar analogues such as quantum dash and quantum well samples, and found to be comparable for the quantum well structures at relatively low power density. Further studies reveal that the values of QE of the QRod-NWs and QWell-NWs are limited by the low quality of the InP NW structure and the quality of radial quantum well, respectively. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  20. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  1. Flexible Al-doped ZnO films grown on PET substrates using linear facing target sputtering for flexible OLEDs

    International Nuclear Information System (INIS)

    Jeong, Jin-A; Shin, Hyun-Su; Choi, Kwang-Hyuk; Kim, Han-Ki

    2010-01-01

    We report the characteristics of flexible Al-doped zinc oxide (AZO) films prepared by a plasma damage-free linear facing target sputtering (LFTS) system on PET substrates for use as a flexible transparent conducting electrode in flexible organic light-emitting diodes (OLEDs). The electrical, optical and structural properties of LFTS-grown flexible AZO electrodes were investigated as a function of dc power. We obtained a flexible AZO film with a sheet resistance of 39 Ω/□ and an average transmittance of 84.86% in the visible range although it was sputtered at room temperature without activation of the Al dopant. Due to the effective confinement of the high-density plasma between the facing AZO targets, the AZO film was deposited on the PET substrate without plasma damage and substrate heating caused by bombardment of energy particles. Moreover, the flexible OLED fabricated on the AZO/PET substrate showed performance similar to the OLED fabricated on a ITO/PET substrate in spite of a lower work function. This indicates that LFTS is a promising plasma damage-free and low-temperature sputtering technique for deposition of flexible and indium-free AZO electrodes for use in cost-efficient flexible OLEDs.

  2. Electrochemical formation of GaAs honeycomb structure using a fluoride-containing (NH{sub 4}){sub 2}SO{sub 4} solution

    Energy Technology Data Exchange (ETDEWEB)

    Morishita, Yoshitaka, E-mail: morisita@cc.tuat.ac.jp; Yamamoto, Hitoshi; Yokobori, Kuniyuki

    2014-04-01

    GaAs substrates were anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with various fluoride concentrations. Scanning electron microscope (SEM) observation showed that highly regular honeycomb hollows were formed on the substrates anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with a small amount of HF concentration. The regularity of hollows decreased with the increase of HF concentration. The average diameter of hollows increased with increasing anodizing voltage. The regularity of hollow diameters increased with the increase of anodizing time, irrespective of the anodizing voltage. Cross-sectional SEM image showed that the average depth of regular hollows was about 5 nm. In addition to the peak in the region of fundamental adsorption of GaAs with the peak wavelength at about 870 nm, photoluminescence spectra of samples anodized in the (NH{sub 4}){sub 2}SO{sub 4} electrolyte with HF concentration of 0.5 ml showed several peaks at about 610, 635, 670 and 720 nm. - Highlights: • We report on the electrochemical formation of GaAs honeycomb structure. • High regular hollows were formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • A thin porous layer was formed by anodization in HF-containing (NH{sub 4}){sub 2}SO{sub 4} solution. • This process is useful for preparing patterned substrate with a thin porous layer.

  3. Far-infrared cw difference-frequency generation using vertically integrated and planar low temperature grown GaAs photomixers: application to H2S rotational spectrum up to 3 THz

    Science.gov (United States)

    Mouret, G.; Matton, S.; Bocquet, R.; Hindle, F.; Peytavit, E.; Lampin, J. F.; Lippens, D.

    2004-10-01

    The generation of continuous coherent THz radiation by mixing two cw Ti:Sa laser beams with a well-controlled frequency separation for a new scheme of vertically integrated low temperature grown GaAs (LTG-GaAs) spiral photomixer is reported. For this new photomixer device used in THz emission, the LTG-GaAs active layer is sandwiched between the two parallel metal plates of a high-speed photodetector loaded by a broadband spiral antenna. We have exploited the advantage of a higher delivered power in the low part of the spectrum (<2000 GHz), while a low RC time constant planar interdigitated detector was used at the upper frequency. The performances of the spectroscopic setup in terms of spectral resolution (5 MHz), tunability and frequency capability are assessed by measurements of the pure rotational spectra of hydrogen sulfide (H2S) up to 3000 GHz.

  4. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  5. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  6. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. MOCVD growth of CdTe and HgTe on GaAs in a vertical, high-speed, rotating-disc reactor

    International Nuclear Information System (INIS)

    Tompa, G.S.; Nelson, C.R.; Reinert, P.D.; Saracino, M.A.; Terrill, L.A.; Colter, P.C.

    1989-01-01

    The metalorganic chemical vapor deposition (MOCVD) growth of CdTe and HgTe on GaAs (111) and (100) substrates in a vertical, high-speed, rotating-disc reactor was investigated. A range of total reactor pressure, carrier gas flow rate, chemical concentrations, deposition temperature, and rotation rate have been investigated in an attempt to optimize growth conditions. Diisopropyltelluride (DIPTe) and Dimethylcadmium (DMCd) were used as growth precursors. Thickness uniformity varies less than +/- 1.5% over 50 mm diameter wafers. Films having FWHM X-ray rocking curves less than 90 arcsec were obtained on GaAs (111) substrates. The films have excellent surface morphology, exhibiting less than 5 x 10 4 cm - 2 orange peel dents which are much-lt 1 μm in size. An elemental mercury source was added to the growth system. Initial results for the growth of HgTe and HgCdTe are discussed

  8. Stretchable GaAs photovoltaics with designs that enable high areal coverage

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jongho; Yoon, Jongseung; Park, Sang-Il [Department of Materials Science and Engineering, Frederick Seitz Materials Research Laboratory, University of Illinois, Urbana-Champaign, IL (United States); Wu, Jian [Department of Civil and Environmental Engineering, Northwestern University, Evanston, IL (United States); Shi, Mingxing; Liu, Zhuangjian [Institute of High Performance Computing, Singapore (Singapore); Li, Ming [Department of Civil and Environmental Engineering, Northwestern University, Evanston, IL (United States); Department of Engineering Mechanics, Dalian University of Technology, Dalian (China); Huang, Yonggang [Departments of Civil and Environmental Engineering and Mechanical Engineering, Northwestern University, Evanston, IL (United States); Rogers, John A. [Department of Materials Science and Engineering, Chemistry, Beckman Institute for Advanced Science and Technology, University of Illinois, Urbana-Champaign, IL (United States)

    2011-02-22

    Strategies are presented for achieving, simultaneously, both large areal coverage and high stretchability by using elastomeric substrates with surface relief in geometries that confine strains at the locations of the interconnections, and away from the devices. The studies involve a combination of theory and experiment to reveal the essential mechanics, and include demonstrations of the ideas in stretchable solar modules that use ultrathin, single junction GaAs solar cells. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Studying physical properties of CuInS2 absorber layers grown by spin coating method on different kinds of substrates

    Science.gov (United States)

    Amerioun, M. H.; Ghazi, M. E.; Izadifard, M.

    2018-03-01

    In this work, first the CuInS2 (CIS2) layers are deposited on Aluminum and polyethylene terephthalate (PET) as flexible substrates, and on glass and soda lime glass (SLG) as rigid substrates by the sol-gel method. Then the samples are analyzed by x-ray diffractomery (XRD) and atomic force microscope (AFM) to investigate the crystal structures and surface roughness of the samples. The I-V curve measurements and Seebeck effect setup are used to measure the electrical properties of the samples. The XRD data obtained for the CIS2 layers show that all the prepared samples have a single phase with a preferred orientation that is substrate-dependent. The samples grown on the rigid substrates had higher crystallite sizes. The results obtained for the optical measurements indicate the dependence of the band gap energy on the substrate type. The measured Seebeck coefficient showed that the carriers were of p-type in all the samples. According to the AFM images, the surface roughness also varied in the CIS2 layers with different substrates. In this regard, the type of substrate could be an important parameter for the final performance of the fabricated CIS2 cells.

  10. Thickness dependence of microstructures in La0.9Sr0.1MnO3 thin films grown on exact-cut and miscut SrTiO3 substrates

    International Nuclear Information System (INIS)

    Zhang Hongdi; An Yukai; Mai Zhenhong; Lu Huibin; Zhao Kun; Pan Guoqiang; Li Ruipeng; Fan Rong

    2008-01-01

    The thickness dependence of microstructures of La 0.9 Sr 0.1 MnO 3 (LSMO) thin films grown on exact-cut and miscut SrTiO 3 (STO) substrates, respectively, was investigated by high-angle X-ray diffraction (HXRD), X-ray small-angle reflection (XSAR), X-ray reciprocal space mapping and atomic force microscopy (AFM). Results show that the LSMO films are in pseudocubic structure and are highly epitaxial [0 0 1]-oriented growth on the (0 0 1) STO substrates. The crystalline quality of the LSMO film is improved with thickness. The epitaxial relationship between the LSMO films and the STO substrates is [0 0 1] LSMO -parallel [0 0 1] EXACT-STO , and the LSMO films have a slight mosaic structure along the q x direction for the samples grown on the exact-cut STO substrates. However, an oriented angle of about 0.24 deg. exists between [0 0 1] LSMO and [0 0 1] MISCUT-STO , and the LSMO films have a mosaic structure along the q z direction for that grown on the miscut STO substrates. The mosaic structure of both groups of the samples tends to reduce with thickness. The diffraction intensity of the (0 0 4) peaks increases with thickness of the LSMO film. The XSAR and AFM observations show that for both groups, the interface is sharp and the surface is rather smooth. The mechanism was discussed briefly

  11. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    International Nuclear Information System (INIS)

    Shimomura, K.; Kamiya, I.

    2015-01-01

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers

  12. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, K., E-mail: sd12502@toyota-ti.ac.jp; Kamiya, I., E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan)

    2015-02-23

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers.

  13. ALD grown nanostructured ZnO thin films: Effect of substrate temperature on thickness and energy band gap

    Directory of Open Access Journals (Sweden)

    Javed Iqbal

    2016-10-01

    Full Text Available Nanostructured ZnO thin films with high transparency have been grown on glass substrate by atomic layer deposition at various temperatures ranging from 100 °C to 300 °C. Efforts have been made to observe the effect of substrate temperature on the thickness of the deposited thin films and its consequences on the energy band gap. A remarkably high growth rate of 0.56 nm per cycle at a substrate temperature of 200 °C for ZnO thin films have been achieved. This is the maximum growth rate for ALD deposited ZnO thin films ever reported so far to the best of our knowledge. The studies of field emission scanning electron microscopy and X-ray diffractometry patterns confirm the deposition of uniform and high quality nanosturtured ZnO thin films which have a polycrystalline nature with preferential orientation along (100 plane. The thickness of the films deposited at different substrate temperatures was measured by ellipsometry and surface profiling system while the UV–visible and photoluminescence spectroscopy studies have been used to evaluate the optical properties of the respective thin films. It has been observed that the thickness of the thin film depends on the substrate temperatures which ultimately affect the optical and structural parameters of the thin films.

  14. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  15. 8-band and 14-band kp modeling of electronic band structure and material gain in Ga(In)AsBi quantum wells grown on GaAs and InP substrates

    Energy Technology Data Exchange (ETDEWEB)

    Gladysiewicz, M.; Wartak, M. S. [Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw (Poland); Department of Physics and Computer Science, Wilfrid Laurier University, Waterloo, Ontario N2L 3C5 (Canada); Kudrawiec, R. [Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wroclaw (Poland)

    2015-08-07

    The electronic band structure and material gain have been calculated for GaAsBi/GaAs quantum wells (QWs) with various bismuth concentrations (Bi ≤ 15%) within the 8-band and 14-band kp models. The 14-band kp model was obtained by extending the standard 8-band kp Hamiltonian by the valence band anticrossing (VBAC) Hamiltonian, which is widely used to describe Bi-related changes in the electronic band structure of dilute bismides. It has been shown that in the range of low carrier concentrations n < 5 × 10{sup 18 }cm{sup −3}, material gain spectra calculated within 8- and 14-band kp Hamiltonians are similar. It means that the 8-band kp model can be used to calculate material gain in dilute bismides QWs. Therefore, it can be applied to analyze QWs containing new dilute bismides for which the VBAC parameters are unknown. Thus, the energy gap and electron effective mass for Bi-containing materials are used instead of VBAC parameters. The electronic band structure and material gain have been calculated for 8 nm wide GaInAsBi QWs on GaAs and InP substrates with various compositions. In these QWs, Bi concentration was varied from 0% to 5% and indium concentration was tuned in order to keep the same compressive strain (ε = 2%) in QW region. For GaInAsBi/GaAs QW with 5% Bi, gain peak was determined to be at about 1.5 μm. It means that it can be possible to achieve emission at telecommunication windows (i.e., 1.3 μm and 1.55 μm) for GaAs-based lasers containing GaInAsBi/GaAs QWs. For GaInAsBi/Ga{sub 0.47}In{sub 0.53}As/InP QWs with 5% Bi, gain peak is predicted to be at about 4.0 μm, i.e., at the wavelengths that are not available in current InP-based lasers.

  16. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  17. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  18. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  19. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  20. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  1. Optical orientation of Mn{sup 2+} ions in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Langer, Lukas; Bayer, Manfred [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Akimov, Ilya A.; Yakovlev, Dmitri R. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation); Dzhioev, Roslan I.; Korenev, Vladimir L.; Kusrayev, Yuri G.; Sapega, Victor F. [A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2011-07-01

    We report on optical orientation of Mn{sup 2+} ions in bulk GaAs under application of weak longitudinal magnetic fields (B {<=}150 mT). The studied samples were grown by liquid phase epitaxy and Czochralski method and were doped with a low Mn acceptor concentration of 8 x 10{sup 18} cm{sup -3}. Time resolved measurements of circular polarization for donor-acceptor photoluminescence in Faraday geometry reveal nontrivial spin dynamics of donor localized electrons. Initially the degree of polarization of the electron spins is 40%. It then decays within some tens of ns to reach a plateau. The plateau is absent at B=0 T and saturates at B=150 mT reaching the value of 35%. It's sign changes with the helicity of incident light. It follows that the s-d exchange interaction with optically oriented electrons induces a steady state non-equilibrium polarization of the Mn{sup 2+} ions. The latter maintain their spin and return part of the polarization back to the electron spin system, resulting in the plateau. This provides a long-lived electron spin memory in GaAs doped with Mn. The dynamical polarization of ionized Mn acceptors was also directly monitored using spin flip Raman scattering spectroscopy, in agreement with time-resolved data.

  2. Monolithically integrated InGaAs/GaAs/AlGaAs quantum well laser grown by MOCVD on exact Ge/Si(001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Aleshkin, V. Ya.; Dubinov, A. A.; Krasilnik, Z. F.; Kudryavtsev, K. E.; Novikov, A. V.; Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Baidus, N. V.; Samartsev, I. V. [Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Fefelov, A. G. [FGUE “Salut,” 603950 Nizhny Novgorod (Russian Federation); Nekorkin, S. M. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation); Physical-Technical Research Institute of Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Pavlov, D. A.; Sushkov, A. A. [Lobachevsky State University of Nizhny Novgorod, 603950 Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yablonskiy, A. N.; Yunin, P. A. [Institute for Physics of Microstructures of the Russian Academy of Sciences, 603950 Nizhny Novgorod (Russian Federation)

    2016-08-08

    We report on realization of the InGaAs/GaAs/AlGaAs quantum well laser grown by metallorganic chemical vapor deposition on a virtual Ge-on-Si(001) substrate. The Ge buffer layer has been grown on a nominal Si(001) substrate by solid-source molecular beam epitaxy. Such Ge buffer possessed rather good crystalline quality and smooth surface and so provided the subsequent growth of the high-quality A{sub 3}B{sub 5} laser structure. The laser operation has been demonstrated under electrical pumping at 77 K in the continuous wave mode and at room temperature in the pulsed mode. The emission wavelengths of 941 nm and 992 nm have been obtained at 77 K and 300 K, respectively. The corresponding threshold current densities were estimated as 463 A/cm{sup 2} at 77 K and 5.5 kA/cm{sup 2} at 300 K.

  3. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  4. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  5. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  6. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  7. Air-void embedded GaN-based light-emitting diodes grown on laser drilling patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hao; Li, Yufeng; Wang, Shuai; Feng, Lungang; Xiong, Han; Yun, Feng, E-mail: fyun2010@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of Ministry of Education and Shaanxi Provincial Key Laboratory of Photonics and Information Technology, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Solid-State Lighting Engineering Research Center, Xi’an Jiaotong University, Xi’an, Shaanxi 710049 (China); Su, Xilin [Shaanxi Supernova Lighting Technology Co., Ltd., Xi’an, Shaanxi 710075 (China)

    2016-07-15

    Air-void structure was introduced in GaN-based blue light-emitting diodes (LED) with one-step growth on periodic laser drilling patterned sapphire substrate, which free of any photolithography or wet/dry etching process. The influence of filling factors (FF) of air-void on crystal quality and optical performance were investigate. Transmission electron microscopy images and micro-Raman spectroscopy indicated that the dislocation was bended and the partially compressed strain was released. When FF was 55.43%, compared with the LED structure grown on flat sapphire substrate, the incorporation of air-void was observed to reduce the compressed stress of ∼20% and the luminance intensity has improved by 128%. Together with the simulated reflection intensity enhancement by finite difference time-domain (FDTD) method, we attribute the enhanced optical performance to the combined contribution of strong back-side light reflection of air-void and better GaN epitaxial quality. This approach provides a simple replacement to the conventional air-void embedded LED process.

  8. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    Science.gov (United States)

    2013-10-11

    dispersed by a 1800 grooves/mm 0.85m double-grating spectrometer and detected by a UV -sensitive GaAs photomultiplier coupled to a computer-controlled... UV excitation at RT was attacked by the etching solution (which is indicative of the N-polar surface), as depicted in Figs. 2(a) and 2(b). This result...thorough spectrometric and optical spectro - scopic studies in combination with selective etching carried out on samples grown by the moderate-pressure

  9. Characterisation of InAs-based epilayers by FTIR spectroscopy

    International Nuclear Information System (INIS)

    Baisitse, T.R.; Forbes, A.; Katumba, G.; Botha, J.R.; Engelbrecht, J.A.A.

    2008-01-01

    In this paper, infrared reflectance spectroscopy was employed to extract information on the optical and electrical properties of metal organic vapour phase epitaxial (MOVPE) grown InAs and InAsSb epilayers. These epitaxial layers were grown on InAs and GaAs substrates and characterised by infrared reflectance spectroscopy and Hall measurements. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Raman scattering from Ge{sub 1-x}Sn{sub x} (x ≤ 0.14) alloys

    Energy Technology Data Exchange (ETDEWEB)

    Navarro C, H.; Rodriguez, A. G.; Vidal, M. A. [Universidad Autonoma de San Luis Potosi, Coordinacion para la Innovacion y la Aplicacion de la Ciencia y la Tecnologia, Alvaro Obregon No. 64, 78000 San Luis Potosi, S. L. P. (Mexico); Perez Ladron de G, H. [Universidad de Guadalajara, Centro Universitario de los Lagos, Av. Enrique Diaz de Leon No. 1144, Col. Paseos de la Montana, 47460 Lagos de Moreno, Jalisco (Mexico)

    2015-07-01

    Ge{sub 1-x}Sn{sub x} alloys with x concentration up to 0.14 were grown on Ge(001) and GaAs(001) substrates in a conventional R. F. Magnetron Sputtering system at low substrate temperatures. The structural characteristics of these alloys were studied for different Sn concentrations between 1 to 14% by high resolution X-ray diffraction, and Raman spectroscopy. Contrasting characteristics of the grown layers are observed if the Sn concentration is larger or smaller than 6% as revealed by X-ray diffraction and Raman spectroscopy. (Author)

  11. Carbon Nanotube Microarrays Grown on Nanoflake Substrates

    Science.gov (United States)

    Schmidt, Howard K.; Hauge, Robert H.; Pint, Cary; Pheasant, Sean

    2013-01-01

    This innovation consists of a new composition of matter where single-walled carbon nanotubes (SWNTs) are grown in aligned arrays from nanostructured flakes that are coated in Fe catalyst. This method of growth of aligned SWNTs, which can yield well over 400 percent SWNT mass per unit substrate mass, exceeds current yields for entangled SWNT growth. In addition, processing can be performed with minimal wet etching treatments, leaving aligned SWNTs with superior properties over those that exist in entangled mats. The alignment of the nanotubes is similar to that achieved in vertically aligned nanotubes, which are called "carpets. " Because these flakes are grown in a state where they are airborne in a reactor, these flakes, after growing SWNTs, are termed "flying carpets. " These flakes are created in a roll-to-roll evaporator system, where three subsequent evaporations are performed on a 100-ft (approx. =30-m) roll of Mylar. The first layer is composed of a water-soluble "release layer, " which can be a material such as NaCl. After depositing NaCl, the second layer involves 40 nm of supporting layer material . either Al2O3 or MgO. The thickness of the layer can be tuned to synthesize flakes that are larger or smaller than those obtained with a 40-nm deposition. Finally, the third layer consists of a thin Fe catalyst layer with a thickness of 0.5 nm. The thickness of this layer ultimately determines the diameter of SWNT growth, and a layer that is too thick will result in the growth of multiwalled carbon nanotubes instead of single-wall nanotubes. However, between a thickness of 0.5 nm to 1 nm, single-walled carbon nanotubes are known to be the primary constituent. After this three-layer deposition process, the Mylar is rolled through a bath of water, which allows catalyst-coated flakes to detach from the Mylar. The flakes are then collected and dried. The method described here for making such flakes is analogous to that which is used to make birefringent ink that is

  12. Point defects in dilute nitride III-N-As and III-N-P

    International Nuclear Information System (INIS)

    Chen, W.M.; Buyanova, I.A.; Tu, C.W.; Yonezu, H.

    2006-01-01

    We provide a brief review of our recent results from optically detected magnetic resonance studies of grown-in non-radiative defects in two most important dilute nitride systems-Ga(In)NAs grown on GaAs substrates and Ga(Al,In)NP grown on Si and GaP substrates. These results have led to the identification of defect complexes in the alloys, involving intrinsic defects such as As Ga antisites and Ga i self-interstitials. They have also shed light on formation mechanisms of the defects and on their role in non-radiative carrier recombination that is harmful to the performance of potential optoelectronic and photonic devices based on these dilute nitrides

  13. Magnetic anisotropy and anisotropic magnetoresistance of (Ga,Mn)As Layers on (113)A GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Donhauser, Daniela; Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Rapp, Christoph; Schoch, Wladimir; Sauer, Rolf; Limmer, Wolfgang [Institut fuer Halbleiterphysik, Universitaet Ulm (Germany)

    2009-07-01

    We study the magnetic anisotropy and the anisotropic magnetoresistance of compressively strained (Ga,Mn)As films with various Mn concentrations, grown on (113)A-oriented GaAs substrates. High-resolution x-ray diffraction (HRXRD) studies reveal a monoclinic symmetry of the distorted (113)A layers in agreement with an explicit calculation of the strain tensor. Based on this result, general expressions for the resistivity tensor and the free energy of single-crystalline ferromagnets are derived from a series expansion with respect to the magnetization orientation, including terms up to the fourth order. With these expressions we are able to model the measured angular dependences of our magnetotransport data with the assumption of a single ferromagnetic domain model. In order to quantitatively derive the resistivity and anisotropy parameters the longitudinal and transverse resistivities are experimentally studied for magnetic fields rotated within the (113), (33 anti 2), and (anti 110) plane at various field strengths. It turned out that some of the resistivity parameters significantly depend on the strength of the external magnetic field. Furthermore we found that the layers exhibit a uniaxial anisotropy along the [001] crystallographic axis, which can be theoretically explained based on the explicit form of the strain tensor.

  14. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  15. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  16. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  17. GaAsPN-based PIN solar cells MBE-grown on GaP substrates: toward the III-V/Si tandem solar cell

    Science.gov (United States)

    Da Silva, M.; Almosni, S.; Cornet, C.; Létoublon, A.; Levallois, C.; Rale, P.; Lombez, L.; Guillemoles, J.-F.; Durand, O.

    2015-03-01

    GaAsPN semiconductors are promising material for the elaboration of high efficiencies tandem solar cells on silicon substrates. GaAsPN diluted nitride alloy is studied as the top junction material due to its perfect lattice matching with the Si substrate and its ideal bandgap energy allowing a perfect current matching with the Si bottom cell. We review our recent progress in materials development of the GaAsPN alloy and our recent studies of some of the different building blocks toward the elaboration of a PIN solar cell. A lattice matched (with a GaP(001) substrate, as a first step toward the elaboration on a Si substrate) 1μm-thick GaAsPN alloy has been grown by MBE. After a post-growth annealing step, this alloy displays a strong absorption around 1.8-1.9 eV, and efficient photoluminescence at room temperature suitable for the elaboration of the targeted solar cell top junction. Early stage GaAsPN PIN solar cells prototypes have been grown on GaP (001) substrates, with 2 different absorber thicknesses (1μm and 0.3μm). The external quantum efficiencies and the I-V curves show that carriers have been extracted from the GaAsPN alloy absorbers, with an open-circuit voltage of 1.18 V, while displaying low short circuit currents meaning that the GaAsPN structural properties needs a further optimization. A better carrier extraction has been observed with the absorber displaying the smallest thickness, which is coherent with a low carriers diffusion length in our GaAsPN compound. Considering all the pathways for improvement, the efficiency obtained under AM1.5G is however promising.

  18. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  19. Indium gallium nitride/gallium nitride quantum wells grown on polar and nonpolar gallium nitride substrates

    Science.gov (United States)

    Lai, Kun-Yu

    Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.

  20. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    Science.gov (United States)

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.