WorldWideScience

Sample records for gaas samples grown

  1. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  2. Photovoltaic Properties of p-Doped GaAs Nanowire Arrays Grown on n-Type GaAs(111)B Substrate

    Science.gov (United States)

    2010-01-01

    We report on the molecular beam epitaxy growth of Au-assisted GaAs p-type-doped NW arrays on the n-type GaAs(111)B substrate and their photovoltaic properties. The samples are grown at different substrate temperature within the range from 520 to 580 °C. It is shown that the dependence of conversion efficiency on the substrate temperature has a maximum at the substrate temperature of 550 °C. For the best sample, the conversion efficiency of 1.65% and the fill factor of 25% are obtained. PMID:20672038

  3. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  4. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  5. Electrical performance of conducting polymer (SPAN) grown on GaAs with different substrate orientations

    Science.gov (United States)

    Jameel, D. A.; Aziz, M.; Felix, J. F.; Al Saqri, N.; Taylor, D.; Albalawi, H.; Alghamdi, H.; Al Mashary, F.; Henini, M.

    2016-11-01

    This article reports the effect of n-type GaAs substrate orientation, namely (100), (311)A and (311)B, on the electrical properties of sulfonated polyaniline (SPAN)/GaAs heterojunction devices. In addition, the inhomogeneity of the interface between various GaAs substrates and SPAN is investigated in terms of barrier height and ideality factor by performing I-V measurements at different temperatures (20-420 K). The I-V results indicate that the value of the rectification ratio (IF/IR) at 0.5 V is higher for SPAN/(311)B GaAs samples than for SPAN/(100) GaAs and SPAN/(311)A GaAs samples. Moreover, the barrier height decreases and the ideality factor increases with decreasing temperature for all three heterostructure devices. The high value of mean barrier Φbarb of SPAN/(311)B (calculated from the plots of Φb 0 as a function of 1/2kT) confirms that the GaAs substrate orientation results in an increase of barrier homogeneities. Furthermore, the C-V characteristics were obtained at room temperature. The C-V measurements showed that the carrier distributions at the interface and away from the interface in high index (311) GaAs orientations are more uniform and have better barrier homogeneity than those grown on the conventional (100) GaAs substrates.

  6. Influence of arsenic flow on the crystal structure of epitaxial GaAs grown at low temperatures on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Klimov, E. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Vasiliev, A. L.; Imamov, R. M. [Russian Academy of Sciences, Shubnikov Institute of Crystallography, Federal Scientific Research Centre “Crystallography and Photonics,” (Russian Federation); Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Trunkin, I. N. [National Research Centre “Kurchatov Institute” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2017-01-15

    The influence of arsenic flow in a growth chamber on the crystal structure of GaAs grown by molecular-beam epitaxy at a temperature of 240°C on GaAs (100) and (111)A substrates has been investigated. The flow ratio γ of arsenic As4 and gallium was varied in the range from 16 to 50. GaAs films were either undoped, or homogeneously doped with silicon, or contained three equidistantly spaced silicon δ-layers. The structural quality of the annealed samples has been investigated by transmission electron microscopy. It is established for the first time that silicon δ-layers in “low-temperature” GaAs serve as formation centers of arsenic precipitates. Their average size, concentration, and spatial distribution are estimated. The dependence of the film structural quality on γ is analyzed. Regions 100–150 nm in size have been revealed in some samples and identified (by X-ray microanalysis) as pores. It is found that, in the entire range of γ under consideration, GaAs films on (111)A substrates have a poorer structural quality and become polycrystalline beginning with a thickness of 150–200 nm.

  7. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    CERN Document Server

    Verbitskaya, E; Ivanov, A; Strokan, N; Vasilev, V; Markov, A; Polyakov, A; Gavrin, V; Kozlova, Y; Veretenkin, E; Bowles, T J

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p sup + -i-n sup + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E sub v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E sub v +0....

  8. Charge collection efficiency in SI GaAs grown from melts with variable composition as a material for solar neutrino detection

    International Nuclear Information System (INIS)

    Verbitskaya, E.; Eremin, V.; Ivanov, A.; Strokan, N.; Vasilev, V.; Markov, A.; Polyakov, A.; Gavrin, V.; Kozlova, Yu.; Veretenkin, E.; Bowles, T.J.

    2000-01-01

    The results on electrical characteristics and charge collection efficiency in the detectors from bulk SI GaAs developed as a material for solar neutrino spectroscopy are presented. SI GaAs crystals were grown by the Czochralski method. The changes in the stoichiometric components are permanently controlled. It is shown that the performance of GaAs p + -i-n + structures provided the range of operational reverse voltage up to 1 kV. Measurement of deep level spectra and their analysis reveal the dominant deep levels - hole traps E v +0.51 and +0.075 eV in GaAs grown from stoichiometric and nonstoichiometric melts, respectively. Investigation of carrier transport properties and bulk homogeneity evinced in charge collection efficiency has shown advantageous results for SI GaAs grown from stoichiometric melt. The reduction of carrier transport parameters and charge collection efficiency in GaAs grown from nonstoichiometric melt is analyzed taking into consideration formation of the hole trap E v +0.075 eV, presumably assigned to Ga antisite and its influence on the concentration of the ionized deep donor level EL2 +

  9. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  10. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  11. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    International Nuclear Information System (INIS)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-01-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  12. Interface magnetism of iron grown on sulfur and hydrogen passivated GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kardasz, B.; Watkins, S. P.; Montoya, E. A.; Burrowes, C.; Girt, E.; Heinrich, B.

    2012-04-01

    Sulfur (S) and hydrogen (H) atom passivated GaAs(001) templates were used for deposition of ultrathin crystalline Fe films using molecular beam epitaxy, where the Fe thickness ranged from 10 to 45 atomic layers. Reflection high-energy electron diffraction patterns showed that the S- and H-passivated surfaces had no and very weak (1 x 2) superlattice reconstructions, respectively. This indicates that these GaAs(001) templates have a square-like symmetry. Magnetic anisotropies were investigated using the in-plane angular dependence of ferromagnetic resonance at 36 GHz. The in-plane cubic and uniaxial anisotropies and perpendicular uniaxial field were described by bulk and interface contributions, indicating that the Fe films have a high lattice coherence. The magnetic properties of the Fe films were compared to those grown on more commonly used GaAs(001) templates having a (4 x 6) reconstruction with an As-rich in-plane uniaxial symmetry. The Fe films grown on S-passivated templates exhibited unique magnetic properties caused by a decreased lattice spacing compared to the bulk Fe.

  13. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  14. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  15. Isolating GaSb Membranes Grown Metamorphically on GaAs Substrates Using Highly Selective Substrate Removal Etch Processes

    Science.gov (United States)

    Renteria, E. J.; Muniz, A. J.; Addamane, S. J.; Shima, D. M.; Hains, C. P.; Balakrishnan, G.

    2015-05-01

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11,000 ± 2000, whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2- μm-thick GaSb epilayers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high- resolution x-ray diffraction and atomic force microscopy.

  16. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  17. Strain in GaAs / InAs core-shell nanowire heterostructures grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Rieger, Torsten; Lepsa, Mihail Ion [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires (NWs) has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. Compared to planar heterostructures, the nanowire approach offers an advantage regarding the possibility to form heterostructures between highly lattice mismatched systems, because the free surface of the nanowires allows to relieve the strain more efficiently. One particular way to form heterostructures in the NW geometry, is the fabrication of core-shell devices, in which a NW core is surrounded by a shell of different material. The understanding of the mutual strain between core and shell, as well as the relaxation behavior of the system are crucial for the fabrication of functional devices. In this contribution we report on first X-ray diffraction measurements of GaAs-core/InAs-shell nanowires grown on GaAs(111) by molecular beam epitaxy. Using symmetric- and grazing-incidence X-ray diffraction, the relaxation state of the InAs shell as well as the strain in the GaAs core are measured as function of the InAs shell thickness, showing a gradual relaxation behavior of the shell.

  18. Above band gap absorption spectra of the arsenic antisite defect in low temperature grown GaAs and AlGaAs

    DEFF Research Database (Denmark)

    Dankowski, S. U.; Streb, D.; Ruff, M.

    1996-01-01

    coefficients at the band gap are twice as high as for high temperature grown materials. By annealing the samples, we obtained a drastic reduced absorption coefficient below as well as above the band gap. We observed absorption changes up to 17 000 cm(-1) for LT-GaAs and 9000 cm(-1) for LT-AlGaAs taking place......Room temperature absorption spectra of low temperature molecular beam epitaxy grown GaAs (LT-GaAs) and AlGaAs (LT-AlGaAs) are reported. We performed measurements in an extended spectral range from 0.8 eV to photon energies of 2.8 eV far above the band gap. For as-grown LT-materials, the absorption...

  19. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  20. X-ray characterisation of single GaAs nanorods grown on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, A.; Pietsch, Ullrich [Universitaet Siegen (Germany). Festkoerperphysik; Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices. The nanorod approach can be used to form radial or axial heterostructures of materials with a large lattice mismatch. For the inspection of average structural parameters of the nanorods, typically X-ray or electron diffraction techniques are used. Alternatively, transmission electron microscopy can be used to inspect few individual nanorods after respective sample preparation. Complementary, recent developments in X-ray optics allow to focus a synchrotron beam down to the nanometer scale and to perform nondestructive diffraction studies at several individual nano-objects grown the same substrate. In this contribution we report on X-ray diffraction studies at individual GaAs nanorods grown Au seed-free on a Si[111] substrate. Due to the nanometer-sized x-ray beam, size and lattice parameters of individual nanorods could be measured and compared to the value obtained from the whole ensemble. Using the coherence properties of the focused beam we could observe speckle-like interference fringes in the surrounding of particular sensitive Bragg reflections which are a measure for the appearance of stacking faults within the nanorods. The separation of the speckles could be used to estimate the number of stacking faults and the size of the coherently scattering nanorod-segments.

  1. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    Energy Technology Data Exchange (ETDEWEB)

    Lavrova, Olga [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials; Balakrishnan, Ganesh [Univ. of New Mexico, Albuquerque, NM (United States). Dept. of Electrical and Computer Engineering. Center for High Technology Materials

    2017-02-24

    The etch rates of NH4OH:H2O2 and C6H8O7:H2O2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH4OH:H2O2 solution has a greater etch rate differential for the GaSb/GaAs material system than C6H8O7:H2O2 solution. The selectivity of NH4OH:H2O2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C6H8O7:H2O2 has been measured up to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).

  2. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  3. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  4. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  5. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  6. Growth-temperature- and thermal-anneal-induced crystalline reorientation of aluminum on GaAs (100) grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Xiang, N.

    2007-01-01

    The authors investigated the growth of Al thin films on GaAs (100) substrates by molecular beam epitaxy. It is found that the growth at 550 degree sign C results in a texture that consists of (100)Al[010](parallel sign)(100)GaAs[011] and (100)Al[010](parallel sign)(100)GaAs[010] rotated 45 degree sign with respect to each other, while the growth at 300 degree sign C leads to a mixture phase of (100)Al[010](parallel sign)(100)GaAs[011] and (110)Al[001](parallel sign)(100)GaAs[011]. In situ annealing of the Al film grown at 300 degree sign C causes a reorientation of the crystalline from (100)Al[010](parallel sign)(100)GaAs[011] to (110)Al[001](parallel sign)(100)GaAs[011]. The grain sizes of the Al film are increased by the increased growth temperature and in situ annealing; the ratio of the exposed to the covered surface is not changed significantly by changing the growth temperature but decreased by annealing; and the small islands in between the large ones are removed by annealing. These observations are explained based on island migration and coalescence

  7. In situ transmission electron microscopy analyses of thermally annealed self catalyzed GaAs nanowires grown by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Ambrosini, S.; Wagner, Jakob Birkedal; Booth, Tim

    2011-01-01

    Self catalyzed GaAs nanowires grown on Si-treated GaAs substrates were studied with a transmission electron microscope before and after annealing at 600◦C. At room temperature the nanowires have a zincblende structure and are locally characterized by a high density of rotational twins and stacking...... faults. Selected area diffraction patterns and high-resolution transmission electron microscopy images show that nanowires undergo structural modifications upon annealing, suggesting a decrease of defect density following the thermal treatment....

  8. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  9. Evolution of the optical transitions in AlxGa1-xAs/GaAs quantum well structures grown on GaAs buffers with different surface treatments by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Mejia-Garcia, C.; Caballero-Rosas, A.; Lopez-Lopez, M.; Winter, A.; Pascher, H.; Lopez-Lopez, J.L.

    2010-01-01

    Al 0.3 Ga 0.7 As/GaAs Quantum Well structures were grown by molecular beam epitaxy (MBE) on a 500 nm thick GaAs buffer layer subjected to the following surface processes: a) in-situ Cl 2 etching at 70 o C and 200 o C, b) air-exposure for 30 min. The characteristics of these samples were compared to those of a continuously grown sample with no processing (control sample). We obtained the quantum wells energy transitions using photoreflectance spectroscopy as a function of the temperature (8-300 K), in the range of 1.2 to 2.1 eV. The sample etched at 200 o C shows a larger intensity of the quantum well peaks in comparison to the others samples. We studied the temperature dependence of the excitonic energies in the quantum wells (QWs) as well as in GaAs using three different models; the first one proposed by Varshni [4], the second one by Vina et al. [5], and the third one by Paessler and Oelgart [6]. The Paessler model presents the best fitting to the experimental data.

  10. Manipulation of morphology and structure of the top of GaAs nanowires grown by molecular-beam epitaxy

    Science.gov (United States)

    Li, Lixia; Pan, Dong; Yu, Xuezhe; So, Hyok; Zhao, Jianhua

    2017-10-01

    Self-catalyzed GaAs nanowires (NWs) are grown on Si (111) substrates by molecular-beam epitaxy. The effect of different closing sequences of the Ga and As cell shutters on the morphology and structural phase of GaAs NWs is investigated. For the sequences of closing the Ga and As cell shutters simultaneously or closing the As cell shutter 1 min after closing the Ga cell shutter, the NWs grow vertically to the substrate surface. In contrast, when the As cell shutter is closed first, maintaining the Ga flux is found to be critical for the following growth of GaAs NWs, which can change the growth direction from [111] to . The evolution of the morphology and structural phase transition at the tips of these GaAs NWs confirm that the triple-phase-line shift mode is at work even for the growth with different cell shutter closing sequences. Our work will provide new insights for better understanding of the growth mechanism and realizing of the morphology and structure control of the GaAs NWs. Project supported partly by the MOST of China (No. 2015CB921503), the National Natural Science Foundation of China (Nos. 61504133, 61334006, 61404127), and Youth Innovation Promotion Association, CAS (No. 2017156).

  11. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  12. Structural evolution of self-assisted GaAs nanowires grown on Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [University of Siegen, Solid State Physics Group, Walter-Flex-Str. 3, 57072 Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-04-15

    GaAs nanowires are grown on Si(111) by self-assisted molecular beam epitaxy, and the ratio between wurtzite and zinc-blende phases is determined as function of nanowire length using asymmetric X-ray diffraction. We show that under the applied growth conditions, nanowires grow in both phases during the initial stage of growth, whereas the zinc-blende content increases with growth time and dominates in long nanowires. Compared to the zinc-blende units, the vertical lattice parameter of the wurtzite segments is 0.7% larger, as measured by the positions of respective diffraction peaks. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  14. Dislocation-limited electron transport in InSb grown on GaAs(0 0 1)

    Energy Technology Data Exchange (ETDEWEB)

    Sato, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)]. E-mail: taku-s@jaist.ac.jp; Suzuki, T. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Tomiya, S. [Materials Analysis Laboratory, Sony Corporation, 4-16-1 Okata, Atugi, Kanagawa 243-0021 (Japan); Yamada, S. [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2006-04-01

    We investigated dislocations and electrical properties in InSb thin films with various thickness grown on GaAs(0 0 1). It is found that both the threading dislocation density and the local donor concentration decrease in proportion to the inverse of the distance from the InSb/GaAs interface, which indicates that the former is the origin of the latter. This behavior is well explained by pair annihilation mechanism of the threading dislocations. The electron mobility is limited by ionized donor scattering, i.e. charged dislocation scattering.

  15. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  16. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  17. Effect of post-growth annealing on secondary phase formation in low-temperature-grown Mn-doped GaAs

    DEFF Research Database (Denmark)

    Kovács, A.; Sadowski, J.; Kasama, Takeshi

    2013-01-01

    The microstructures of annealed GaAs layers containing 0.1%, 0.5% and 2% Mn are studied using aberration-corrected transmission electron microscopy (TEM). The layers were grown by molecular beam epitaxy at 270 °C. After heat treatment at 400, 560 and 630 °C, they are found to contain precipitate...... in annealed GaMnAs layers doped with low Mn concentrations is proposed....

  18. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  19. Submicron resolution X-ray diffraction from periodically patterned GaAs nanorods grown onto Ge[111

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Biermanns, Andreas; Pietsch, Ullrich [Solid State Physics, Siegen University (Germany); Grenzer, Joerg [FZ-Dresden Rossendorf, Dresden (Germany); Paetzelt, Hendrik; Gottschalch, Volker; Bauer, Jens [Solid State Chemistry, University of Leipzig (Germany)

    2009-08-15

    We present high-resolution X-ray diffraction pattern of periodic GaAs nanorods (NRs) ensembles and individual GaAs NRs grown catalyst-free throughout a pre-patterned amorphous SiN{sub x} mask onto Ge[111]B surfaces by selective-area MOVPE method. To the best of our knowledge this is the first report about nano-structure X-ray characterization growth on non-polar substrate. The experiment has been performed at home laboratory and using synchrotron radiation using a micro-sized beam prepared by compound refractive lenses. Due to the non-polar character of the substrate the shapes of NRs appear not uniform and vary between deformed hexagonal and trigonal in symmetry. Because the average diameter of NRs equals the experimental resolution certain cuts through slightly inclined edges or corners of individual NRs with lateral size of about 225 nm could be selected using spatially resolved reciprocal space mapping. (Abstract Copyright [2009], Wiley Periodicals, Inc.)

  20. Magnetic Properties of Fe(001) Thin Films on GaAs(001) Deposited by RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ikeya, Hirokazu; Takahashi, Yutaka; Inaba, Nobuyuki; Kirino, Fumiyoshi; Ohtake, Mitsuru; Futamoto, Masaaki

    2011-01-01

    Fe thin films, down to 6 nm thick, were prepared on GaAs(001) substrates by RF magnetron sputtering. The x-ray diffraction (XRD) analyses show that the epitaxial thin films of Fe(001) were grown with cube-on-cube orientation on GaAs(001). Magnetic properties were investigated by vibrating sample magnetometry (VSM) and ferromagnetic resonance (FMR) spectroscopy. The magnetization curves obtained by applying in-plane magnetic fields indicate that easy (hard) direction is along [100] ([110]) and the saturation magnetization is close to the bulk values. The in-plane magnetic anisotropy measured by FMR shows four-fold symmetry, as expected for bcc Fe. We did not observe the in-plane uniaxial magnetic anisotropy reported on the MBE-grown Fe films on GaAs substrates.

  1. Structural characterization of ZnTe grown by atomic-layer-deposition regime on GaAs and GaSb (100) oriented substrates

    Energy Technology Data Exchange (ETDEWEB)

    Castillo-Ojeda, Roberto Saúl [Universidad Politécnica de Pachuca (Mexico); Díaz-Reyes, Joel; Peralta-Clara, María de la Cruz; Veloz-Rendón, Julieta Salomé, E-mail: joel_diaz_reyes@hotmail.com [Centro de Investigación en Biotecnología Aplicada, Instituto Politécnico Nacional, Tlaxcala, (Mexico); Galván-Arellano, Miguel [Centro de Investigación y de Estudios Avanzados, Instituto Politécnico Nacional (Mexico); Anda-Salazar, Francisco de [Instituto de Investigación en Comunicación Óptica, Universidad Autónoma de San Luis Potosí (Mexico); Contreras-Rascon, Jorge Indalecio [Departamento de Física, Universidad de Sonora (Mexico)

    2017-10-15

    This work presents the characterization of ZnTe nano layers grown on GaAs and GaSb (100) substrates by the Atomic Layer Deposition (ALD) regime. Under certain conditions, the alternating exposition of a substrate surface to the element vapours makes possible the growth of atomic layers in a reactor where the atmosphere is high-purity hydrogen. ZnTe was grown simultaneously on GaAs and GaSb at the same run, allowing, a comparison between the effects produced by the superficial processes due to the different used substrates, thereby eliminating possible unintended changes of growth parameters. Nano layers on GaSb maintained their shiny appearance even at temperatures near 420°C. It was found that for exposure times below 2.5 s there was not growth on GaAs, while for GaSb the shortest time was 1.5 s at 385°C. By HRXRD the peak corresponding to (004) diffraction plane of ZnTe was identified and investigated, the FWHM resulted very wide (600-800 arcsec) indicating a highly distorted lattice mainly due to mosaicity. Raman scattering shows the peak corresponding to LO-ZnTe, which is weak and slightly shifted in comparison with the reported for the bulk ZnTe at 210 cm{sup -1}. Additionally, the measurements suggest that the crystalline quality have a dependence with the growth temperature. (author)

  2. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  3. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  4. InGaAs Quantum Well Grown on High-Index Surfaces for Superluminescent Diode Applications

    Directory of Open Access Journals (Sweden)

    Wu Jiang

    2010-01-01

    Full Text Available Abstract The morphological and optical properties of In0.2Ga0.8As/GaAs quantum wells grown on various substrates are investigated for possible application to superluminescent diodes. The In0.2Ga0.8As/GaAs quantum wells are grown by molecular beam epitaxy on GaAs (100, (210, (311, and (731 substrates. A broad photoluminescence emission peak (~950 nm with a full width at half maximum (FWHM of 48 nm is obtained from the sample grown on (210 substrate at room temperature, which is over four times wider than the quantum well simultaneously grown on (100 substrate. On the other hand, a very narrow photoluminescence spectrum is observed from the sample grown on (311 with FWHM = 7.8 nm. The results presented in this article demonstrate the potential of high-index GaAs substrates for superluminescent diode applications.

  5. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  6. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  7. Some optical and electron microscope comparative studies of excimer laser-assisted and nonassisted molecular-beam epitaxically grown thin GaAs films on Si

    Science.gov (United States)

    Lao, Pudong; Tang, Wade C.; Rajkumar, K. C.; Guha, S.; Madhukar, A.; Liu, J. K.; Grunthaner, F. J.

    1990-01-01

    The quality of GaAs thin films grown via MBE under pulsed excimer laser irradiation on Si substrates is examined in both laser-irradiated and nonirradiated areas using Raman scattering, Rayleigh scattering, and by photoluminescence (PL), as a function of temperature, and by TEM. The temperature dependence of the PL and Raman peak positions indicates the presence of compressive stress in the thin GaAs films in both laser-irradiated and nonirradiated areas. This indicates incomplete homogeneous strain relaxation by dislocations at the growth temperature. The residual compressive strain at the growth temperature is large enough such that even with the introduction of tensile strain arising from the difference in thermal expansion coefficients of GaAs and Si, a compressive strain is still present at room temperature for these thin GaAs/Si films.

  8. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  9. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    Energy Technology Data Exchange (ETDEWEB)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.; Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh Frequency Semiconductor Electronics (Russian Federation); Grekhov, M. M. [National Research Nuclear University “MEPhI” (Russian Federation); Ilyakov, I. E.; Shishkin, B. V.; Akhmedzhanov, R. A. [Russian Academy of Sciences, Institute of Applied Physics (Russian Federation)

    2017-04-15

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  10. Observation of the exciton and Urbach band tail in low-temperature-grown GaAs using four-wave mixing spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Yildirim, M.; Hacquebard, L.; March, S.; Mathew, R.; Gamouras, A.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2014-11-03

    Four-wave mixing (FWM) spectroscopy reveals clear signatures associated with the exciton, free carrier inter-band transitions, and the Urbach band tail in low-temperature-grown GaAs, providing a direct measure of the effective band gap as well as insight into the influence of disorder on the electronic structure. The ability to detect (and resolve) these contributions, in contrast to linear spectroscopy, is due to an enhanced sensitivity of FWM to the optical joint density of states and to many-body effects. Our experiments demonstrate the power of FWM for studying the near-band-edge optical properties and coherent carrier dynamics in low-temperature-grown semiconductors.

  11. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  12. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  13. GaAs FETs and novel heteroepitaxial quaternary lasers grown on InP substrates by organometallic chemical vapor deposition

    International Nuclear Information System (INIS)

    Lo, Y.H.; Bhat, R.; Chang-Hasnain, C.; Caneau, C.; Zah, C.E.; Lee, T.P.

    1988-01-01

    This paper reports the GaAs MESFETs and 1.3μm buried hetero-structure lasers with AlGaAs/GaAs lateral confinement layers simultaneously grown by OMCVD and fabricated on InP structures. The 1μm recessed gate MESFET has a transconductance of 220 mS/mm and the novel structured laser has a CW threshold current of 45 mA. The heteroepitaxy technology and devices show great promises for long wavelength opto-electronic integrated circuits

  14. Annealing-induced Fe oxide nanostructures on GaAs

    OpenAIRE

    Lu, Y X; Ahmad, E; Xu, Y B; Thompson, S M

    2005-01-01

    We report the evolution of Fe oxide nanostructures on GaAs(100) upon pre- and post-growth annealing conditions. GaAs nanoscale pyramids were formed on the GaAs surface due to wet etching and thermal annealing. An 8.0-nm epitaxial Fe film was grown, oxidized, and annealed using a gradient temperature method. During the process the nanostripes were formed, and the evolution has been demonstrated using transmission and reflection high energy electron diffraction, and scanning electron microscopy...

  15. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  16. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  17. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Annealing of low-temperature GaAs studied using a variable energy positron beam

    International Nuclear Information System (INIS)

    Keeble, D.J.; Umlor, M.T.; Asoka-Kumar, P.; Lynn, K.G.; Cooke, P.W.

    1993-01-01

    The annihilation characteristics of monoenergetic positrons implanted in a molecular beam epitaxy layer of low-temperature (LT) GaAs annealed at temperatures from 300 to 600 degree C were measured. A gallium vacancy concentration of approximately 3x10 17 cm -3 is inferred for the as-grown material. The S parameter increased significantly upon anneal to 500 degree C. The dominant positron traps in samples annealed at and below 400 degree C are distinct from those acting for samples annealed to 500 or 600 degree C. The change in S parameter for the 600 degree C annealed sample compared to the GaAs substrate, S LT,600 =1.047S sub , is consistent with divacancies or larger open volume defects

  19. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Observation of linear I-V curves on vertical GaAs nanowires with atomic force microscope

    Science.gov (United States)

    Geydt, P.; Alekseev, P. A.; Dunaevskiy, M.; Lähderanta, E.; Haggrén, T.; Kakko, J.-P.; Lipsanen, H.

    2015-12-01

    In this work we demonstrate the possibility of studying the current-voltage characteristics for single vertically standing semiconductor nanowires on standard AFM equipped by current measuring module in PeakForce Tapping mode. On the basis of research of eight different samples of p-doped GaAs nanowires grown on different GaAs substrates, peculiar electrical effects were revealed. It was found how covering of substrate surface by SiOx layer increases the current, as well as phosphorous passivation of the grown nanowires. Elimination of the Schottky barrier between golden cap and the top parts of nanowires was observed. It was additionally studied that charge accumulation on the shell of single nanowires affects its resistivity and causes the hysteresis loops on I-V curves.

  1. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  2. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  3. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  4. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  5. Role of many-body effects in the coherent dynamics of excitons in low-temperature-grown GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Webber, D.; Hacquebard, L.; Hall, K. C. [Department of Physics and Atmospheric Science, Dalhousie University, Halifax, Nova Scotia B3H 4R2 (Canada); Liu, X.; Dobrowolska, M.; Furdyna, J. K. [Department of Physics, University of Notre Dame, Notre Dame, Indiana 46556 (United States)

    2015-10-05

    Femtosecond four-wave mixing experiments on low-temperature-grown (LT-) GaAs indicate a polarization-dependent nonlinear optical response at the exciton, which we attribute to Coulomb-mediated coupling between excitons and electron-hole pairs simultaneously excited by the broad-bandwidth laser pulses. Strong suppression of the exciton response through screening by carriers injected by a third pump pulse was observed, an effect that is transient due to rapid carrier trapping. Our findings highlight the need to account for the complex interplay of disorder and many-body effects in the design of ultrafast optoelectronic devices using this material.

  6. Terahertz-radiation generation and detection in low-temperature-grown GaAs epitaxial films on GaAs (100) and (111)A substrates

    Energy Technology Data Exchange (ETDEWEB)

    Galiev, G. B.; Pushkarev, S. S., E-mail: s-s-e-r-p@mail.ru [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Buriakov, A. M.; Bilyk, V. R.; Mishina, E. D. [Moscow Technological University “MIREA” (Russian Federation); Klimov, E. A. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation); Vasil’evskii, I. S. [National Research Nuclear University “MEPhI” (Russian Federation); Maltsev, P. P. [Russian Academy of Sciences, Institute of Ultrahigh-Frequency Semiconductor Electronics (Russian Federation)

    2017-04-15

    The efficiency of the generation and detection of terahertz radiation in the range up to 3 THz by LT-GaAs films containing equidistant Si doping δ layers and grown by molecular beam epitaxy on GaAs (100) and (111)Ga substrates is studied by terahertz spectroscopy. Microstrip photoconductive antennas are fabricated on the film surface. Terahertz radiation is generated by exposure of the antenna gap to femtosecond optical laser pulses. It is shown that the intensity of terahertz radiation from the photoconductive antenna on LT-GaAs/GaAs (111)Ga is twice as large as the intensity of a similar antenna on LT-GaAs/GaAs(100) and the sensitivity of the antenna on LT-GaAs/GaAs (111)Ga as a terahertz-radiation detector exceeds that of the antenna on LT-GaAs/GaAs(100) by a factor of 1.4.

  7. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    International Nuclear Information System (INIS)

    Paiano, P.; Lovergine, N.; Mancini, A.M.; Prete, P.

    2005-01-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me 2 Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to ∝10 6 cm -2 ) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga 2 Te 3 extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me 2 Zn molar flow between 2 x 10 15 cm -3 and 5 x 10 16 cm -3 . Temperature-dependent Hall measurements performed on samples grown at MFR≤1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10 16 cm -3 range and slowly increasing with Me 2 Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C Te -D Zn ), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  9. Tunnel currents produced by defects in p-n junctions of GaAs grown on vapor phase

    International Nuclear Information System (INIS)

    Barrales Guadarrama, V R; Rodríguez Rodriguez, E M; Barrales Guadarrama, R; Reyes Ayala, N

    2017-01-01

    With the purpose of assessing if the epitaxy on vapor phase technique “Close Space Vapor Deposition (CSVT)” is capable of produce thin films with adequate properties in order to manufacture p-n junctions, a study of invert and direct current was developed, in a temperature range of 94K to 293K, to junctions p-n of GaAs grown through the technique CSVT. It is shown that the dominant current, within the range 10 -7 to 10 -2 A, is consistent with a currents model of the type of internal emission form field, which shows these currents are due to the presence of localized states in the band gap. (paper)

  10. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  11. Electrodeposition of Metal on GaAs Nanowires

    Science.gov (United States)

    Liu, Chao; Einabad, Omid; Watkins, Simon; Kavanagh, Karen

    2010-10-01

    Copper (Cu) electrical contacts to freestanding gallium arsenide (GaAs) nanowires have been fabricated via electrodeposition. The nanowires are zincblende (111) oriented grown epitaxially on n-type Si-doped GaAs (111)B substrates by gold-catalyzed Vapor Liquid Solid (VLS) growth in a metal organic vapour phase epitaxy (MOVPE) reactor. The epitaxial electrodeposition process, based on previous work with bulk GaAs substrates, consists of a substrate oxide pre-etch in dilute ammonium-hydroxide carried out prior to galvanostatic electrodeposition in a pure Cu sulphate aqueous electrolyte at 20-60^oC. For GaAs nanowires, we find that Cu or Fe has a preference for growth on the gold catalyst avoiding the sidewalls. After removing gold, both metals still prefer to grow only on top of the nanowire, which has the largest potential field.

  12. Diffusion of $^{52}$Mn in GaAs

    CERN Multimedia

    2002-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of Mn in GaAs under intrinsic conditions in a previously un-investigated temperature region. The aim of the presently proposed experiments is twofold. \\begin{itemize} \\item A quantitative study of Mn diffusion in GaAs at low Mn concentrations would be decisive in providing new information on the diffusion mechanism involved. \\item As Ga vacancies are expected to be involved in the Mn diffusion process it can be predicted that also the GaAs material growth technique most likely plays a role. To clarify this assumption diffusion experiments will be conducted for GaAs material grown by two different techniques. \\end{itemize} For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{52}$Mn$^{+}$ ion beam.

  13. Temperature Dependences of the Product of the Differential Resistance by the Area in MIS-Structures Based on Cd x Hg1- x Te Grown by Molecularbeam Epitaxy on Alternative Si and GaAs Substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-06-01

    In a temperature range of 9-200 K, temperature dependences of the differential resistance of space-charge region in the strong inversion mode are experimentally studied for MIS structures based on CdxHg1-xTe (x = 0.22-0.40) grown by molecular-beam epitaxy. The effect of various parameters of structures: the working layer composition, the type of a substrate, the type of insulator coating, and the presence of a near-surface graded-gap layer on the value of the product of differential resistance by the area is studied. It is shown that the values of the product RSCRA for MIS structures based on n-CdHgTe grown on a Si(013) substrate are smaller than those for structures based on the material grown on a GaAs(013) substrate. The values of the product RSCRA for MIS structures based on p-CdHgTe grown on a Si(013) substrate are comparable with the value of the analogous parameter for MIS structures based on p-CdHgTe grown on a GaAs(013) substrate.

  14. Substrate and Mg doping effects in GaAs nanowires

    Directory of Open Access Journals (Sweden)

    Perumal Kannappan

    2017-10-01

    Full Text Available Mg doping of GaAs nanowires has been established as a viable alternative to Be doping in order to achieve p-type electrical conductivity. Although reports on the optical properties are available, few reports exist about the physical properties of intermediate-to-high Mg doping in GaAs nanowires grown by molecular beam epitaxy (MBE on GaAs(111B and Si(111 substrates. In this work, we address this topic and present further understanding on the fundamental aspects. As the Mg doping was increased, structural and optical investigations revealed: i a lower influence of the polytypic nature of the GaAs nanowires on their electronic structure; ii a considerable reduction of the density of vertical nanowires, which is almost null for growth on Si(111; iii the occurrence of a higher WZ phase fraction, in particular for growth on Si(111; iv an increase of the activation energy to release the less bound carrier in the radiative state from nanowires grown on GaAs(111B; and v a higher influence of defects on the activation of nonradiative de-excitation channels in the case of nanowires only grown on Si(111. Back-gate field effect transistors were fabricated with individual nanowires and the p-type electrical conductivity was measured with free hole concentration ranging from 2.7 × 1016 cm−3 to 1.4 × 1017 cm−3. The estimated electrical mobility was in the range ≈0.3–39 cm2/Vs and the dominant scattering mechanism is ascribed to the WZ/ZB interfaces. Electrical and optical measurements showed a lower influence of the polytypic structure of the nanowires on their electronic structure. The involvement of Mg in one of the radiative transitions observed for growth on the Si(111 substrate is suggested.

  15. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  16. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Sanz-Hervas, A.; Aguilar, M. [Madrid, Univ. (Spain). Dept. Tecnologia Electronica. E.T.S.I. Telecomunicacion; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J. [Valladolid, Real de Burgos Univ. (Spain). Dept. Teoria de la Senal u Comunicaciones e Ingegneria Telematica. E.T.S.I. Telecomunicacion; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E. [Madrid, Univ. (Spain). Dept. Ingegnieria Electronica. E.T.S.I. Telecomunicacion

    1997-02-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224{+-} reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies.

  17. High-resolution X-ray diffraction characterisation of piezoelectric InGaAs / GaAs multiquantum wells and superlattices on (111)B GaAs

    International Nuclear Information System (INIS)

    Sanz-Hervas, A.; Aguilar, M.; Lopez, M.; Llorente, C.; Lorenzo, R.; Abril, E. J.; Sacedon, A.; Sanchez, J. L.; Calleja, E.; Munoz, E.

    1997-01-01

    In this paper the authors show some examples of strained InGaAs / GaAs multilayers on (111)B GaAs substrates studied by high-resolution X-ray diffractometry. The samples consisted of a multiquantum well or superlattice embedded in the intrinsic region of a p-i-n photodiode. They have analysed piezoelectric (111)B structures with 3, 7, 10, and 40 periods and different indium contents and compared the results with identical structures simultaneously grown on (001) substrates. The interpretation of the diffraction profiles has been carried out with a computer simulation model developed in our labs, which allows the calculation of symmetric and asymmetric reflections regardless of the substrate orientation or miscut angle. The agreement between the experimental scans and the theory was very satisfactory in all the samples, which has enabled us to determine the main structural parameters of the diodes, Asymmetric 224± reflections on (111)B structures have been simulated for the first time. They have also compared the structural parameters obtained by high-resolution X-ray diffractometry with the results deduced from photoluminescence and photocurrent spectroscopies

  18. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    subsequent growth morphology of GaAs deposited by metal-organic chemical vapor deposition. Room temperature photoluminescence shows that films of GaAs grown on Ge-on-oxidized Si have an intensity that is 20 to 25% compared to the intensity from GaAs grown on commercial Ge or GaAs substrates. Cathodoluminescence shows that nonradiative defects occur in the GaAs that spatially correspond to the stacking faults terminating at the Ge surface. The exact nature of these nonradiative defects in the GaAs is unknown, however, GaAs grown on annealed samples of Ge-on-oxidized Si, whereby annealing removes the stacking faults, have photoluminescence intensity that is comparable to GaAs grown on a GaAs substrate.

  19. Lifetime studies of self-activated photoluminescence in heavily silicon-doped GaAs

    Science.gov (United States)

    Sauncy, T.; Palsule, C. P.; Holtz, M.; Gangopadhyay, S.; Massie, S.

    1996-01-01

    We report results of a detailed temperature dependence study of photoluminescence lifetime and continuous emission properties in silicon-doped GaAs. The primary focus is on a defect-related emission at 1.269 eV (T=20 K). GaAs crystals were grown using molecular-beam epitaxy with most of the experiments conducted on a sample having a carrier concentration of 4.9×1018 cm-3. The intensity is seen to decrease above 100 K, with no corresponding decrease in the measured lifetime of 9.63+/-0.25 ns. The intensity decrease implies an activation energy of 19+/-2 meV, which is approximately one order of magnitude smaller than what was previously obtained for similar defects in Czochralski-grown GaAs with other dopants. We interpret our results in terms of a configuration coordinate model and obtain a more complete picture of the energy-level structure. The experiments indicate that the upper level in the recombination process is about 20 meV below the conduction-band continuum, with the lower state approximately 300 meV above the valence band. Our results are consistent with the identification of the corresponding defect complex microstructure as being a silicon-at-gallium substitution, weakly interacting with a gallium vacancy second-nearest neighbor, known as the Si-Y defect complex.

  20. Effect of precursors stoichiometry on morphology, crystallinity and electrical properties of ZnTe epilayers grown on (100)GaAs by MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Paiano, P.; Lovergine, N.; Mancini, A.M. [Dipartimento di Ingegneria dell' Innovazione, Universita di Lecce, Via Arnesano, I-73100 Lecce (Italy); Prete, P. [Istituto per la Microelettronica e Microsistemi del CNR, Sezione di Lecce, Via Arnesano, I-73100 Lecce (Italy)

    2005-11-01

    The effect of precursors vapour stoichiometry on the morphological, structural and electrical properties of nominally undoped ZnTe grown on (100)GaAs by metalorganic vapour phase epitaxy is reported. The epilayers were grown at 350 C using dimethylzinc (Me{sub 2}Zn) and di-isopropyltelluride, varying their molar flow rate ratios (MFRs) between 0.17 and 3.10. Growth in nearly stoichiometric (MFR=1.03) conditions results in best surface morphology, while samples grown in Te-rich conditions (MFR>1.7) showed micron-size hollow defects (with surface densities up to {proportional_to}10{sup 6} cm{sup -2}) elongated in one of the left angle 011 right angle in-plane directions. The defects are associated to a local structural disorder of the material, ascribed to the formation of a Ga{sub 2}Te{sub 3} extrinsic phase at the ZnTe/GaAs interface. Ohmic contacts to p -ZnTe epilayers were prepared by tungsten evaporation and annealing at 350 C. The RT hole concentration in the epilayers varies almost linearly with Me{sub 2}Zn molar flow between 2 x 10{sup 15} cm{sup -3} and 5 x 10{sup 16} cm{sup -3}. Temperature-dependent Hall measurements performed on samples grown at MFR{<=}1.03 demonstrate that the material p-type conductivity originates from a single acceptor centre with an ionisation energy between 94.7 meV and 118 meV, its concentration being in the 10{sup 16} cm{sup -3} range and slowly increasing with Me{sub 2}Zn flow. We ascribe this acceptor to a complex formed by a substitutional carbon atom on a Te site and a donor on a nearest neighbor site (C{sub Te}-D{sub Zn}), the donor impurity being further identified as Ga diffusing from the substrate. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. A comparative study of Co thin film deposited on GaAs (1 0 0) and glass substrates

    International Nuclear Information System (INIS)

    Sharma, A.; Brajpuriya, R.; Tripathi, S.; Jain, D.; Dubey, R.; Shripathi, T.; Chaudhari, S.M.

    2006-01-01

    The structural, magnetic and transport properties of Co/GaAs (1 0 0) and Co/glass thin films have been investigated. The structural measurements reveal the crystalline nature of Co thin film grown on GaAs, while microcrystalline nature in case of glass substrate. The film grown on GaAs shows higher coercivity (49.0 G), lower saturation magnetization (3.65 x 10 -4 ) and resistivity (8 μΩ cm) values as compared to that on glass substrate (22 G, 4.77 x 10 -4 and 18 μΩ cm). The grazing incidence X-ray reflectivity and photoemission spectroscopy results show the interaction between Co and GaAs at the interface, while the Co layer grown on glass remains unaffected. These observed results are discussed and interpreted in terms of different growth morphologies and structures of as grown Co thin film on both substrates

  2. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  3. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    International Nuclear Information System (INIS)

    Kumar, Rahul; Mukhopadhyay, P.; Bag, A.; Jana, S. Kr.; Chakraborty, A.; Das, S.; Mahata, M. Kr.; Biswas, D.

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate

  4. Comparison of different pathways in metamorphic graded buffers on GaAs substrate: Indium incorporation with surface roughness

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, P. [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Bag, A.; Jana, S. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Chakraborty, A. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India); Das, S.; Mahata, M. Kr. [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, D. [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-01-01

    Highlights: • In(Al,Ga)As metamorphic buffers on GaAs have been grown. • Surface morphology, strain relaxation and compositional variation have been studied. • Al containing buffers shows inferior surface roughness. • Surface roughness modulates the indium incorporation rate. - Abstract: In this work, compositionally graded In(Al,Ga)As metamorphic buffers (MBs) on GaAs substrate have been grown by MBE through three different paths. A comparative study has been done to comprehend the effect of underlying MB on the constant composition InAlAs healing layer by analyzing the relaxation behaviour, composition and surface morphology of the grown structures. The compositional variation between the constant composition healing layers on top of graded MB has been observed in all three samples although the growth conditions have been kept same. Indium incorporation rate has been found to be dependent on underlying MB. By combining the result of atomic force microscopy, photo-luminescence and X-ray reciprocal space mapping, varying surface roughness has been proposed as the probable driving force behind different Indium incorporation rate.

  5. Spin polarization of single-crystalline Co2MnSi films grown by PLD on GaAs(0 0 1)

    International Nuclear Information System (INIS)

    Wang, W.H.; Przybylski, M.; Kuch, W.; Chelaru, L.I.; Wang, J.; Lu, Y.F.; Barthel, J.; Kirschner, J.

    2005-01-01

    Single-crystalline Co 2 MnSi Heusler alloy films have been grown on GaAs(0 0 1) substrates by pulsed laser deposition. The best crystallographic quality has been achieved after deposition at 450 K. Spin-resolved photoemission measurements at BESSY reveal spin-resolved density of states that are in qualitative agreement with recent band structure calculations. The spin polarization of photoelectrons close to the Fermi level is found to be at most 12% at room temperature, in contrast to the predicted half-metallic behavior. We suggest that this discrepancy may be attributed to a non-magnetic surface region and/or partial chemical disorder in the Co 2 MnSi lattice

  6. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  7. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  8. Stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on GaAs and Ge/Si(001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipm.sci-nnov.ru; Morozov, S. V.; Gaponova, D. M.; Aleshkin, V. Ya. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Shengurov, V. G.; Zvonkov, B. N.; Vikhrova, O. V.; Baidus’, N. V. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Krasil’nik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-11-15

    We report the observation of stimulated emission in heterostructures with double InGaAs/GaAsSb/GaAs quantum wells, grown on Si(001) substrates with the application of a relaxed Ge buffer layer. Stimulated emission is observed at 77 K under pulsed optical pumping at a wavelength of 1.11 μm, i.e., in the transparency range of bulk silicon. In similar InGaAs/GaAsSb/GaAs structures grown on GaAs substrates, room-temperature stimulated emission is observed at 1.17 μm. The results obtained are promising for integration of the structures into silicon-based optoelectronics.

  9. X-ray investigation of the interface structure of free standing InAs nanowires grown on GaAs[ anti 1 anti 1 anti 1]{sub B}

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Wagner, Gerald [Universitaet Leipzig, Halbleiterchemie, Leipzig (Germany); Pietsch, Ullrich; Davydok, Anton; Biermanns, Andreas [Universitaet Siegen, Festkoerperphysik, Siegen (Germany); Grenzer, Joerg [Forschungszentrum Rossendorf, Institut fuer Ionenstrahlphysik und Materialforschung, Dresden (Germany)

    2009-09-15

    The heteroepitaxial growth process of InAs nanowires (NW) on GaAs[ anti 1 anti 1 anti 1]{sub B} substrate was investigated by X-ray grazing-incidence diffraction using synchrotron radiation. For crystal growth we applied the vapor-liquid-solid (VLS) growth mechanism via gold seeds. The general sample structure was extracted from various electron microscopic and X-ray diffraction experiments. We found a closed Ga{sub x}In{sub 1-x} As graduated alloy layer at the substrate to NW interface which was formed in the initial stage of VLS growth with a Au-Ga-In liquid alloy. With ongoing growth time a transition from this VLS layer growth to the conventional VLS NW growth was observed. The structural properties of both VLS grown crystal types were examined. Furthermore, we discuss the VLS layer growth process. (orig.)

  10. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  11. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  12. GaAs nanocrystals: Structure and vibrational properties

    International Nuclear Information System (INIS)

    Nayak, J.; Sahu, S.N.; Nozaki, S.

    2006-01-01

    GaAs nanocrystals were grown on indium tin oxide substrate by an electrodeposition technique. Atomic force microscopic measurement indicates an increase in the size of the nanocrystal with decrease in the electrolysis current density accompanied by the change in the shape of the crystallite. Transmission electron microscopic measurements identify the crystallite sizes to be in the range of 10-15 nm and the crystal structure to be orthorhombic. On account of the quantum size effect, the first optical transition was blue shifted with respect to the band gap of the bulk GaAs and the excitonic peak appeared prominent. A localized phonon mode ascribed to certain point defect occurred in the room temperature micro-Raman spectrum

  13. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  14. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  15. High microwave performance ion-implanted GaAs MESFETs on InP substrates

    International Nuclear Information System (INIS)

    Wada, M.; Kato, K.

    1990-01-01

    Ion implantation was employed, for the first time, in fabricating GaAs MESFETs in undoped 2 μm thick GaAs epitaxial layers directly grown on InP substrates by low-pressure MOVPE. The Si-ion-implanted GaAs layer on InP substrates showed excellent electrical characteristics: a mobility of 4300 cm 2 /Vs with a carrier density of 2 x 10 17 cm -3 at room temperature. The MESFET (0.8 μm gate length) exhibited a current-gain cutoff frequency of 25 GHz and a maximum frequency of oscillation of 53 GHz, the highest values yet reported to GaAs MESFETs on InP substrates. These results demonstrate the high potential of ion-implanted MESFETs as electronic devices for high-speed InP-based OEICs. (author)

  16. A polarized photoluminescence study of strained layer GaAs photocathodes

    International Nuclear Information System (INIS)

    Mair, R.A.

    1996-07-01

    Photoluminescence measurements have been made on a set of epitaxially grown strained GaAs photocathode structures. The photocathodes are designed to exhibit a strain-induced enhancement of the electron spin polarization obtainable by optical pumping with circularly polarized radiation of near band gap energy. For the case of non-strained GaAs, the degree of spin polarization is limited to 50% by crystal symmetry. Under an appropriate uniaxial compression or tension, however, the valence band structure near the gap minimum is modified such that a spin polarization of 100% is theoretically possible. A total of nine samples with biaxial compressive strains ranging from zero to ∼0.8% are studied. X-ray diffraction analysis, utilizing Bragg reflections, is used to determine the crystal lattice structure of the samples. Luminescence spectra and luminescence circular polarization data are obtained at room temperature, ∼78 K and ∼12 K. The degree of luminescence circular polarization is used as a relative measure of the photo-excited electron spin polarization. The room temperature luminescence circular polarization data is compared with the measured electron spin polarization when the samples are used as electron photo-emitters with a negative electron affinity surface preparation. The luminescence data is also analyzed in conjunction with the crystal structure data with the goal of understanding the strain dependent valence band structure, optical pumping characteristics and spin depolarization mechanisms of the photocathode structures. A simple model is used to describe the luminescence data, obtained for the set of samples. Within the assumptions of the model, the deformation potentials a, b and d for GaAs are determined. The measured values are a = -10.16±.21 eV, b = -2.00±.05 eV and d = -4.87±.29 eV. Good agreement with published values of the deformation potentials provides support for the model used to describe the data

  17. Low-energy particle treatment of GaAs surface

    International Nuclear Information System (INIS)

    Pincik, E.; Ivanco, J.; Brunner, R.; Jergel, M.; Falcony, C.; Ortega, L.; Kucera, J. M.

    2002-01-01

    The paper presents results of a complex study of surface properties of high-doped (2x10 18 cm -3 ) and semi-insulating GaAs after an interaction with the particles coming from low-energy ion sources such as RF plasma and ion beams. The virgin samples were mechano-chemically polished liquid-encapsulated Czochralski-grown GaAs (100) oriented wafers. The crystals were mounted on the grounded electrode (holder). The mixture Ar+H 2 as well as O 2 and CF 4 were used as working gases: In addition, a combination of two different in-situ exposures was applied, such as e.g. hydrogen and oxygen. Structural, electrical and optical properties of the exposed surfaces were investigated using X-ray diffraction at grazing incidence, quasi-static and high-frequency C-V curve measurements, deep-level transient spectroscopy, photo-reflectance, and photoluminescence. Plasma and ion beam exposures were performed in a commercial RF capacitively coupled plasma equipment SECON XPL-200P and a commercial LPAI device, respectively. The evolution of surface properties as a function of the pressure of working gas and the duration of exposure was observed. (Authors)

  18. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  19. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  20. Longer than 1.9 μm photoluminescence emission from InAs quantum structure on GaAs (001) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Ke; Ma, Wenquan, E-mail: wqma@semi.ac.cn; Huang, Jianliang; Zhang, Yanhua; Cao, Yulian; Huang, Wenjun; Luo, Shuai; Yang, Tao [Institute of Semiconductors, Chinese Academy of Sciences, Qinghua East Road A 35, Beijing 100083 (China)

    2015-07-27

    We report on photoluminescence (PL) emission with long wavelength for quantum structure by the sub-monolayer (SML) growth technique on GaAs (001) substrate. It is found that the PL emission wavelength can be controlled by controlling the SML InAs deposition amount. At 12 K, the PL peak position of the grown samples changes from about 1.66 to 1.78 μm. At 120 K, the PL emission of a sample reaches 1.91 μm. The physical mechanism responsible for the measured long wavelength PL emission may be related to strong In segregation and intermixing effects occurred in the structure grown by SML growth technique.

  1. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  2. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  3. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  4. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  5. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    International Nuclear Information System (INIS)

    Lajnef, M.; Chtourou, R.; Ezzaouia, H.

    2010-01-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height φ b0 parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  6. Investigation of the fabrication mechanism of self-assembled GaAs quantum rings grown by droplet epitaxy.

    Science.gov (United States)

    Tong, C Z; Yoon, S F

    2008-09-10

    We have directly imaged the formation of a GaAs quantum ring (QR) using droplet epitaxy followed by annealing in arsenic ambient. Based on the atomic force micrograph measurement and the analysis of surface energy, we determine that the formation of self-assembled GaAs QRs is due to the gallium atom's diffusion and crystallization driven by the gradient of surface energy. The phenomenon that GaAs is etched by the gallium droplets is reported and analyzed. It has been demonstrated that the epitaxy layers, such as AlAs and InGaP, can be used as the etching stop layer and hence can be used to control the shape and height of the QRs.

  7. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    Science.gov (United States)

    2013-10-11

    dispersed by a 1800 grooves/mm 0.85m double-grating spectrometer and detected by a UV -sensitive GaAs photomultiplier coupled to a computer-controlled... UV excitation at RT was attacked by the etching solution (which is indicative of the N-polar surface), as depicted in Figs. 2(a) and 2(b). This result...thorough spectrometric and optical spectro - scopic studies in combination with selective etching carried out on samples grown by the moderate-pressure

  8. X-ray diffraction from single GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas

    2012-11-12

    In recent years, developments in X-ray focussing optics have allowed to produce highly intense, coherent X-ray beams with spot sizes in the range of 100 nm and below. Together with the development of new experimental stations, X-ray diffraction techniques can now be applied to study single nanometer-sized objects. In the present work, X-ray diffraction is applied to study different aspects of the epitaxial growth of GaAs nanowires. Besides conventional diffraction methods, which employ X-ray beams with dimensions of several tens of {mu}m, special emphasis lies on the use of nanodiffraction methods which allow to study single nanowires in their as-grown state without further preparation. In particular, coherent X-ray diffraction is applied to measure simultaneously the 3-dimensional shape and lattice parameters of GaAs nanowires grown by metal-organic vapor phase epitaxy. It is observed that due to a high density of zinc-blende rotational twins within the nanowires, their lattice parameter deviates systematically from the bulk zinc-blende phase. In a second step, the initial stage in the growth of GaAs nanowires on Si (1 1 1) surfaces is studied. This nanowires, obtained by Ga-assisted growth in molecular beam epitaxy, grow predominantly in the cubic zinc-blende structure, but contain inclusions of the hexagonal wurtzite phase close to their bottom interface. Using nanodiffraction methods, the position of the different structural units along the growth axis is determined. Because the GaAs lattice is 4% larger than silicon, these nanowires release their lattice mismatch by the inclusion of dislocations at the interface. Whereas NWs with diameters below 50 nm are free of strain, a rough interface structure in nanowires with diameters above 100 nm prevents a complete plastic relaxation, leading to a residual strain at the interface that decays elastically along the growth direction. Finally, measurements on GaAs-core/InAs-shell nanowire heterostructures are presented

  9. Electric characterization of GaAs deposited on porous silicon by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Lajnef, M., E-mail: Mohamed.lajnef@yahoo.fr [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia); Chtourou, R.; Ezzaouia, H. [Laboratoire de Photovoltaique et de Semi-conducteurs, Centre de Recherche et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2010-03-01

    GaAs thin films were synthesized on porous Si substrate by the electrodeposition technique. The X-ray diffraction studies showed that the as-grown films were crystallised in mixed phase nature orthorhombic and cubic of GaAs. The GaAs film was then electrically characterized using current-voltage (I-V) and capacitance-voltage (C-V) techniques by the way of Al/GaAs Schottky junctions. The electric analysis allowed us to determine the n factor and the barrier height {phi}{sub b0} parameters of Al/GaAs Schottky junctions. The (C-V) characteristics were recorded at frequency signal 1 MHz in order to identify the effect of the surface states on the behaviour of the capacitance of the device.

  10. Annealing of proton-damaged GaAs and 1/f noise

    NARCIS (Netherlands)

    Chen, X.Y.; Folter, de L.C.

    1997-01-01

    GaAs layers were grown by MBE. The layers were then damaged by 3 MeV proton irradiation and later annealed. We performed Hall effect and low-frequency noise measurements at temperatures between 77 K and 300 K after each step. Several generation - recombination noise components created by proton

  11. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  12. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  13. Fast X-ray detection systems based on GaAs diodes grown by LPE

    International Nuclear Information System (INIS)

    Rente, C.; Lauter, J.; Apetz, R.; Lueth, H.

    1996-01-01

    We report on the fabrication and characterization of GaAs based X-ray detectors. The detector structures are grown by liquid phase epitaxy (LPE) and show typical background doping in the order of 10 14 cm -3 (n-type) so that active regions up to 43 μm could be realized. Schottky diodes were processed with active areas up to 1mm 2 . Typical dark current densities are as low as 360pA/mm 2 at 100V. The energy resolution of the detector in combination with a charge sensitive preamplifier was determined to be 1.6keV (FWHM) for x-rays with an energy between 6 and 60keV. The time response of the devices coupled to a fast transimpedance amplifier with a bandwidth of 100MHz was investigated. Single photon detection at room temperature was achieved for X-rays having energies of 14 keV and higher. The measured time resolutions were 600ps (FWHM=1.4ns) and 430ps (FWHM=1.0ns) for X-ray photons of 14.4keV and 21.5keV, respectively. The efficiency of the detector having a 43μm thick depleted layer was determined to be 70% at 14.4 keV and 40% at 21.5keV. These detectors open a new field of X-ray spectroscopy especially for high rate applications and timing measurements at synchrotron radiation facilities

  14. Optical characterization of MOVPE grown δ-InAs layers in GaAs

    Czech Academy of Sciences Publication Activity Database

    Hazdra, P.; Voves, J.; Hulicius, Eduard; Pangrác, Jiří

    2005-01-01

    Roč. 2, č. 4 (2005), s. 1319-1324 ISSN 1610-1634 R&D Projects: GA AV ČR(CZ) IAA1010318; GA MŠk(CZ) LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : δ-layer * MOVPE * GaAs * photoluminescence * photocurrent * photoreflectance Subject RIV: BM - Solid Matter Physics ; Magnetism

  15. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  16. Effects of surface passivation on twin-free GaAs nanosheets.

    Science.gov (United States)

    Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B

    2015-02-24

    Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.

  17. Study of the energy band in n-type GaAs and p-type In P by transmission and photoluminescence spectroscopy

    International Nuclear Information System (INIS)

    Banai, N.; Khanzadeh, M.

    1998-01-01

    Optical characterization of the n-type In P grown by horizontal Bridgman method was carried out using modular photoluminescence and optical transmission spectroscopy. The measured transmission spectra at room temperature using Cary 17 DX spectrophotometer reveals the band gap energies of 1.4 and 1.34 eV for p-type In P and the n-type GaAs, respectively. Photoluminescence spectra of the above samples was measured at 77 K with the excitation intensity of (20 W/Cm 2 ). The (B-A) transitions occur at 1.405 eV and at 1.382 eV respectively. Three spectra were observed for the n-type GaAs sample, namely, (B-B), (B-A) and another relatively wide spectra at wavelengths above the absorption edge caused by the deep level impurities. The peak position of these spectra are 1.482, 1.4 and 1.36 eV respectively. (author)

  18. The influence of post-growth annealing on the optical properties of InAs quantum dot chains grown on pre-patterned GaAs(100)

    International Nuclear Information System (INIS)

    Hakkarainen, T V; Polojärvi, V; Schramm, A; Tommila, J; Guina, M

    2012-01-01

    We report on the effect of post-growth thermal annealing of [011]-, [01 1-bar ]-, and [010]-oriented quantum dot chains grown by molecular beam epitaxy on GaAs(100) substrates patterned by UV-nanoimprint lithography. We show that the quantum dot chains experience a blueshift of the photoluminescence energy, spectral narrowing, and a reduction of the intersubband energy separation during annealing. The photoluminescence blueshift is more rapid for the quantum dot chains than for self-assembled quantum dots that were used as a reference. Furthermore, we studied polarization resolved photoluminescence and observed that annealing reduces the intrinsic optical anisotropy of the quantum dot chains and the self-assembled quantum dots. (paper)

  19. Parallel-aligned GaAs nanowires with (110) orientation laterally grown on [311]B substrates via the gold-catalyzed vapor-liquid-solid mode

    International Nuclear Information System (INIS)

    Zhang Guoqiang; Tateno, Kouta; Gotoh, Hideki; Nakano, Hidetoshi

    2010-01-01

    We report parallel aligned GaAs nanowires (NWs) with (110) orientation laterally grown on [311]B substrates via the vapor-liquid-solid mode and demonstrate their controllability and growth mechanism. We control the size, density, and site of the lateral NWs by using size- and density-selective Au colloidal particles and Au dot arrays defined by electron-beam lithography. The lateral NWs grow only along the [110] and [1-bar 1-bar 0] directions and formation of the stable facets of (111)B and (001) on the sides of the lateral NWs is crucial for lateral NW growth. We clarify the growth mechanism by comparing the growth results on [311]B, (311)A, and (001) substrates and the surface energy change of lateral and freestanding NWs.

  20. Individual GaAs nanorods imaged by coherent X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Pietsch, Ullrich; Biermanns, Andreas; Davydok, Anton [Universitaet Siegen (Germany); Paetzelt, Hendrik [Universitaet Leipzig (Germany); IOM Leipzig (Germany); Diaz, Ana; Metzger, Hartmut [ID01 Beamline, ESRF (France); Gottschalch, Volker [Universitaet Leipzig (Germany)

    2010-07-01

    Semiconductor nanorods are of particular interest for new semiconductor devices because the nanorod approach can be used to form heterostructures of materials with a large lattice mismatch and to define nanorod arrays with tailored inter-rod distance. However, all applications require objects with uniform physical properties based on uniform morphology. Complementary to electron microscopy techniques, destruction free X-ray diffraction techniques can be used to determine structural and morphological details. Using scanning X-ray diffraction microscopy with a spot size of 220 x 600 nm{sup 2} we were able to inspect individual GaAs nanorods grown by seed-free MOVPE through circular openings in a SiN{sub x} mask in a periodic array with 3 {mu}m spacing on GaAs[111]B. The focussed X-ray beam allows the determination of the strain state of individual rods and in combination with coherent diffraction imaging, we were able to characterize also morphological details. Rods grown at different positions in the array show significant differences in shape, size and strain state.

  1. Enhanced Efficiency of GaAs Single-Junction Solar Cells with Inverted-Cone-Shaped Nanoholes Fabricated Using Anodic Aluminum Oxide Masks

    Directory of Open Access Journals (Sweden)

    Kangho Kim

    2013-01-01

    Full Text Available The GaAs solar cells are grown by low-pressure metalorganic chemical vapor deposition (LP-MOCVD and fabricated by photolithography, metal evaporation, annealing, and wet chemical etch processes. Anodized aluminum oxide (AAO masks are prepared from an aluminum foil by a two-step anodization method. Inductively coupled plasma dry etching is used to etch and define the nanoarray structures on top of an InGaP window layer of the GaAs solar cells. The inverted-cone-shaped nanoholes with a surface diameter of about 50 nm are formed on the top surface of the solar cells after the AAO mask removal. Photovoltaic and optical characteristics of the GaAs solar cells with and without the nanohole arrays are investigated. The reflectance of the AAO nanopatterned samples is lower than that of the planar GaAs solar cell in the measured range. The short-circuit current density increased up to 11.63% and the conversion efficiency improved from 10.53 to 11.57% under 1-sun AM 1.5 G conditions by using the nanohole arrays. Dependence of the efficiency enhancement on the etching depth of the nanohole arrays is also investigated. These results show that the nanohole arrays fabricated with an AAO technique may be employed to improve the light absorption and, in turn, the conversion efficiency of the GaAs solar cell.

  2. Surface photovoltage and photoluminescence study of thick Ga(In)AsN layers grown by liquid-phase epitaxy

    International Nuclear Information System (INIS)

    Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G

    2016-01-01

    We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)

  3. Tandem Solar Cells Using GaAs Nanowires on Si: Design, Fabrication, and Observation of Voltage Addition.

    Science.gov (United States)

    Yao, Maoqing; Cong, Sen; Arab, Shermin; Huang, Ningfeng; Povinelli, Michelle L; Cronin, Stephen B; Dapkus, P Daniel; Zhou, Chongwu

    2015-11-11

    Multijunction solar cells provide us a viable approach to achieve efficiencies higher than the Shockley-Queisser limit. Due to their unique optical, electrical, and crystallographic features, semiconductor nanowires are good candidates to achieve monolithic integration of solar cell materials that are not lattice-matched. Here, we report the first realization of nanowire-on-Si tandem cells with the observation of voltage addition of the GaAs nanowire top cell and the Si bottom cell with an open circuit voltage of 0.956 V and an efficiency of 11.4%. Our simulation showed that the current-matching condition plays an important role in the overall efficiency. Furthermore, we characterized GaAs nanowire arrays grown on lattice-mismatched Si substrates and estimated the carrier density using photoluminescence. A low-resistance connecting junction was obtained using n(+)-GaAs/p(+)-Si heterojunction. Finally, we demonstrated tandem solar cells based on top GaAs nanowire array solar cells grown on bottom planar Si solar cells. The reported nanowire-on-Si tandem cell opens up great opportunities for high-efficiency, low-cost multijunction solar cells.

  4. Structural and electrical properties of high-quality 0.41 μm-thick InSb films grown on GaAs (1 0 0) substrate with InxAl1−xSb continuously graded buffer

    International Nuclear Information System (INIS)

    Shin, Sang Hoon; Song, Jin Dong; Lim, Ju Young; Koo, Hyun Cheol; Kim, Tae Geun

    2012-01-01

    High-quality InSb was grown on a GaAs (1 0 0) substrate with an InAlSb continuously graded buffer (CGB). The temperatures of In, Al K-cells and substrate were modified during the growth of InAlSb CGB. The cross-section TEM image reveals that the defects due to lattice-mismatch disappear near lateral structures in CGB. The measured electron mobility of 0.41 μm-thick InSb was 46,300 cm 2 /Vs at 300 K. These data surpass the electron mobility of state-of-the-art InSb grown by other methods with similar thickness of InSb.

  5. Modified energetics and growth kinetics on H-terminated GaAs (110)

    International Nuclear Information System (INIS)

    Galiana, B.; Benedicto, M.; Díez-Merino, L.; Tejedor, P.; Lorbek, S.; Hlawacek, G.; Teichert, C.

    2013-01-01

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As 4 , has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å 2 measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As 4 molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed

  6. Modified energetics and growth kinetics on H-terminated GaAs (110)

    Energy Technology Data Exchange (ETDEWEB)

    Galiana, B. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Departamento de Física, Universidad Carlos III de Madrid, Avenida de la Universidad 30, 28911 Madrid (Spain); Benedicto, M.; Díez-Merino, L.; Tejedor, P. [Instituto de Ciencia de Materiales de Madrid, CSIC, Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Lorbek, S.; Hlawacek, G.; Teichert, C. [Institut für Physik, Montanuniversität Leoben, Franz Josef St., 18A-8700 Leoben (Austria)

    2013-10-28

    Atomic hydrogen modification of the surface energy of GaAs (110) epilayers, grown at high temperatures from molecular beams of Ga and As{sub 4}, has been investigated by friction force microscopy (FFM). The reduction of the friction force observed with longer exposures to the H beam has been correlated with the lowering of the surface energy originated by the progressive de-relaxation of the GaAs (110) surface occurring upon H chemisorption. Our results indicate that the H-terminated GaAs (110) epilayers are more stable than the As-stabilized ones, with the minimum surface energy value of 31 meV/Å{sup 2} measured for the fully hydrogenated surface. A significant reduction of the Ga diffusion length on the H-terminated surface irrespective of H coverage has been calculated from the FFM data, consistent with the layer-by-layer growth mode and the greater As incorporation coefficient determined from real-time reflection high-energy electron diffraction studies. Arsenic incorporation through direct dissociative chemisorption of single As{sub 4} molecules mediated by H on the GaAs (110) surface has been proposed as the most likely explanation for the changes in surface kinetics observed.

  7. Characterization of InP/GaAs/Si structures grown by atmospheric pressure metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Pearton, S.J.; Short, K.T.; Macrander, A.T.; Abernathy, C.R.; Mazzi, V.P.; Haegel, N.M.; Al-Jassim, M.M.; Vernon, S.M.; Haven, V.E.

    1989-01-01

    The thickness dependence of material quality of InP-GaAs-Si structures grown by atmospheric pressure metalorganic chemical vapor deposition was investigated. The InP thickness was varied from 1--4 μm, and that of the GaAs from 0.1--4 μm. For a given thickness of InP, its ion channeling yield and x-ray peak width were essentially independent of the GaAs layer thickness. The InP x-ray peak widths were typically 400--440 arcsec for 4-μm-thick layers grown on GaAs. The GaAs x-ray widths in turn varied from 320--1000 arcsec for layer thicknesses from 0.1--4 μm. Cross-sectional transmission electron microscopy showed high defect densities at both the InP-GaAs and GaAs-Si interfaces. In 4-μm-thick InP layers the average threading dislocation density was in the range (3--8) x 10 8 cm -2 with a stacking fault density within the range (0.4--2) x 10 8 cm 2 . The He + ion channeling yield near the InP surface was similar to that of bulk InP (chi/sub min/∼4%), but rose rapidly toward the InP-GaAs heterointerface where it was typically around 50% for 1-μm-thick InP layers. All samples showed room-temperature luminescence, while at 4.4 K, exciton-related transitions, whose intensity was a function of the InP thickness, were observed

  8. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  9. Atomic hydrogen cleaning of GaAs photocathodes

    International Nuclear Information System (INIS)

    Poelker, M.; Price, J.; Sinclair, C.

    1997-01-01

    It is well known that surface contaminants on semiconductors can be removed when samples are exposed to atomic hydrogen. Atomic H reacts with oxides and carbides on the surface, forming compounds that are liberated and subsequently pumped away. Experiments at Jefferson lab with bulk GaAs in a low-voltage ultra-high vacuum H cleaning chamber have resulted in the production of photocathodes with high photoelectron yield (i.e., quantum efficiency) and long lifetime. A small, portable H cleaning apparatus also has been constructed to successfully clean GaAs samples that are later removed from the vacuum apparatus, transported through air and installed in a high-voltage laser-driven spin-polarized electron source. These results indicate that this method is a versatile and robust alternative to conventional wet chemical etching procedures usually employed to clean bulk GaAs

  10. High Ms Fe16N2 thin film with Ag under layer on GaAs substrate

    Energy Technology Data Exchange (ETDEWEB)

    Allard Jr, Lawrence Frederick [ORNL

    2016-01-01

    (001) textured Fe16N2 thin film with Ag under layer is successfully grown on GaAs substrate using a facing target sputtering (FTS) system. After post annealing, chemically ordered Fe16N2 phase is formed and detected by X-ray diffraction (XRD). High saturation magnetization (Ms) is measured by a vibrating sample magnetometer (VSM). In comparison with Fe16N2 with Ag under layer on MgO substrate and Fe16N2 with Fe under layer on GaAs substrate, the current layer structure shows a higher Ms value, with a magnetically softer feature in contrast to the above cases. In addition, X-ray photoelectron spectroscopy (XPS) is performed to characterize the binding energy of N atoms. To verify the role of strain that the FeN layer experiences in the above three structures, Grazing Incidence X-ray Diffraction (GIXRD) is conducted to reveal a large in-plane lattice constant due to the in-plane biaxial tensile strain. INTRODUCTION

  11. Optical orientation of Mn{sup 2+} ions in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Langer, Lukas; Bayer, Manfred [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); Akimov, Ilya A.; Yakovlev, Dmitri R. [Experimentelle Physik 2, Technische Universitaet Dortmund, 44221 Dortmund (Germany); A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation); Dzhioev, Roslan I.; Korenev, Vladimir L.; Kusrayev, Yuri G.; Sapega, Victor F. [A.F. Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2011-07-01

    We report on optical orientation of Mn{sup 2+} ions in bulk GaAs under application of weak longitudinal magnetic fields (B {<=}150 mT). The studied samples were grown by liquid phase epitaxy and Czochralski method and were doped with a low Mn acceptor concentration of 8 x 10{sup 18} cm{sup -3}. Time resolved measurements of circular polarization for donor-acceptor photoluminescence in Faraday geometry reveal nontrivial spin dynamics of donor localized electrons. Initially the degree of polarization of the electron spins is 40%. It then decays within some tens of ns to reach a plateau. The plateau is absent at B=0 T and saturates at B=150 mT reaching the value of 35%. It's sign changes with the helicity of incident light. It follows that the s-d exchange interaction with optically oriented electrons induces a steady state non-equilibrium polarization of the Mn{sup 2+} ions. The latter maintain their spin and return part of the polarization back to the electron spin system, resulting in the plateau. This provides a long-lived electron spin memory in GaAs doped with Mn. The dynamical polarization of ionized Mn acceptors was also directly monitored using spin flip Raman scattering spectroscopy, in agreement with time-resolved data.

  12. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  13. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  14. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  15. Coalescence of GaAs on (001) Si nano-trenches based on three-stage epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    He, Yunrui; Wang, Jun, E-mail: wangjun12@bupt.edu.cn; Hu, Haiyang; Wang, Qi; Huang, Yongqing; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-05-18

    The coalescence of selective area grown GaAs regions has been performed on patterned 1.8 μm GaAs buffer layer on Si via metal-organic chemical vapor deposition. We propose a promising method of three-stage epitaxial lateral overgrowth (ELO) to achieve uniform coalescence and flat surface. Rough surface caused by the coalescence of different growth fronts is smoothened by this method. Low root-mean-square surface roughness of 6.29 nm has been obtained on a 410-nm-thick coalesced ELO GaAs layer. Cross-sectional transmission electron microscope study shows that the coalescence of different growth fronts will induce some new dislocations. However, the coalescence-induced dislocations tend to mutually annihilate and only a small part of them reach the GaAs surface. High optical quality of the ELO GaAs layer has been confirmed by low temperature (77 K) photoluminescence measurements. This research promises a very large scale integration platform for the monolithic integration of GaAs-based device on Si.

  16. Anisotropic magnetoresistance and piezoelectric effect in GaAs Hall samples

    Science.gov (United States)

    Ciftja, Orion

    2017-02-01

    Application of a strong magnetic field perpendicular to a two-dimensional electron system leads to a variety of quantum phases ranging from incompressible quantum Hall liquid to Wigner solid, charge density wave, and exotic non-Abelian states. A few quantum phases seen in past experiments on GaAs Hall samples of electrons show pronounced anisotropic magnetoresistance values at certain weak magnetic fields. We argue that this might be due to the piezoelectric effect that is inherent in a semiconductor host such as GaAs. Such an effect has the potential to create a sufficient in-plane internal strain that will be felt by electrons and will determine the direction of high and low resistance. When Wigner solid, charge density wave, and isotropic liquid phases are very close in energy, the overall stability of the system is very sensitive to local order and, thus, can be strongly influenced even by a weak perturbation such as the piezoelectric-induced effective electron-electron interaction, which is anisotropic. In this work, we argue that an anisotropic interaction potential may stabilize anisotropic liquid phases of electrons even in a strong magnetic field regime where normally one expects to see only isotropic quantum Hall or isotropic Fermi liquid states. We use this approach to support a theoretical framework that envisions the possibility of an anisotropic liquid crystalline state of electrons in the lowest Landau level. In particular, we argue that an anisotropic liquid state of electrons may stabilize in the lowest Landau level close to the liquid-solid transition region at filling factor ν =1 /6 for a given anisotropic Coulomb interaction potential. Quantum Monte Carlo simulations for a liquid crystalline state with broken rotational symmetry indicate stability of liquid crystalline order consistent with the existence of an anisotropic liquid state of electrons stabilized by anisotropy at filling factor ν =1 /6 of the lowest Landau level.

  17. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  18. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  19. Andreev reflections at interfaces between delta-doped GaAs and superconducting Al films

    DEFF Research Database (Denmark)

    Taboryski, Rafael Jozef; Clausen, Thomas; Hansen, Jørn Bindslev

    1996-01-01

    By placing several Si delta-doped layers close to the surface of a GaAs molecular beam epitaxy-grown crystal, we achieve a compensation of the Schottky barrier and obtain a good Ohmic contact between an in situ deposited (without breaking the vacuum) Al metallization layer and a highly modulation...

  20. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  1. Contactless electroreflectance and photoluminescence of InAs quantum dots with GaInNAs barriers grown on GaAs substrate

    International Nuclear Information System (INIS)

    Motyka, M.; Kudrawiec, R.; Misiewicz, J.; Pucicki, D.; Tlaczala, M.; Fischer, M.; Marquardt, B.; Forchel, A.

    2007-01-01

    InAs quantum dots (QDs) with GaInNAs barriers grown on (001) GaAs substrate by molecular beam epitaxy have been studied by contactless electroreflectance (CER) and photoluminescence (PL) spectroscopies. It has been observed that the overgrowth of self-organized InAs QDs with GaInNAs layers effectively tunes the QD emission to the 1.3 μm spectral region. In case of PL spectra only one peak related to QD emission has been observed. In the case of CER spectra, in addition to a CER feature corresponding to the QD ground state, a rich spectrum of CER resonances related to optical transitions in InAs/GaInNAs/GaAs QW has been observed. It has been concluded that the application of GaInNAs instead InGaAs leads to better control of emission wavelength from InAs QDs since strains in GaInNAs can be tuned from compressive to tensile. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. GaAs Solar Cells on V-Grooved Silicon via Selective Area Growth: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Warren, Emily L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Jain, Nikhil [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Tamboli, Adele C [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Vaisman, Michelle [Yale University; Li, Qiang [Hong Kong University of Science and Technology; Lau, Kei May [Hong Kong University of Science and Technology

    2017-08-31

    Interest in integrating III-Vs onto Si has recently resurged as a promising pathway towards high-efficiency, low-cost tandem photovoltaics. Here, we present a single junction GaAs solar cell grown monolithically on polished Si (001) substrates using V-grooves, selective area growth, and aspect ratio trapping to mitigate defect formation without the use of expensive, thick graded buffers. The GaAs is free of antiphase domains and maintains a relatively low TDD of 4x107 cm-2, despite the lack of a graded buffer. This 6.25 percent-efficient demonstration solar cell shows promise for further improvements to III-V/Si tandems to enable cost-competitive photovoltaics.

  3. Inducer-independent production of pectinases in Aspergillus niger by overexpression of the D-galacturonic acid-responsive transcription factor gaaR.

    Science.gov (United States)

    Alazi, Ebru; Knetsch, Tim; Di Falco, Marcos; Reid, Ian D; Arentshorst, Mark; Visser, Jaap; Tsang, Adrian; Ram, Arthur F J

    2018-03-01

    The transcription factor GaaR is needed for the expression of genes required for pectin degradation and transport and catabolism of the main degradation product, D-galacturonic acid (GA) in Aspergillus niger. In this study, we used the strong constitutive gpdA promoter of Aspergillus nidulans to overexpress gaaR in A. niger. Overexpression of gaaR resulted in an increased transcription of the genes encoding pectinases, (putative) GA transporters, and catabolic pathway enzymes even under non-inducing conditions, i.e., in the absence of GA. Exoproteome analysis of a strain overexpressing gaaR showed that this strain secretes highly elevated levels of pectinases when grown in fructose. The genes encoding exo-polygalacturonases were found to be subjected to CreA-mediated carbon catabolite repression, even in the presence of fructose. Deletion of creA in the strain overexpressing gaaR resulted in a further increase in pectinase production in fructose. We showed that GaaR localizes mainly in the nucleus regardless of the presence of an inducer, and that overexpression of gaaR leads to an increased concentration of GaaR in the nucleus.

  4. Periodic nanostructures fabricated on GaAs surface by UV pulsed laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Huo, Dayun; Guo, Xiaoxiang; Rong, Chen; Shi, Zhenwu, E-mail: zwshi@suda.edu.cn; Peng, Changsi, E-mail: changsipeng@suda.edu.cn

    2016-01-01

    Graphical abstract: - Highlights: • Periodic nanostructures were fabricated on GaAs wafers by four-beam laser interference patterning which have potential applications in many fields. • Significant different results were obtained on epi-ready and homo-epitaxial GaAs substrate surfaces. • Two-pulse patterning was carried out on homo-epitaxial GaAs substrate, a noticeable morphology transformation induced by the second pulse was observed. • Temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations. The calculation agrees well with the experiment results. - Abstract: In this paper, periodic nanostructures were fabricated on GaAs wafers by four-beam UV pulsed laser interference patterning. Significant different results were observed on epi-ready and homo-epitaxial GaAs substrate surfaces, which suggests GaAs oxide layer has an important effect on pulsed laser irradiation process. In the case of two-pulse patterning, a noticeable morphology transformation induced by the second pulse was observed on homo-epitaxial GaAs substrate. Based on photo-thermal mode, temperature distribution on sample surface as a function of time and position was calculated by solving the heat diffusion equations.

  5. Experimental investigations of atomic ordering effects in the epitaxial Ga{sub x}In{sub 1-x}P, coherently grown on GaAs (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Seredin, P.V., E-mail: paul@phys.vsu.ru [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Goloshchapov, D.L.; Khudyakov, Yu.Yu.; Lenshin, A.S.; Lukin, A.N. [Voronezh State University, Universitetskaya pl., 1, 394006 Voronezh (Russian Federation); Arsentyev, I.N., E-mail: arsentyev@mail.ioffe.ru [Ioffe Physical and Technical Institute, Polytekhnicheskaya, 26, 194021 St-Petersburg (Russian Federation); Prutskij, Tatiana, E-mail: prutskij@yahoo.com [Instituto de Ciencias, Benemérita Universidad Autónoma de Puebla, Privada 17 Norte, No 3417, Col San Miguel Hueyotlipan, 72050 Puebla, Puebla (Mexico)

    2017-03-15

    A range of structural and spectroscopic techniques were used for the study of the properties of epitaxial Ga{sub x}In{sub 1-x}P alloys with an ordered arrangement of atoms in a crystal lattice grown by MOCVD on single-crystalline substrates of GaAs (100). The appearance of atomic ordering in the coherent growth conditions of the ordered Ga{sub x}In{sub 1-x}P alloy on GaAs (100) resulted in cardinal changes of the structural and optical properties of semiconductor in comparison to disordered alloys, including the change of the crystal lattice parameter and, consequently, reduced crystal symmetry, decreased band gap and formation of two different types of surface nanorelief. This is the first report of the calculation of parameters of the crystal lattice in Ga{sub x}In{sub 1-x}P with ordering taking into account the elastic stresses dependent on long-range ordering. Based on the variance analysis data with regard to the IR-reflection spectra as well as the UV-spectroscopy data obtained in the transmission-reflection mode, the main optical characteristics of the ordered Ga{sub x}In{sub 1-x}P alloys were determined for the first time, namely, refractive index dispersion and high-frequency dielectric constant. All of the experimental results were in good agreement with the previously developed theoretical beliefs.

  6. Sulfur passivation and contact methods for GaAs nanowire solar cells

    International Nuclear Information System (INIS)

    Tajik, N; Peng, Z; Kuyanov, P; LaPierre, R R

    2011-01-01

    The effect of sulfur passivation on core-shell p-n junction GaAs nanowire (NW) solar cells has been investigated. Devices of two types were investigated, consisting of indium tin oxide contact dots or opaque Au finger electrodes. Lateral carrier transport from the NWs to the contact fingers was achieved via a p-doped GaAs surface conduction layer. NWs between the opaque contact fingers had sidewall surfaces exposed for passivation by sulfur. The relative cell efficiency increased by 19% upon passivation. The contribution of the thin film grown between the NWs to the total cell efficiency was estimated by removing the NWs using a sonication procedure. Mechanisms of carrier transport and photovoltaic effects are discussed on the basis of spatially resolved laser scanning measurements.

  7. Novel anti-reflection technology for GaAs single-junction solar cells using surface patterning and Au nanoparticles.

    Science.gov (United States)

    Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Kim, Sangin; Rotermund, Fabian; Lim, Hanjo; Lee, Jaejin

    2012-07-01

    Single-junction GaAs solar cell structures were grown by low-pressure MOCVD on GaAs (100) substrates. Micro-rod arrays with diameters of 2 microm, 5 microm, and 10 microm were fabricated on the surfaces of the GaAs solar cells via photolithography and wet chemical etching. The patterned surfaces were coated with Au nanoparticles using an Au colloidal solution. Characteristics of the GaAs solar cells with and without the micro-rod arrays and Au nanoparticles were investigated. The short-circuit current density of the GaAs solar cell with 2 microm rod arrays and Au nanoparticles increased up to 34.9% compared to that of the reference cell without micro-rod arrays and Au nanoparticles. The conversion efficiency of the GaAs solar cell that was coated with Au nanoparticles on the patterned surface with micro-rod arrays can be improved from 14.1% to 19.9% under 1 sun AM 1.5G illumination. These results show that micro-rod arrays and Au nanoparticle coating can be applied together in surface patterning to achieve a novel cost-effective anti-reflection technology.

  8. Extended defects in MBE-grown CdTe-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wichrowska, Karolina; Wosinski, Tadeusz; Kret, Slawomir; Chusnutdinow, Sergij; Karczewski, Grzegorz [Institute of Physics, Polish Academy of Sciences, Warsaw (Poland); Rawski, Michal [Analytical Laboratory, Maria Curie-Sklodowska University, Lublin (Poland); Yastrubchak, Oksana [Institute of Physics, Maria Curie-Sklodowska University, Lublin (Poland)

    2015-08-15

    Extended defects in the p -ZnTe/n -CdTe heterojunctions grown by the molecular-beam epitaxy technique on two different substrates, GaAs and CdTe, have been investigated by deep-level transient spectroscopy (DLTS) and transmission electron microscopy (TEM). Four hole traps, called H1 to H4, and one electron trap, called E3, have been revealed in the DLTS spectra measured for the heterojunctions grown on the GaAs substrates. The H1, H3, H4 and E3 traps have been attributed to the electronic states of dislocations on the ground of their logarithmic capture kinetics. The DLTS peaks associated with the H1 and E3 traps were not observed in the DLTS spectra measured for the heterojunction grown on the CdTe substrate. They are most likely associated with threading dislocations generated at the mismatched interface with the GaAs substrate. Cross-sectional TEM images point out that they are dislocations of the 60 -type. In both the types of heterojunctions the H4 trap was observed only under forward-bias filling pulse, suggesting that this trap is associated with the CdTe/ZnTe interface. In addition, TEM images revealed also the presence of intrinsic and extrinsic stacking faults in the CdTe layers, which may considerably affect their electronic properties. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Alloy formation during InAs nanowire growth on GaAs(111)

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, Anton; Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institut 9, Forschungszentrum Juelich (Germany); JARA - Fundamentals of Future Information Technology (Germany)

    2012-07-01

    The growth of semiconductor nanowires has attracted significant interest in recent years due to the possible fabrication of novel semiconductor devices for future electronic and opto-electronic applications. A possible way to obtain nanowires is the growth in molecular beam epitaxy on the (111)B oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of InAs nanowires grown on GaAs substrates covered by different oxide-layers using X-ray diffraction. In this contribution, we report on the structural investigation of InAs nanowires grown via an In droplet on GaAs substrates covered by different oxide layers using X-ray diffraction. Using a combination of symmetric and asymmetric X-ray diffraction, we observe that for growth on a defective oxide layer, alloy formation takes place and a large amount of InGaAs is formed, whereas for growth on an initially smooth oxide layer, only pure InAs is formed.

  10. Conductive atomic force microscopy study of InAs growth kinetics on vicinal GaAs (110)

    International Nuclear Information System (INIS)

    Tejedor, Paloma; Diez-Merino, Laura; Beinik, Igor; Teichert, Christian

    2009-01-01

    Conductive atomic force microscopy has been used to investigate the effect of atomic hydrogen and step orientation on the growth behavior of InAs on GaAs (110) misoriented substrates. Samples grown by conventional molecular beam epitaxy exhibit higher conductivity on [110]-multiatomic step edges, where preferential nucleation of InAs nanowires takes place by step decoration. On H-terminated substrates with triangular terraces bounded by [115]-type steps, three-dimensional InAs clusters grow selectively at the terrace apices as a result of a kinetically driven enhancement in upward mass transport via AsH x intermediate species and a reduction in the surface free energy.

  11. Control of threading dislocations by strain engineering in GaInP buffers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, K.L., E-mail: klli2010@sinano.ac.cn [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Sun, Y.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Dong, J.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); He, Y.; Zeng, X.L. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, Y.M.; Yu, S.Z.; Zhao, C.Y. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China)

    2015-10-30

    High quality strain-relaxed In0.3Ga0.7As layers with threading dislocation density about 2 × 10{sup 6} cm{sup −2} and root-mean-square surface roughness below 8.0 nm were obtained on GaAs substrates using compositionally undulating step-graded Ga{sub 1−x}In{sub x}P (x = 0.48–0.78) buffers. The transmission electron microscopy results reveal that the conventional step-graded GaInP buffers produce high density dislocation pile-ups, which are induced by the blocking effect of the nonuniform misfit dislocation strain field and crosshatched surface on the gliding of threading dislocations. In contrast, due to strain compensation, insertion of the tensile GaInP layers decreases the surface roughness and promotes dislocation annihilation in the interfaces, and eventually reduces the threading dislocation density. This provides a promising way to achieve a virtual substrate with the desired lattice parameter for metamorphic device applications. - Highlights: • Metamorphic GaInP buffers were grown by metal–organic chemical vapor deposition. • The compositionally undulating buffers effectively reduce the threading dislocation density. • High quality strain-relaxed In{sub 0.3}Ga{sub 0.7}As layers were obtained.

  12. InGaAs/GaAsP strain balanced multi-quantum wires grown on misoriented GaAs substrates for high efficiency solar cells

    International Nuclear Information System (INIS)

    Alonso-Álvarez, D.; Thomas, T.; Führer, M.; Hylton, N. P.; Ekins-Daukes, N. J.; Lackner, D.; Philipps, S. P.; Bett, A. W.; Sodabanlu, H.; Fujii, H.; Watanabe, K.; Sugiyama, M.; Nasi, L.; Campanini, M.

    2014-01-01

    Quantum wires (QWRs) form naturally when growing strain balanced InGaAs/GaAsP multi-quantum wells (MQW) on GaAs [100] 6° misoriented substrates under the usual growth conditions. The presence of wires instead of wells could have several unexpected consequences for the performance of the MQW solar cells, both positive and negative, that need to be assessed to achieve high conversion efficiencies. In this letter, we study QWR properties from the point of view of their performance as solar cells by means of transmission electron microscopy, time resolved photoluminescence and external quantum efficiency (EQE) using polarised light. We find that these QWRs have longer lifetimes than nominally identical QWs grown on exact [100] GaAs substrates, of up to 1 μs, at any level of illumination. We attribute this effect to an asymmetric carrier escape from the nanostructures leading to a strong 1D-photo-charging, keeping electrons confined along the wire and holes in the barriers. In principle, these extended lifetimes could be exploited to enhance carrier collection and reduce dark current losses. Light absorption by these QWRs is 1.6 times weaker than QWs, as revealed by EQE measurements, which emphasises the need for more layers of nanostructures or the use light trapping techniques. Contrary to what we expected, QWR show very low absorption anisotropy, only 3.5%, which was the main drawback a priori of this nanostructure. We attribute this to a reduced lateral confinement inside the wires. These results encourage further study and optimization of QWRs for high efficiency solar cells.

  13. Reflectance-difference spectroscopy as an optical probe for in situ determination of doping levels in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martinez, A.; Lara-Velazquez, I.; Balderas-Navarro, R.E.; Ortega-Gallegos, J.; Guel-Sandoval, S.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi, Alvaro Obregon 64, San Luis Potosi, SLP 78000 (Mexico)

    2008-07-01

    We report on in situ Reflectance Difference Spectroscopy measurements carried out on GaAs(001). Measurements were performed at temperatures of 580 C and 430 C, in both n and p-type doped films and for both (2 x 4) and c(4 x 4) reconstructions. Samples employed were grown by Molecular Beam Epitaxy with doping levels in the range from 10{sup 16}-10{sup 19} cm{sup -3}. We demonstrate the potential of Reflectance Difference Spectroscopy for impurity level determinations under growth conditions. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. GaSb solar cells grown on GaAs via interfacial misfit arrays for use in the III-Sb multi-junction cell

    Science.gov (United States)

    Nelson, George T.; Juang, Bor-Chau; Slocum, Michael A.; Bittner, Zachary S.; Laghumavarapu, Ramesh B.; Huffaker, Diana L.; Hubbard, Seth M.

    2017-12-01

    Growth of GaSb with low threading dislocation density directly on GaAs may be possible with the strategic strain relaxation of interfacial misfit arrays. This creates an opportunity for a multi-junction solar cell with access to a wide range of well-developed direct bandgap materials. Multi-junction cells with a single layer of GaSb/GaAs interfacial misfit arrays could achieve higher efficiency than state-of-the-art inverted metamorphic multi-junction cells while forgoing the need for costly compositionally graded buffer layers. To develop this technology, GaSb single junction cells were grown via molecular beam epitaxy on both GaSb and GaAs substrates to compare homoepitaxial and heteroepitaxial GaSb device results. The GaSb-on-GaSb cell had an AM1.5g efficiency of 5.5% and a 44-sun AM1.5d efficiency of 8.9%. The GaSb-on-GaAs cell was 1.0% efficient under AM1.5g and 4.5% at 44 suns. The lower performance of the heteroepitaxial cell was due to low minority carrier Shockley-Read-Hall lifetimes and bulk shunting caused by defects related to the mismatched growth. A physics-based device simulator was used to create an inverted triple-junction GaInP/GaAs/GaSb model. The model predicted that, with current GaSb-on-GaAs material quality, the not-current-matched, proof-of-concept cell would provide 0.5% absolute efficiency gain over a tandem GaInP/GaAs cell at 1 sun and 2.5% gain at 44 suns, indicating that the effectiveness of the GaSb junction was a function of concentration.

  15. Diffraction anomalous fine-structure study of strained Ga1-xInxAs on GaAs(001)

    International Nuclear Information System (INIS)

    Woicik, J.C.; Cross, J.O.; Bouldin, C.E.; Ravel, B.; Pellegrino, J.G.; Steiner, B.; Bompadre, S.G.; Sorensen, L.B.; Miyano, K.E.; Kirkland, J.P.

    1998-01-01

    Diffraction anomalous fine-structure measurements performed at both the Ga and As K edges have determined the Ga-As bond length to be 2.442±0.005thinsp Angstrom in a buried, 213-Angstrom-thick Ga 0.785 In 0.215 As layer grown coherently on GaAs(001). This bond length corresponds to a strain-induced contraction of 0.013±0.005thinsp Angstrom relative to the Ga-As bond length in bulk Ga 1-x In x As of the same composition. Together with recent extended x-ray-absorption fine-structure measurements performed at the In K edge [Woicik et al., Phys. Rev. Lett. 79, 5026 (1997)], excellent agreement is found with the uniform bond-length distortion model for strained-layer semiconductors on (001) substrates. copyright 1998 The American Physical Society

  16. Structural and electronic properties of isovalent boron atoms in GaAs

    Science.gov (United States)

    Krammel, C. M.; Nattermann, L.; Sterzer, E.; Volz, K.; Koenraad, P. M.

    2018-04-01

    Boron containing GaAs, which is grown by metal organic vapour phase epitaxy, is studied at the atomic level by cross-sectional scanning tunneling microscopy (X-STM) and spectroscopy (STS). In topographic X-STM images, three classes of B related features are identified, which are attributed to individual B atoms on substitutional Ga sites down to the second layer below the natural {110} cleavage planes. The X-STM contrast of B atoms below the surface reflects primarily the structural modification of the GaAs matrix by the small B atoms. However, B atoms in the cleavage plane have in contrast to conventional isovalent impurities, such as Al and In, a strong influence on the local electronic structure similar to donors or acceptors. STS measurements show that B in the GaAs {110} surfaces gives rise to a localized state short below the conduction band (CB) edge while in bulk GaAs, the B impurity state is resonant with the CB. The analysis of BxGa1-xAs/GaAs quantum wells reveals a good crystal quality and shows that the incorporation of B atoms in GaAs can be controlled along the [001] growth direction at the atomic level. Surprisingly, the formation of the first and fourth nearest neighbor B pairs, which are oriented along the directions, is strongly suppressed at a B concentration of 1% while the third nearest neighbor B pairs are found more than twice as often than expected for a completely spatially random pattern.

  17. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  18. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  19. Fermi edge singularity evidence from photoluminescence spectroscopy of AlGaAs/InGaAs/GaAs pseudomorphic HEMTs grown on (3 1 1)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bru-Chevallier, C.; Maaref, H.

    2011-01-01

    InGaAs/AlGaAs/GaAs pseudomorphic high electron mobility transistor (P-HEMT) structures were grown by Molecular Beam Epitaxy (MBE) on (3 1 1)A GaAs substrates with different well widths, and studied by photoluminescence (PL) spectroscopy as a function of temperature and excitation density. The PL spectra are dominated by one or two spectral bands, corresponding, respectively, to one or two populated electron sub-bands in the InGaAs quantum well. An enhancement of PL intensity at the Fermi level energy (E F ) in the high-energy tail of the PL peak is clearly observed and associated with the Fermi edge singularity (FES). This is practically detected at the same energy for all samples, in contrast with energy transitions in the InGaAs channel, which are shifted to lower energy with increasing channel thickness. PL spectra at low temperature and low excitation density are used to optically determine the density of the two-dimensional electron gas (2DEG) in the InGaAs channel for different thicknesses. The results show an enhancement of the 2DEG density when the well width increases, in good agreement with our previous theoretical study.

  20. Observation of the in-plane spin-dephasing anisotropy in [111]-grown GaAs/AlGaAs quantum well

    International Nuclear Information System (INIS)

    Zhao, Chunbo; Li, Junbin; Yu, Ying; Ni, Haiqiao; Niu, Zhichuan; Zhang, Xinhui

    2014-01-01

    The electron density and temperature dependent in-plane spin-dephasing anisotropy in [111]-grown GaAs quantum well (QW) has been investigated by time-resolved magneto-Kerr rotation technique. Due to the specific symmetry of [111]-grown quantum well, the in-plane Rashba and linear Dresselhaus effective spin-orbit magnetic field is parallel to each other for electron wave vectors in all directions. However, an obvious in-plane spin-dephasing anisotropy comparing [2 ¯ 11] with [01 ¯ 1] crystalline orientations has been observed and discussed in this work. Our results demonstrate the innegligible spin dephasing channel through inhomogeneous broadening induced by the out-of-plane non-linear Dresselhaus field, which arises naturally from the C 3 symmetry of [111]-grown GaAs QW

  1. Sulfur passivation of semi-insulating GaAs: Transition from Coulomb blockade to weak localization regime

    Energy Technology Data Exchange (ETDEWEB)

    Bagraev, N. T., E-mail: Bagraev@mail.ioffe.ru [Ioffe Institute (Russian Federation); Chaikina, E. I. [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Division de Fisica Aplicada (Mexico); Danilovskii, E. Yu.; Gets, D. S.; Klyachkin, L. E.; L’vova, T. V.; Malyarenko, A. M. [Ioffe Institute (Russian Federation)

    2016-04-15

    The sulfur passivation of the semi-insulating GaAs bulk (SI GaAs) grown in an excess phase of arsenic is used to observe the transition from the Coulomb blockade to the weak localization regime at room temperature. The I–V characteristics of the SI GaAs device reveal nonlinear behavior that appears to be evidence of the Coulomb blockade process as well as the Coulomb oscillations. The sulfur passivation of the SI GaAs device surface results in enormous transformation of the I–V characteristics that demonstrate the strong increase of the resistance and Coulomb blockade regime is replaced by the electron tunneling processes. The results obtained are analyzed within frameworks of disordering SI GaAs surface that is caused by inhomogeneous distribution of the donor and acceptor anti-site defects which affects the conditions of quantum- mechanical tunneling. Weak localization processes caused by the preservation of the Fermi level pinning are demonstrated by measuring the negative magnetoresistance in weak magnetic fields at room temperature. Finally, the studies of the magnetoresistance at higher magnetic fields reveal the h/2e Aharonov–Altshuler–Spivak oscillations with the complicated behavior due to possible statistical mismatch of the interference paths in the presence of different microdefects.

  2. Raman study of low-temperature-grown Al0.29Ga0.71ASGaAs photorefractive materials

    International Nuclear Information System (INIS)

    Guo, L.W.; Han, Y.J.; Hu, C.Y.; Tan, P.H.; Yang, F.H.; Huang, Q.; Zhou, J.M.

    2002-01-01

    We report on the observation of resonant Raman scattering in low-temperature-grown AlGaASGaAs structure. Two kinds of excitation lights, 632.8 and 488 nm laser lines, were used to detect scattering signal from different regions based on different penetration depths. Under the outgoing resonant condition, up to fourth-order resonant Raman peaks were observed in the low-temperature-grown AlGaAs alloy, owing to a broad exciton luminescence in low-temperature-grown AlGaAs alloy induced by intrinsic defects and As cluster after post-annealing. These resonant peaks were assigned according to their fundamental modes. Among the resonant peaks, besides the overtones of the GaAs- or AlAs-like mode, there exist combination bands of these two kinds of modes. In addition, a weak scattering peak similar to the bulk GaAs longitudinal optical mode was observed in low-temperature Raman experiments. We consider the weak signal correlated with GaAs clusters appearing in AlGaAs alloys. The accumulation of GaAs in AlGaAs alloys was enhanced after annealing at high temperatures. A detailed study of the dependence of vibration modes on measuring temperature and post-annealing conditions is given also. In light of our experiments, it is suggested that a Raman scattering experiment is a sensitive microscopic probe of local disorder and, especially performed at low temperature, is a superior method in detecting and analyzing the weak interaction between phonons and electrons

  3. Heteroepitaxially grown InP solar cells

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Brinker, D.J.; Wilt, D.M.

    1990-01-01

    Although they are significantly more radiation resistant than either Si or GaAs solar cells, their high wafer cost presents a barrier to the widespread use of InP solar cells in space. For this reason, the authors have initiated a program aimed at producing high efficiency, radiation resistant solar cells processed from InP heteroepitaxially grown on cheaper substrates. The authors' objective is to present the most recent results emanating from this program together with the results of their initial proton irradiations on these cells. This paper reports that InP cells were processed from a 4 micron layer of InP, grown by OMCVD on a silicon substrate, with a 0.5 micron buffer layer between the InP directly grown on a GaAs substrate. Initial feasibility studies, in a Lewis sponsored program at the Spire corporation, resulted in air mass zero efficiencies of 7.1% for the former cells and 9.1% for the latter. These initial low efficiencies are attributed to the high dislocation densities caused by lattice mismatch. The authors' preirradiation analysis indicates extremely low minority carrier diffusion lengths, in both cell base and emitter, and high values of both the diffusion and recombination components of the diode reverse saturation currents. Irradiation by 10 MeV protons, to a fluence of 10 13 cm -2 , resulted in relatively low degradation in cell efficiency, short circuit current and open circuit voltage

  4. Effect of low and staggered gap quantum wells inserted in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Marigo-Lombart, L.; Fontaine, C.; Arnoult, A.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2018-04-01

    In this article, we investigate the impact of the insertion of either a type I InGaAs or a type II InGaAs/GaAsSb quantum well on the performances of MBE-grown GaAs tunnel junctions (TJs). The devices are designed and simulated using a quantum transport model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We experimentally observe significant improvements of the peak tunneling current density on both heterostructures with a 460-fold increase for a moderately doped GaAs TJ when the InGaAs QW is inserted at the junction interface, and a 3-fold improvement on a highly doped GaAs TJ integrating a type II InGaAs/GaAsSb QW. Thus, the simple insertion of staggered band lineup heterostructures enables us to reach a tunneling current well above the kA cm‑2 range, equivalent to the best achieved results for Si-doped GaAs TJs, implying very interesting potential for TJ-based components, such as multi-junction solar cells, vertical cavity surface emitting lasers and tunnel-field effect transistors.

  5. Iron clustering in GaSe epilayers grown on GaAs(111)B

    International Nuclear Information System (INIS)

    Moraes, A R de; Mosca, D H; Mattoso, N; Guimaraes, J L; Klein, J J; Schreiner, W H; Souza, P E N de; Oliveira, A J A de; Vasconcellos, M A Z de; Demaille, D; Eddrief, M; Etgens, V H

    2006-01-01

    In this paper we report on the structural, morphological and magnetic properties of semiconducting GaSe epilayers, grown by molecular beam epitaxy, doped to different iron contents (ranging from 1 to 22 at.% Fe). Our results indicate that iron forms metallic Fe nanoparticles with diameters ranging from 1 to 20 nm embedded in the crystalline GaSe matrix. The Fe incorporation proceeds by segregation and agglomeration and induces a progressive disruption of the lamellar GaSe epilayers. The magnetization as a function of the temperature for zero-field cooling with the magnetic field parallel to the surface of the sample provides evidence of superparamagnetic behaviour of the nanoparticles. Cathodoluminescence experiments performed at room temperature reveal semiconducting behaviour even for samples with Fe concentrations as high as 20 at.%

  6. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  7. Fabrication and optical properties of multishell InAs quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Xin; Zhang, Xia, E-mail: xzhang@bupt.edu.cn; Li, Junshuai; Cui, Jiangong; Ren, Xiaomin [State Key Laboratory of Information Photonics and Optical Communications, Beijing University of Posts and Telecommunications, Beijing 100876 (China)

    2015-02-07

    Hybrid nanostructures combining nanowires with quantum dots promote the development of nanoelectronic and nanophotonic devices with integrated functionalities. In this work, we present a complex nanostructure with multishell quantum dots grown on nanowires. 1–4 shells of Stranski-Krastanov InAs quantum dots are grown on the sidewalls of GaAs nanowires by metal organic chemical vapor deposition. Different dot shells are separated by 8 nm GaAs spacer shells. With increasing the number of shells, the quantum dots become sparser and tend to align in one array, which is caused by the shrinkage of facets on which dots prefer to grow as well as the strain fields produced by the lower set of dots which influences the migration of In adatoms. The size of quantum dots increases with the increase of shell number due to enhanced strain fields coupling. The spectra of multishell dots exhibit multiwavelength emission, and each peak corresponds to a dot shell. This hybrid structure may serve as a promising element in nanowire intermediate band solar cells, infrared nanolasers, and photodetectors.

  8. Strong coupling between bi-dimensional electron gas and nitrogen localized states in heavily doped GaAs1-xN x structures

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben Bouzid, S.; Oueslati, M.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    We report a low-temperature photoluminescence spectra (LTPL) of GaAs 1-x N x layers and two-dimension electron gas (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure grown on GaAs substrates by molecular beam epitaxy (MBE) with low nitrogen content [N] = 2 x 10 18 cm -3 . At low temperature, PL spectra of GaAs 1-x N x layers are governed by several features associate to the excitons bound to nitrogen complexes, these features disappear in (2DEG) GaAs 1-x N x /AlGaAs modulation doped heterostructure and the PL peak energy decrease with the laser power excitation. This effect is explained by the strongly coupling of the (2DEG) fundamental state with the nitrogen localized states. An activated energy of about 55 meV is deduced by photoluminescence measurements in the 10-300 K range for a laser power excitation P = 6 W/cm 2

  9. Photoreflectance study of strained GaAsN/GaAs T-junction quantum wires grown by metal-organic vapor phase epitaxy.

    Science.gov (United States)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Onabe, Kentaro

    2011-12-01

    Strained GaAsN T-junction quantum wires (T-QWRs) with different N contents grown on GaAs by two steps metal-organic vapor phase epitaxy in [001] and [110] directions, namely QW1 and QW2 respectively, have been investigated by photoreflectance (PR) spectroscopy. Two GaAsN T-QWRs with different N contents were formed by T-intersection of (i) a 6.4-nm-thick GaAs0.89N0.011 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2 and (ii) a 5.0-nm-thick GaAs0.985N0.015 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2. An evidence of a one-dimensional structure at T-intersection of the two QWs on the (001) and (110) surfaces was established by PR resonances associated with extended states in all the QW and T-QWR samples. It is found that larger lateral confinement energy than 100 meV in both of [001] and [110] directions were achieved for GaAsN T-QWRs. With increasing temperature, the transition energy of GaAsN T-QWRs decreases with a faster shrinking rate compared to that of bulk GaAs. Optical quality of GaAsN T-QWRs is found to be affected by the N-induced band edge fluctuation, which is the unique characteristic of dilute III-V-nitrides.

  10. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  11. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  12. Milliwatt-level output power in the sub-terahertz range generated by photomixing in a GaAs photoconductor

    Science.gov (United States)

    Peytavit, E.; Lepilliet, S.; Hindle, F.; Coinon, C.; Akalin, T.; Ducournau, G.; Mouret, G.; Lampin, J.-F.

    2011-11-01

    It is shown from accurate on-wafer measurement that continuous wave output powers of 1.2 mW at 50 GHz and 0.35 mW at 305 GHz can be generated by photomixing in a low temperature grown GaAs photoconductor using a metallic mirror Fabry-Pérot cavity. The output power is improved by a factor of about 100 as compared to the previous works on GaAs photomixers. A satisfactory agreement between the theory and the experiment is obtained in considering both the contribution of the holes and the electrons to the total photocurrent.

  13. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  14. Valence band photoemission from in-situ grown GaAs(100)-c(4 x 4)

    Czech Academy of Sciences Publication Activity Database

    Jiříček, Petr; Cukr, Miroslav; Bartoš, Igor; Adell, M.; Strasser, T.; Schattke, W.

    2006-01-01

    Roč. 56, č. 1 (2006), s. 21-26 ISSN 0011-4626. [Symposium on Surface Physics /10./. Praha, 11.07.2005-15.07.2005] R&D Projects: GA ČR(CZ) GA202/04/0994 Institutional research plan: CEZ:AV0Z10100521 Keywords : GaAs(100)-c(4X4) * surface states * band structure * structure plot Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.568, year: 2006

  15. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  16. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  17. Mass and energy dispersive recoil spectrometry of GaAs structures

    International Nuclear Information System (INIS)

    Hult, M.

    1994-01-01

    Mass and energy dispersive Recoil Spectrometry (RS) using heavy ions at energies of about 0.2Α-0.8Α MeV has attracted much interest recently due to its potential for separately and unambiguously generating information on isotopic depth distributions. The principal advantages of mass and energy dispersive RS are that both light and heavy elements can be separately studied simultaneously and problems caused by chemical matrix effects are avoided since the technique is based on high energy nucleus-nucleus scattering. In order to elucidate reactions taking place in various GaAs structures, Time of flight-Energy (ToF-E) RS was developed to allow Ga and As to be studied separately down to depths of about 500-800 nm with a depth resolution of about 16 nm at the surface. This was shown in a study of an Al x Ga 1-x As quantum-well structure. The benefits of using ToF-E RS on GaAs structures were further demonstrated in studies of Co/GaAs and CoSi 2 /GaAs reactions, as well as in a study of the composition of MOCVD grown Al x Ga 1-x As. Most recoil measurements employed 127 I at energies of about 50-90 MeV as projectiles. The recoil detector telescope consisted of a silicon energy detector and two carbon foil time pick-off detectors separated by a variable flight length of 213.5-961 mm. The reactions taking place between various thin films and GaAs were also studied using complementary techniques such as XRD, XPS and SEM. Co was found to react extensively with GaAs, already at about 300 degrees C, making it unsuitable as a contact material. Thin films of Co and Si were found to react extensively with each other and to form CoSi 2 at 500 degrees C and above. CoSi 2 , a low resistivity silicide, turned out to be stable on GaAs, at least up to 700 degrees C. Considerable grain growth could cause problems, however, in the use of CoSi 2 -contacts. 112 refs, figs, tabs

  18. Magnetic properties of Fe3O4 thin films grown on different substrates by laser ablation

    International Nuclear Information System (INIS)

    Parames, M.L.; Viskadourakis, Z.; Rogalski, M.S.; Mariano, J.; Popovici, N.; Giapintzakis, J.; Conde, O.

    2007-01-01

    Magnetite thin films have been grown onto (1 0 0)Si (1 0 0)GaAs and (0 0 0 1)Al 2 O 3 , at substrate temperatures varying from 473 to 673 K, by UV pulsed laser ablation of Fe 3 O 4 targets in reactive atmospheres of O 2 and Ar, at working pressure of 8 x 10 -2 Pa. The influence of the substrate on stoichiometry, microstructure and the magnetic properties has been studied by X-ray diffraction (XRD), conversion electron Moessbauer spectroscopy (CEMS) and magnetic measurements. Magnetite crystallites, with stoichiometry varying from Fe 2.95 O 4 to Fe 2.99 O 4 , are randomly oriented for (1 0 0)GaAs and (1 0 0)Si substrates and exhibit (1 1 1) texture if grown onto (0 0 0 1)Al 2 O 3 . Interfacial Fe 3+ diffusion, which is virtually absent for (1 0 0)Si substrates, was found for both (0 0 0 1)Al 2 O 3 and (1 0 0)GaAs, with some deleterious effect on the subsequent microstructure and magnetic behaviour

  19. Epitaxial grown InP quantum dots on a GaAs buffer realized on GaP/Si(001) templates

    Energy Technology Data Exchange (ETDEWEB)

    Hartwig, Walter; Wiesner, Michael; Koroknay, Elisabeth; Paul, Matthias; Jetter, Michael; Michler, Peter [Institut fuer Halbleiteroptik und Funktionelle Grenzflaechen und Research Center SCoPE, Universitaet Stuttgart, Allmandring 3, 70569 Stuttgart (Germany)

    2013-07-01

    The increasing necessity of higher computational capacity and security in the information technology requires originally technical solutions, which today's standard microelectronics, as their technical limits are close, can't provide anymore. One way out offers the integration of III-V semiconductor photonics with low-dimensional structures in current CMOS technology, enabling on-chip quantum optical applications, like quantum cryptography or quantum computing. Challenges in the heteroepitaxy of III-V semiconductors and silicon are the mismatches in material properties of the both systems. Defects, like dislocations and anti-phase domains (APDs), inhibit the monolithic integration of III-V semiconductor on Si. We present the growth of a thin GaAs buffer on CMOS-compatible oriented Si(001) by metal-organic vapor-phase epitaxy. To circumvent the forming APDs in the GaAs buffer a GaP on Si template (provided by NAsP{sub III/V} GmbH) was used. The dislocation density was then reduced by integrating several layers of InAs quantum dots in the GaAs buffer to bend the threading misfit dislocations. On top of this structure we grew InP quantum dots embedded in a Al{sub x}Ga{sub 1-x}InP composition and investigated the photoluminescence properties.

  20. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  1. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  2. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  3. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  4. Effects of electron and proton irradiations on n/p and p/n GaAs cells grown by MOCVD

    International Nuclear Information System (INIS)

    Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.

    1987-01-01

    State-of-the-art n/p and p/n heteroface GaAs cells, processed by metal organic chemical vapor deposition, were irradiated by 1 MeV electrons and 37 MeV protons and their performance determined as a function of fluence. It was found that the p/n cells were more radiation resistant than the n/p cells. The increased loss in the n/p cells was attributed to increases in series resistance and losses in the p-region resulting from the irradiation. The greater loss in fill factor observed for the n/p cells introduces the possibility that the presently observed superiority of the p/n cells may not be an intrinsic property of this configuration in GaAs

  5. Design and characterisation of high electron mobility transistors for use in a monolithic GaAs X-ray imaging sensor

    International Nuclear Information System (INIS)

    Boardman, D.A.; Sellin, P.J.

    2001-01-01

    A new design of monolithic GaAs pixel detector is proposed for medical and synchrotron applications. In this device a semi-insulating GaAs wafer will be used as both the detector element and the substrate for the integrated charge readout matrix. The charge readout matrix consists of High Electron Mobility Transistors (HEMTs), which are grown epitaxially onto the GaAs substrate. Experimental characterisation of HEMTs has been carried out and their suitability for the proposed imaging device is assessed. Temperature measurements on initial devices showed the threshold voltage to be stable from room temperature down to -15 degree sign C. HEMT designs with lower leakage current that operate in enhancement mode have been fabricated and modelled using the Silvaco simulation package. These optimised devices have been fabricated using a gate recess, and exhibit enhancement mode operation and significantly reduced gate leakage currents

  6. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011)B3 single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Higuchi, Jumpei; Yabuhara, Osamu; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011) B3 single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar] bcc || GaAs(011)[011-bar] B3 . The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{011} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011) B3 substrates.

  7. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  8. Annealing effects on electrical and optical properties of ZnO thin-film samples deposited by radio frequency-magnetron sputtering on GaAs (001) substrates

    International Nuclear Information System (INIS)

    Liu, H. F.; Chua, S. J.; Hu, G. X.; Gong, H.; Xiang, N.

    2007-01-01

    The effects of thermal annealing on Hall-effect measurement and photoluminescence (PL) from undoped n-type ZnO/GaAs thin-film samples have been studied. The evolutions of carrier concentration, electrical resistivity, and PL spectrum at various annealing conditions reveal that the dominant mechanism that affects the electrical and PL properties is dependent on the amount of thermal energy and the ambient pressure applied during the annealing process. At low annealing temperatures, annihilation of native defects is dominant in reducing the carrier concentration and weakening the low-energy tail of the main PL peak, while the GaAs substrate plays only a minor role in carrier compensations. For the higher temperatures, diffusion of Ga atoms from the GaAs substrate into ZnO film leads to a more n-type conduction of the sample. As a result, the PL exhibits a high-energy tail due to the high-level doping

  9. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  10. A study on carbon incorporation in semi-insulating GaAs crystals grown by the vapor pressure controlled Czochralski technique (VCz). Pt. I. Experiments and Results

    Energy Technology Data Exchange (ETDEWEB)

    Jacob, K.; Frank, C.; Neubert, M.; Rudolph, P. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Ulrici, W. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Paul-Drude-Inst. fuer Festkoerperelektronik, Berlin (Germany); Jurisch, M. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); Freiberger Compound Materials GmbH, Freiberg (Germany); Korb, J. [Institut fuer Kristallzuechtung im Forschungsverbund Berlin e.V. (IKZ) (Germany); GTT Technologies, Freiberg (Germany)

    2000-07-01

    In the past it has been demonstrated that the carbon concentration of large semi-insulating (SI) GaAs single crystals grown by the conventional liquid encapsulation Czochralski (LEC) technique can be controlled by several methods including variations of growth parameters. It was the aim of the present paper to clarify which of the relationships of LEC growth could be used for a carbon control in the VCz-method characterized by the application of an inner chamber made from graphite to avoid selective As evaporation. In detail this comprised a study of the influence of several growth parameters like the water content of the boric oxide, the composition of the working atmosphere, the gas flow, a titanium gettering and additions of gallium oxide. As a result, for the first time carbon concentrations down to {approx} 10{sup 14} cm{sup -3} were obtained in 3{sup ''} (75 mm) diameter VCz crystals. (orig.)

  11. Donor level of interstitial hydrogen in GaAs

    International Nuclear Information System (INIS)

    Dobaczewski, L.; Bonde Nielsen, K.; Nylandsted Larsen, A.; Peaker, A.R.

    2006-01-01

    The first data evidencing the existence of the donor level of the interstitial hydrogen in GaAs are presented. The abundant formation of the (0/+) donor level after in situ low-temperature implantation of hydrogen into the depletion layer of GaAs Schottky diodes has been observed and the activation energy and annealing properties have been determined by Laplace DLTS. The activation energy for electron emission of this donor state is 0.14eV. Above 100K the hydrogen deep donor state is unstable, converting to a more stable form when there are electrons available for the capture process. A slightly perturbed form of the hydrogen donor in its neutral charge state can be recovered by illuminating the sample. This process releases twice as many electrons as the ionisation process of the hydrogen donor state itself. This fact, by analogy with the silicon case, evidences the negative-U behaviour of hydrogen in GaAs

  12. Exciton radiative lifetime in sub-monlayer and stranskii-Krastanow grown InGaAs/GaAs quantum dots

    DEFF Research Database (Denmark)

    Xu, Zhangcheng; Zhang, Yating; Tackeuchi, Atsushi

    radiative lifetime rad [4]. Here, we compare the exciton radiative lifetime of SML-grown and SK-grown InGaAs/GaAs QDs at 10 K, via time-resolved photoluminescence (PL). The SML-QDs, were formed by 10 cycles of alternate deposition of 0.5 monolayer (ML) InAs and 2.5 ML GaAs, at 500 °C. The SK-QDs were...... formed by depositing 4.1 ML In0.6Ga0.4As on GaAs. The PL spectra indicate that the SML-QDs show better uniformity than the SK-QDs. Transient PL for SML and SK QDs at 10 K, where the PL decay time d is dominated by the exciton radiative lifetime, show d = 90 ps for SML-QDs which is much shorter than...

  13. Towards quantum dots on GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Moesl, Johannes; Ludwig, Stefan [Fakultaet fuer Physik, Center for NanoScience, LMU Munich, Geschwister-Scholl- Platz 1, D-80539 Muenchen (Germany); Fontcuberta i Morral, Anna [TU Munich, Walter Schottky Institut, Am Coulombwall 3, 85748 Garching (Germany); EPF, Lausanne (Switzerland)

    2009-07-01

    Semiconductor nanowires is an emergent research topic in the field of nanoelectronics, as they form an excellent building block for 0D and 1D applications and allow novel architectures and material combinations. We study electronic transport properties of catalyst-free MBE grown GaAs nanowires, p-doped at a number of different doping levels. Detailed characterization of the wires including electronic contacts fabricated by e-beam lithography and based on palladium or annealed zinc-silver alloys are discussed. Contact properties and a pronounced hysteresis of the current through the nanowires, as a backgate-voltage is swept, are explained within tentative models. In addition we present first transport measurements on quantum dots, which are defined electrostatically as well as by etched constrictions.

  14. Photoluminescence of highly compensated GaAs doped with high concentration of Ge

    Science.gov (United States)

    Watanabe, Masaru; Watanabe, Akira; Suezawa, Masashi

    1999-12-01

    We have studied the photoluminescence (PL) properties of Ge-doped GaAs crystals to confirm the validity of a theory developed by Shklovskii and Efros to explain the donor-acceptor pair (DAP) recombination in potential fluctuation. GaAs crystals doped with Ge of various concentrations were grown by a liquid-encapsulated Czochralski method. They were homogenized by annealing at 1200°C for 20 h under the optimum As vapor pressure. Both quasi-continuous and time-resolved PL spectra were measured at 4.2 K. The quasi-continuous PL spectra showed that the peak position shifted to lower energy as the Ge concentration increased, which was consistent with the Shklovskii and Efros's theory. Under very strong excitation in time-resolved measurements, the exciton peak appeared within short periods after excitation and then the peak shifted to that of DAP recombination. This clearly showed that the potential fluctuation disappeared under strong excitation and then recovered as the recombination proceeded.

  15. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  16. Charge collection efficiency of GaAs detectors studied with low-energy heavy charged particles

    CERN Document Server

    Bates, R; Linhart, V; O'Shea, V; Pospísil, S; Raine, C; Smith, K; Sinor, M; Wilhelm, I

    1999-01-01

    Epitaxially grown GaAs layers have recently been produced with sufficient thickness and low enough free carrier concentration to permit their use as radiation detectors. Initial tests have shown that the epi-material behaves as a classical semiconductor as the depletion behaviour follows the square root dependency on the applied bias. This article presents the results of measurements of the growth of the active depletion depth with increasing bias using low-energy protons and alpha particles as probes for various depths and their comparison to values extrapolated from capacitance measurements. From the proton and alpha particle spectroscopic measurements, an active depth of detector material that collects 100% of the charge generated inside it was determined. The consistency of these results with independent capacitance measurements supports the idea that the GaAs epi-material behaves as a classical semiconductor. (author)

  17. Lithium compensation of GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Tavendale, A.J.

    1988-08-01

    Defects generated following Li diffusion into GaAs were studied by optical deep level transient spectroscopy (ODLTS) and deep level transient spectroscopy (DLTS). In an exploratory series of experiments, the effect of Li diffusion on existing trap spectra, defect generation and as a means for the compensation of GaAs was studied. The variables included diffusion temperature, initial trap spectra of GaAs and annealing periods. Detailed measurements of trap energies were made

  18. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  19. Formation, atomic structure, and electronic properties of GaSb quantum dots in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Timm, R.

    2007-12-14

    In this work, cross-sectional scanning tunneling microscopy and spectroscopy are used for the first time to study the shape, size, strain, chemical composition, and electronic properties of capped GaSb/GaAs QDs at the atomic scale. By evaluating such structural results on a variety of nanostructures built using different epitaxy methods and growth conditions, details on the underlying QD formation processes can be revealed. A cross-over from flat quantum wells (QWs) to optically active QDs can be observed in samples grown by metalorganic chemical vapor deposition (MOCVD) with increasing amount of GaSb, including self-assembled Sb accumulations within a still two-dimensional layer and tiny three-dimensional GaSb islands probably acting as precursor structures. The QWs consist of significantly intermixed material with stoichiometries of maximally 50% GaSb, additionally exhibiting small gaps filled with GaAs. A higher GaSb content up to nearly pure material is found in the QDs, being characterized by small sizes of up to 8 nm baselength and about 2 nm height. In spite of the intermixing, all nanostructures have rather abrupt interfaces, and no significant Sb segregation in growth direction is observed. This changes completely when molecular beam epitaxy (MBE) is used as growth method, in which case individual Sb atoms are found to be distributed over several nm above the nanostructures. Massive group-V atomic exchange processes are causing this strong inter-mixing and Sb segregation during GaAs overgrowth. In combination with the large strain inherent to GaSb/GaAs QDs, this segregation upon overgrowth is assumed to be the reason for a unique structural phenomenon: All MBE-grown QDs, independent of the amount of deposited GaSb, exhibit a ring structure, consisting of a ring body of high GaSb content and a more or less extended central gap filled with GaAs. These rings have formed in a self-assembled way even when the initial GaSb layer was overgrown considerably fast

  20. In-situ transmission electron microscopy of the solid-phase epitaxial growth of GaAs: sample preparation and artifact characterization

    International Nuclear Information System (INIS)

    Llewellyn, D.J.; Llewellyn, D.J.; Belay, K.B.; Ridgway, M.C.

    1998-01-01

    In-situ transmission electron microscopy (TEM) has been used to characterize the solid phase epitaxial growth of amorphized GaAs at a temperature of 260 deg C. To maximize heat transfer from the heated holder to the sample and minimize electron-irradiation induced artifacts, non-conventional methodologies were utilized for the preparation of cross-sectional samples. GaAs 3x1 mm rectangular wafers were cleaved then glued face-to-face to form a wafer stack size of 3x3 mm while maintaining the TEM region at the center. This stack was subsequently polished on the cross-section to a thickness of ∼ 200 μm. A 3 mm disc was then cut perpendicular to the cross-section using a Gatan ultrasonic cutter. The disc was polished then dimpled on both sides to a thickness of ∼ 15 μm. This was ion-beam milled at liquid nitrogen temperature to an electron-transparent layer. From a comparison of in-situ and ex-situ measurements of the recrystallization rate, the actual sample temperature during in-situ characterization was estimated to deviate by ≤ 20 deg C from that of the heated holder. The influence of electron-irradiation was found to be negligible by comparing the recrystallization rate and microstructure of irradiated and unirradiated regions of comparable thickness. Similarly, the influence of the 'thin-foil effect' was found to be negligible by comparing the recrystallization rate and microstructure of thick and thin regions, the former determined after the removal of the sample from the microscope and further ion-beam milling of tens of microns of material. In conclusion, the potential influence of artifacts during in-situ TEM can be minimized by the appropriate choice of sample preparation procedures. (authors)

  1. Surface science analysis of GaAs photocathodes following sustained electron beam delivery

    Directory of Open Access Journals (Sweden)

    V. Shutthanandan

    2012-06-01

    Full Text Available Degradation of the photocathode materials employed in photoinjectors represents a challenge for sustained operation of nuclear physics accelerators and high power free electron lasers (FEL. Photocathode quantum efficiency degradation is due to residual gases in the electron source vacuum system being ionized and accelerated back to the photocathode. These investigations are a first attempt to characterize the nature of the photocathode degradation, and employ multiple surface and bulk analysis techniques to investigate damage mechanisms including sputtering of the Cs-oxidant surface monolayer, other surface chemistry effects, and ion implantation. Surface and bulk analysis studies were conducted on two GaAs photocathodes, which were removed from the JLab FEL DC photoemission gun after delivering electron beam, and two control samples. The analysis techniques include helium ion microscopy, Rutherford backscattering spectrometry (RBS, atomic force microscopy, and secondary ion mass spectrometry (SIMS. In addition, two high-polarization strained superlattice GaAs photocathode samples, one removed from the continuous electron beam accelerator facility (CEBAF photoinjector and one unused, were also analyzed using transmission electron microscopy (TEM and SIMS. It was found that heat cleaning the FEL GaAs wafer introduces surface roughness, which seems to be reduced by prolonged use. The bulk GaAs samples retained a fairly well organized crystalline structure after delivering beam but show evidence of Cs depletion on the surface. Within the precision of the SIMS and RBS measurements, the data showed no indication of hydrogen implantation or lattice damage from ion back bombardment in the bulk GaAs wafers. In contrast, SIMS and TEM measurements of the strained superlattice photocathode show clear crystal damage in the wafer from ion back bombardment.

  2. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  3. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.z [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa); Wagener, M.C. [Physics Department, Nelson Mandela Metropolitan University, Box 77000, Port Elizabeth 6031 (South Africa)

    2009-12-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  4. Characterization of InSb layers on GaAs substrates using infrared reflectance and a modified oscillator formula

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Wagener, M.C.

    2009-01-01

    InSb epilayers on GaAs substrates are analyzed using infrared reflectance spectroscopy, but employing a modified Drude oscillator formula. The modified formula enables the determination of 13 parameters: six dielectric parameters for both layer and substrate separately, as well as the thickness of the layer. The formula is tested against previously published data, and to characterize layers grown in this laboratory.

  5. Magnetic anisotropy of MnAs-films on GaAs(0 0 1) studied with ferromagnetic resonance

    International Nuclear Information System (INIS)

    Lindner, J.; Tolinski, T.; Lenz, K.; Kosubek, E.; Wende, H.; Baberschke, K.; Ney, A.; Hesjedal, T.; Pampuch, C.; Koch, R.; Daeweritz, L.; Ploog, K.H.

    2004-01-01

    Thin films of MnAs grown on GaAs(0 0 1) show a self-organized structure of coexisting ferromagnetic α- and paramagnetic β-MnAs stripes in the temperature interval from 10 to 40 deg. C. We quantify the magnetic anisotropies of the α-stripes via ferromagnetic resonance and superconducting quantum interference device magnetometry for samples with thicknesses of 57 and 165 nm. The easy axis of magnetization is found to be located perpendicular to the stripe direction, whereas the direction parallel to the stripes is a hard one. While the intrinsic anisotropies show a bulk-like behavior and explain the direction of the hard axis, the key to understanding the direction of the easy axis is given by the demagnetizing fields due to the stripe formation

  6. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)], E-mail: Japie.Engelbrecht@nmmu.ac.za; Hashe, N.G. [Physics Department, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Hillie, K.T. [CSIR-NML Laboratory, P.O. Box 395, Pretoria 0001 (South Africa); Claassens, C.H. [Physics Department, University of the Free State, Bloemfontein 9300 (South Africa)

    2007-12-15

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted.

  7. The apparent effect of sample surface damage on the dielectric parameters of GaAs

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Hashe, N.G.; Hillie, K.T.; Claassens, C.H.

    2007-01-01

    The dielectric and optical parameters determined by infrared reflectance spectroscopy and computer simulation of a set of GaAs substrates of various surface topologies are reported. The influence of surface damage on the parameters is noted

  8. Parameters study on the growth of GaAs nanowires on indium tin oxide by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Dan; Tang, Xiaohong, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn; Li, Xianqiang [OPTIMUS, Photonics Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 Singapore (Singapore); Wang, Kai, E-mail: exhtang@ntu.edu.sg, E-mail: wangk@sustc.edu.cn [Department of Electrical & Electronic Engineering, South University of Science and Technology of China, 1088 Xueyuan Avenue, Shenzhen 518055 (China); Olivier, Aurelien [CINTRA UMI 3288, School of Electrical and Electronic Engineering, Nanyang Technological University, Research Techno Plaza, 50 Nanyang Drive, Border X Block, Level 6, 637553 Singapore (Singapore)

    2016-03-07

    After successful demonstration of GaAs nanowire (NW) epitaxial growth on indium tin oxide (ITO) by metal organic chemical vapor deposition, we systematically investigate the effect of growth parameters' effect on the GaAs NW, including temperature, precursor molar flow rates, growth time, and Au catalyst size. 40 nm induced GaAs NWs are observed with zinc-blende structure. Based on vapor-liquid-solid mechanism, a kinetic model is used to deepen our understanding of the incorporation of growth species and the role of various growth parameters in tuning the GaAs NW growth rate. Thermally activated behavior has been investigated by variation of growth temperature. Activation energies of 40 nm Au catalyst induced NWs are calculated at different trimethylgallium (TMGa) molar flow rates about 65 kJ/mol. The GaAs NWs growth rates increase with TMGa molar flow rates whereas the growth rates are almost independent of growth time. Due to Gibbs-Thomson effect, the GaAs NW growth rates increase with Au nanoparticle size at different temperatures. Critical radius is calculated as 2.14 nm at the growth condition of 430 °C and 1.36 μmol/s TMGa flow rate. It is also proved experimentally that Au nanoparticle below the critical radius such as 2 nm cannot initiate the growth of NWs on ITO. This theoretical and experimental growth parameters investigation enables great controllability over GaAs NWs grown on transparent conductive substrate where the methodology can be expanded to other III–V material NWs and is critical for potential hybrid solar cell application.

  9. Homogeneous ZnO nanostructure arrays on GaAs substrates by two-step chemical bath synthesis

    International Nuclear Information System (INIS)

    Huang, Chun-Yuan; Wu, Tzung-Han; Cheng, Chiao-Yang; Su, Yan-Kuin

    2012-01-01

    ZnO nanostructures, including nanowires, nanorods, and nanoneedles, have been deposited on GaAs substrates by the two-step chemical bath synthesis. It was demonstrated that the O 2 -plasma treatment of GaAs substrates prior to the sol–gel deposition of seed layers was essential to conformally grow the nanostructures instead of 2D ZnO bunches and grains on the seed layers. Via adjusting the growth time and concentration of precursors, nanostructures with different average diameter (26–225 nm), length (0.98–2.29 μm), and density (1.9–15.3 × 10 9 cm −2 ) can be obtained. To the best of our knowledge, this is the first demonstration of ZnO nanostructure arrays grown on GaAs substrates by the two-step chemical bath synthesis. As an anti-reflection layer on GaAs-based solar cells, the array of ZnO nanoneedles with an average diameter of 125 nm, a moderate length of 2.29 μm, and the distribution density of 9.8 × 10 9 cm −2 has increased the power conversion efficiency from 7.3 to 12.2 %, corresponding to a 67 % improvement.

  10. Preferential adsorption of gallium on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires

    International Nuclear Information System (INIS)

    Shu Haibo; Chen Xiaoshuang; Ding Zongling; Dong Ruibin; Lu Wei

    2010-01-01

    The mechanism of the preferential adsorption of Ga on GaAs(111)B surfaces during the initial growth of Au-assisted GaAs nanowires is studied by using first-principles calculations within density functional theory. The calculated results show that Au preadsorption on GaAs(111)B surface significantly enhances the stability of the Ga adatom in comparison with the adsorption of Ga on clean GaAs(111)B surface. The stabilization of the Ga adatom is due to charge transfers from the Ga 4p and 4s states to the Au 6s and As 4p states. The number of Ga adatoms stabilized on GaAs(111)B surfaces depends on the size of surface Au cluster. The reason is that Au acted as an electron acceptor on GaAs(111)B surface assists the charge transfer of Ga adatoms for filling the partial unoccupied bands of GaAs(111)B surface. Our results are helpful to understand the growth of Au-assisted GaAs nanowires.

  11. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  12. Rapid thermal annealing of InAs/GaAs quantum dots under a GaAs proximity cap

    International Nuclear Information System (INIS)

    Babinski, Adam; Jasinski, J.; Bozek, R.; Szepielow, A.; Baranowski, J. M.

    2001-01-01

    The effect of postgrowth rapid thermal annealing (RTA) on GaAs proximity-capped structures with self-assembled InAs/GaAs quantum dots (QDs) is investigated using transmission electron microscopy (TEM) and photoluminescence (PL). As can be seen from the TEM images, QDs increase their lateral sizes with increasing annealing temperature (up to 700 C). QDs cannot be distinguished after RTA at temperature 800 C or higher, and substantial thickening of the wetting layer can be seen instead. The main PL peak blueshifts as a result of RTA. We propose that in the as-grown sample as well, as in samples annealed at temperatures up to 700 C, the peak is due to the QDs. After RTA at 800 C and higher the PL peak is due to a modified wetting layer. Relatively fast dissolution of QDs is explained in terms of strain-induced lateral Ga/In interdiffusion. It is proposed that such a process may be of importance in proximity-capped RTA, when no group-III vacancy formation takes place at the sample/capping interface

  13. Surface-related reduction of photoluminescence in GaAs quantum wires and its recovery by new passivation

    International Nuclear Information System (INIS)

    Shiozaki, Nanako; Anantathanasarn, Sanguan; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    Etched GaAs quantum wires (QWRs) and selectively grown (SG) QWRs were fabricated, and dependence of their photoluminescence (PL) properties on QWR width (W) and QWR distance to surface (d) were investigated. PL intensity greatly reduced with reduction of W and d, due to non-radiative recombination through surface states. Surface passivation by growing a Si interface control layer (Si-ICL) on group III-terminated surfaces greatly improved PL properties

  14. Pump-probe studies of travelling coherent longitudinal acoustic phonon oscillations in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.; Qi, J.; Tolk, Norman [Department of Physics and Astronomy, Vanderbilt University, Nashville, TN, 37235 (United States); Miller, J. [Naval air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Cho, Y.J.; Liu, X.; Furdyna, J.K. [Department of Physics, University of Notre Dame, Notre Dame, IN 46556 (United States); Shahbazyan, T.V. [Department of Physics, Jackson State University, MS 39217 (United States)

    2008-07-01

    We report comprehensive studies of long-lived oscillations in femtosecond optical pump-probe measurements on GaAs based systems. The oscillations arise from a photo-generated coherent longitudinal acoustic phonon wave at the sample surface, which subsequently travels from the surface into the GaAs substrate, thus providing information on the optical properties of the material as a function of time/depth. Wavelength-dependent studies of the oscillations near the bandgap of GaAs indicate strong correlations to the optical properties of GaAs. We also use the coherent longitudinal acoustic phonon waves to probe a thin buried Ga{sub 0.1}In{sub 0.9}As layers non-invasively. The observed phonon oscillations experience a reduction in amplitude and a phase change at wavelengths near the bandgap of the GaAs, when it passes through the thin Ga{sub x}In{sub 1-x}As layer. The layer depth and thicknesses can be extracted from the oscillation responses. A model has been developed that satisfactorily characterizes the experimental results. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Design optimization of GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyanag; Jiang Lan; Chen Xuyuan

    2011-01-01

    GaAs junctions are designed and fabricated for betavoltaic batteries. The design is optimized according to the characteristics of GaAs interface states and the diffusion length in the depletion region of GaAs carriers. Under an illumination of 10 mCi cm -2 63 Ni, the open circuit voltage of the optimized batteries is about ∼0.3 V. It is found that the GaAs interface states induce depletion layers on P-type GaAs surfaces. The depletion layer along the P + PN + junction edge isolates the perimeter surface from the bulk junction, which tends to significantly reduce the battery dark current and leads to a high open circuit voltage. The short circuit current density of the optimized junction is about 28 nA cm -2 , which indicates a carrier diffusion length of less than 1 μm. The overall results show that multi-layer P + PN + junctions are the preferred structures for GaAs betavoltaic battery design.

  16. Far-infrared cw difference-frequency generation using vertically integrated and planar low temperature grown GaAs photomixers: application to H2S rotational spectrum up to 3 THz

    Science.gov (United States)

    Mouret, G.; Matton, S.; Bocquet, R.; Hindle, F.; Peytavit, E.; Lampin, J. F.; Lippens, D.

    2004-10-01

    The generation of continuous coherent THz radiation by mixing two cw Ti:Sa laser beams with a well-controlled frequency separation for a new scheme of vertically integrated low temperature grown GaAs (LTG-GaAs) spiral photomixer is reported. For this new photomixer device used in THz emission, the LTG-GaAs active layer is sandwiched between the two parallel metal plates of a high-speed photodetector loaded by a broadband spiral antenna. We have exploited the advantage of a higher delivered power in the low part of the spectrum (<2000 GHz), while a low RC time constant planar interdigitated detector was used at the upper frequency. The performances of the spectroscopic setup in terms of spectral resolution (5 MHz), tunability and frequency capability are assessed by measurements of the pure rotational spectra of hydrogen sulfide (H2S) up to 3000 GHz.

  17. Preparation of metastable bcc permalloy epitaxial thin films on GaAs(011){sub B3} single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Higuchi, Jumpei; Yabuhara, Osamu [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    Permalloy (Py) single-crystal films with bcc structure were obtained on GaAs(011){sub B3} single-crystal substrates by ultra high vacuum rf magnetron sputtering. The film growth and the detailed film structures were investigated by refection high energy electron diffraction and pole figure X-ray diffraction. bcc-Py films epitaxially grow on the substrates in the orientation relationship of Py(011)[011-bar]{sub bcc} || GaAs(011)[011-bar]{sub B3}. The lattice constant of bcc-Py film is determined to be a = 0.291 nm. With increasing the film thickness, parts of the bcc crystal transform into more stable fcc structure by atomic displacement parallel to the bcc{l_brace}011{r_brace} close-packed planes. The resulting film thus consists of a mixture of bcc and fcc crystals. The phase transformation mechanism is discussed based on the experimental results. The in-plane magnetization properties reflecting the magnetocrystalline anisotropy of bcc-Py crystal are observed for the Py films grown on GaAs(011){sub B3} substrates.

  18. Effect of GaAs interlayer thickness variations on the optical properties of multiple InAs QD structure

    International Nuclear Information System (INIS)

    Park, C.Y.; Park, K.W.; Kim, J.M.; Lee, Y.T.

    2009-01-01

    Multiple InAs/GaAs self-assembled quantum dots (QDs) with vertically stacked structure are grown by molecular beam epitaxy and the effects of GaAs interlayer thickness variation on optical properties are studied. The growth conditions are optimized by in-situ RHEED, AFM, and PL measurement. The five InAs QD layers are embedded in GaAs and Al0.3Ga0.7As layer. The PL intensity is increased with increasing GaAs interlayer thickness. The thin GaAs interlayer has strain field, the strain-induced intermixing of indium atoms in the InAs QDs (blue-shift) can overcompensate for the effect on the increased QD size (red-shift) (H. Heidemeyer et al. Appl. Phys. Lett. 80, 1544 (2002); T. Nakaoka et al. J. Appl. Phys. Lett. 96, 150 (2004)[1, 2], respectively). For the interlayer thickness larger than about 7 nm, the blue-shifts are correlated to the dominant high-energy excited state transitions due to the successive state filling of the ground and higher excited states in the QDs. The energy separation of double PL peaks, originated from two different excited states, was kept at around 50 meV at room temperature. A possible mechanism concerning this phenomenon is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Scanning microwave microscopy applied to semiconducting GaAs structures

    Science.gov (United States)

    Buchter, Arne; Hoffmann, Johannes; Delvallée, Alexandra; Brinciotti, Enrico; Hapiuk, Dimitri; Licitra, Christophe; Louarn, Kevin; Arnoult, Alexandre; Almuneau, Guilhem; Piquemal, François; Zeier, Markus; Kienberger, Ferry

    2018-02-01

    A calibration algorithm based on one-port vector network analyzer (VNA) calibration for scanning microwave microscopes (SMMs) is presented and used to extract quantitative carrier densities from a semiconducting n-doped GaAs multilayer sample. This robust and versatile algorithm is instrument and frequency independent, as we demonstrate by analyzing experimental data from two different, cantilever- and tuning fork-based, microscope setups operating in a wide frequency range up to 27.5 GHz. To benchmark the SMM results, comparison with secondary ion mass spectrometry is undertaken. Furthermore, we show SMM data on a GaAs p-n junction distinguishing p- and n-doped layers.

  20. Determination of deep-level impurities and their effects on the small-single and LF noise properties of ion-implanted GaAs MESFETs

    International Nuclear Information System (INIS)

    Sriram, S.; Kim, B.; Ghosh, P.K.; Das, M.B.; Pennsylvania State Univ., University Park; Pennsylvania State Univ., University Park

    1982-01-01

    A large number of deep levels, with energies ranging from Esub(c)-0.19eV to Esub(c)-0.9eV, have been identified and characterized using ion-implanted MESFET's on undoped and Cr-doped LEC-grown semi-insulating GaAs substrates. Measurement techniques used include deep level transient (DLTS) and steady state spectroscopic (DLSS) methods. Large capture cross-section values are obtained for levels below Esub(c)-0.5eV, possibly due to high electric field. Spectral densities of LF noise with distinct bulges have been shown to be related to deep levels. In some samples, natural deep level related oscillations have been observed and their ionization energies have been determined. (author)

  1. Sulfidic photochemical passivation of GaAs surfaces in alcoholic solutions

    International Nuclear Information System (INIS)

    Simonsmeier, T.; Ivankov, A.; Bauhofer, W.

    2005-01-01

    We report on a remarkable enhancement of the passivation effect of sulfidic solutions through illumination with above band gap light. Luminescence measurements on GaAs surfaces which have been illuminated during chemical passivation reveal in comparison to nonilluminated samples a further reduction of their surface density of states as well as a significantly increased stability of the passivation. Investigations with photoelectron spectroscopy show that illumination leads to a nearly complete removal of oxides on the surface. Measurements on Schottky diodes which have been manufactured with photochemically passivated GaAs indicate a noticeable decrease in band bending and a depinning of the Fermi level

  2. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  3. Comment on ''Reassessment of space-change and central-cell scattering contributions to GaAs electron mobility''

    Science.gov (United States)

    Stringfellow, G. B.

    1982-07-01

    Walukiewicz et al.1 have recently stated that previously reported contributions to the electron mobility of GaAs from space-charge and/or central-cell scattering are in fact insignificant, and that reports of a T-1/2 term in the mobility2,3 are artifacts due to the assumption of Mathiessen's rule. This conclusion is an overstatement of their results and in fact demonstrably incorrect. First, an analysis of the data reported by Stringfellow2 and Stringfellow and Kuenzel3 as well as others has already been performed by Chattopadhyay et al.4 without assuming Mathiessen's rule. Their conclusion is that central-cell scattering is indeed significant. Second, the particular data analyzed by Walukiewicz et al. are in fact acknowledged in Ref. 2 to have very little T-1/2 scattering. The magnitude of the scattering cross section for T-1/2 scattering, SCA, for other samples is more than an order of magnitude larger, too large to be ascribed to errors inherent in using Mathiessen's rule. Experimental data convincingly demonstrate this. The mobility versus temperature curves are lower, especially at higher temperatures, for C as opposed to Zn- or Ge-doped samples where all have the same values of ND+NA (see Fig. 2 of Ref. 3). In addition, recently published data5 for MBE GaAs grown with different C doping levels show that for constant ND+NA, SCA is three times larger for the more highly C doped samples. This could not be due to errors inherent in the use of Mathiessen's rule. For these samples C clearly introduces an increase in the T-1/2 scattering which is not observed for other acceptors. ufc15xr 1W. Walukiewicz, J. Lagowski, and H. C. Gatos, J. Appl. Phys. 52, 5853 (1981). 2G. B. Stringfellow, J. Appl. Phys. 50, 4178 (1979). 3G. B. Stringfellow and H. Kuenzel, J. Appl. Phys. 51, 3254 (1980). 4D. Chattopadhyay, H. J. Queisser, and G. B. Stringfellow, J. Phys. Soc. Jpn. 49, Suppl. A, 293 (1980). 5G. B. Stringfellow, R. Stall, and W. Koschel, Appl. Phys. Lett. 38, 156 (1981

  4. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    International Nuclear Information System (INIS)

    Shimomura, K.; Kamiya, I.

    2015-01-01

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers

  5. Strain engineering of quantum dots for long wavelength emission: Photoluminescence from self-assembled InAs quantum dots grown on GaAs(001) at wavelengths over 1.55 μm

    Energy Technology Data Exchange (ETDEWEB)

    Shimomura, K., E-mail: sd12502@toyota-ti.ac.jp; Kamiya, I., E-mail: kamiya@toyota-ti.ac.jp [Toyota Technological Institute 2-12-1 Hisakata, Tempaku, Nagoya 468-8511 (Japan)

    2015-02-23

    Photoluminescence (PL) at wavelengths over 1.55 μm from self-assembled InAs quantum dots (QDs) grown on GaAs(001) is observed at room temperature (RT) and 4 K using a bilayer structure with thin cap. The PL peak has been known to redshift with decreasing cap layer thickness, although accompanying intensity decrease and peak broadening. With our strain-controlled bilayer structure, the PL intensity can be comparable to the ordinary QDs while realizing peak emission wavelength of 1.61 μm at 4 K and 1.73 μm at RT. The key issue lies in the control of strain not only in the QDs but also in the cap layer. By combining with underlying seed QD layer, we realize strain-driven bandgap engineering through control of strain in the QD and cap layers.

  6. Neutron-damaged GaAs detectors for use in a Compton spectrometer

    International Nuclear Information System (INIS)

    Kammeraad, J.E.; Sale, K.E.; Wang, C.L.; Baltrusaitis, R.M.

    1992-01-01

    Detectors made of GaAs are being studies for use on the focal plane of a Compton spectrometer which measures 1-MeV to 25-MeV gamma rays with high energy resolution (1% or 100 keV, whichever is greater) and 200-ps time resolution. The detectors are GaAs chips that have been neutron-damaged to improve the time response. The detectors will be used to measure fast transient signals in the current mode. The properties of various GaAs detector configurations are being studied by bombarding sample detectors with short pulses of 4-MeV to 16-MeV electrons at the Linac Facility at EG ampersand G Energy Measurements, Inc., Santa Barbara Operations. Measurements of detector sensitivity and impulse response versus detector bias, thickness, and electron beam energy and intensity have been performed and are presented. 5 refs

  7. Peeled film GaAs solar cell development

    International Nuclear Information System (INIS)

    Wilt, D.M.; Thomas, R.D.; Bailey, S.G.; Brinker, D.J.; DeAngelo, F.L.

    1990-01-01

    Thin film, single crystal gallium arsenide (GaAs) solar cells could exhibit a specific power approaching 700 W/Kg including coverglass. A simple process has been described whereby epitaxial GaAs layers are peeled from a reusable substrate. This process takes advantage of the extreme selectivity (>10 6 ) of the etching rate of aluminum arsenide (AlAs) over GaAs in dilute hydrofloric acid (HF). The intent of this work is to demonstrate the feasibility of using the peeled film technique to fabricate high efficiency, low mass GaAs solar cells. We have successfully produced a peeled film GaAs solar cell. The device, although fractured and missing the aluminum gallium arsenide (Al x Ga 1 - x As) window and antireflective (AR) coating, had a Voc of 874 mV and a fill factor of 68% under AMO illumination

  8. Multiband corrections for the semi-classical simulation of interband tunneling in GaAs tunnel junctions

    Science.gov (United States)

    Louarn, K.; Claveau, Y.; Hapiuk, D.; Fontaine, C.; Arnoult, A.; Taliercio, T.; Licitra, C.; Piquemal, F.; Bounouh, A.; Cavassilas, N.; Almuneau, G.

    2017-09-01

    The aim of this study is to investigate the impact of multiband corrections on the current density in GaAs tunnel junctions (TJs) calculated with a refined yet simple semi-classical interband tunneling model (SCITM). The non-parabolicity of the considered bands and the spin-orbit effects are considered by using a recently revisited SCITM available in the literature. The model is confronted to experimental results from a series of molecular beam epitaxy grown GaAs TJs and to numerical results obtained with a full quantum model based on the non-equilibrium Green’s function formalism and a 6-band k.p Hamiltonian. We emphasize the importance of considering the non-parabolicity of the conduction band by two different measurements of the energy-dependent electron effective mass in N-doped GaAs. We also propose an innovative method to compute the non-uniform electric field in the TJ for the SCITM simulations, which is of prime importance for a successful operation of the model. We demonstrate that, when considering the multiband corrections and this new computation of the non-uniform electric field, the SCITM succeeds in predicting the electrical characteristics of GaAs TJs, and are also in agreement with the quantum model. Besides the fundamental study of the tunneling phenomenon in TJs, the main benefit of this SCITM is that it can be easily embedded into drift-diffusion software, which are the most widely-used simulation tools for electronic and opto-electronic devices such as multi-junction solar cells, tunnel field-effect transistors, or vertical-cavity surface-emitting lasers.

  9. The influence of annealing on manganese implanted GaAs films

    International Nuclear Information System (INIS)

    Buerger, Danilo; Zhou, Shengqiang; Grenzer, Joerg; Reuther, Helfried; Anwand, Wolfgang; Gottschalch, Volker; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Besides low-temperature molecular beam epitaxy, ion implantation provides an alternative route to incorporate Mn into GaAs above the equilibrium solubility limit. Recently, Mn implanted GaAs diluted magnetic semiconductor was obtained by pulsed laser annealing. However, post-implantation annealing can lead to the formation of secondary phases. In order to compare the post-annealing effect, we investigate GaMnAs by implanting up to 6 at% Mn followed by rapid thermal and flashlamp annealing. The structural properties were probed by high resolution X-ray diffraction. The magnetic properties were determined by SQUID measurements. Auger electron spectroscopy has been used to profile the depth distribution of Mn in GaAs after implantation and annealing. We elucidate after implantation a loss of As and that during rapid thermal annealing most of the Mn diffuses towards the surface. Flash lamp annealing prevents out-diffusion, but the recrystallisation efficiency is low. Only the flash lamp annealed samples reveal weak ferromagnetism.

  10. Spin dynamics in GaAs and (110)-GaAs heterostructures; Spindynamik in GaAs und (110)-GaAs-Heterostrukturen

    Energy Technology Data Exchange (ETDEWEB)

    Oertel, Stefan

    2012-07-01

    This thesis investigates the spin dynamics in both bulk GaAs and (llO)GaAs heterostructures using time- and polarization-resolved photoluminescence spectroscopy. In bulk GaAs the spin relaxation t ime is measured for the first time in the high temperature regime from 280 K to 400 K and is compared to numerical calculations. The numerical calculations are based on the spin relaxation theory of the Dyakonov-Perel mechanism effected by momentum scattering with polar optical phonons and electron-electron scattering and are in good agreement with the experimental results. Measurements of the dependence on the electron density serve to determine the energy dependent proportional factor between the electron density and the effective electron-electron scattering time. Also in bulk GaAs the interaction between the electron spin system and the nuclear spin system is investigated. The measured electron Lande g-factor under the influence of the nuclear magnetic field is used as an indicator to monitor the temporal evolution of the nuclear magnetic field under sustained dynamic nuclear polarization. Measurements with polarization modulated excitation enable the determination of the relevant time scale at which dynamic nuclear polarization takes place. Furthermore, the temporal evolution of the measured electron Lande g-factor shows the complex interplay of the dynamic nuclear polarization, the nuclear spin diffusion and the nuclear spin relaxation. In symmetric (110)-GaAs quantum wells the dependence of the inplane anisotropy of the electron Lande g-factor on the quantum well thickness is determined experimentally. The measurements are in very good agreement with calculations based upon k . p-theory and reveal a maximum of the anisotropy at maximum carrier localization in the quantum well. The origin of the anisotropy that is not present in symmetric (001) quantum wells is qualitatively described by means of a simplified model based on fourth-order perturbation theory. A

  11. Shear deformation and relaxed lattice constant of (Ga,Mn)As layers on GaAs(113)A

    Energy Technology Data Exchange (ETDEWEB)

    Dreher, Lukas; Daeubler, Joachim; Glunk, Michael; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, D-89069 Ulm (Germany)

    2008-07-01

    The shear deformation and the relaxed lattice constant of compressively strained (Ga,Mn)As layers with Mn concentrations of up to 5%, pseudomorphically grown on GaAs(113)A and GaAs(001) substrates by low-temperature molecular-beam epitaxy, have been studied by high resolution X-ray diffraction (HRXRD) measurements. Rocking curves reveal a triclinic distortion of the (113)A layers with a shear direction towards the [001] crystallographic axis, whereas the (001) layers are tetragonally distorted along [001]. The relaxed lattice constants were derived from {omega}-2{theta} scans for the symmetric (113) and (004) Bragg reflections, taking the elastic anisotropy of the cubic system into account. The increase of the lattice constant with Mn content has been found to be smaller for the (113)A layers than for the (001) layers, presumably due to the enhanced amount of excess As in the (113)A layers.

  12. Epitaxial ferromagnetic Fe3Si on GaAs(111)A with atomically smooth surface and interface

    International Nuclear Information System (INIS)

    Liu, Y. C.; Hung, H. Y.; Kwo, J.; Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M.; Tseng, S. C.; Hsu, C. H.; Chang, M. T.; Lo, S. C.

    2015-01-01

    Single crystal ferromagnetic Fe 3 Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe 3 Si/GaAs(111) yielded a saturation moment of 990 emu/cm 3 with a small coercive field ≤1 Oe at room temperature

  13. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    Energy Technology Data Exchange (ETDEWEB)

    Boardman, D

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of {approx}1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/{mu}Gy mm{sup 2}, for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  14. Design of a GaAs X-ray imaging sensor with integrated HEMT readout circuitry

    International Nuclear Information System (INIS)

    Boardman, D.

    2002-01-01

    A new monolithic semi-insulating (SI) GaAs sensor design for X-ray imaging applications between 10-100keV has been proposed. Monolithic pixel detectors offer a number of advantages over hybrid bump-bonded detectors, such as high device yield, low costs and are easier to produce large scale arrays. In this thesis, an investigation is made of the use of a SI GaAs wafer as both a detector element and substrate for the epitaxially grown High Electron Mobility Transistors (HEMTs). The design of the HEMT transistors, optimised for this application, were produced with the aid of the Silvaco 'Virtual Wafer Fab' simulation package. It was determined that the device characteristics would consist of a small positive threshold voltage, a low off-state drain current and high transconductance. The final HEMT transistor design, that would be integrated to a pixel detector, had a threshold voltage of 0.17V, an off-state leakage current of ∼1nA and a transconductance of 7.4mS. A number of test detectors were characterised using an ion beam induced charge technique. Charge collection efficiency maps of the test detectors were produced to determine their quality as a X-ray detection material. From the results, the inhomogeneity of SI GaAs, homogeneity of epitaxial GaAs and granular nature of polycrystalline GaAs, were observed. The best of these detectors was used in conjunction with a commercial field effect transistor to produce a hybrid device. The charge switching nature of the hybrid device was shown and a sensitivity of 0.44pC/μGy mm 2 , for a detector bias of 60V, was found. The functionality of the hybrid sensor was the same to that proposed for the monolithic sensor. The fabrication of the monolithic sensor, with an integrated HEMT transistor and external capacitor, was achieved. To reach the next stage of producing a monolithic sensor that integrates charge, requires further work in the design and the fabrication process. (author)

  15. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  16. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  17. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  18. A photoemission study of Mn grown on GaAs(100)

    International Nuclear Information System (INIS)

    James, D.; Riley, J.; Leckey, R.; Usher, B.; Sieber, N.; Seyller, Th.; Ley, L.

    2002-01-01

    Full text: Metal contacts on semiconductors have been an important area for device manufacture. The possibility of lattice matched growth of magnetic metals on semiconductors was once thought to be a unobtainable goal. More recently it has been found that transition metals can react with the semiconductor substrates, forming another lattice with a more comparable lattice constant, from which epitaxial growth can then proceed. Al grows epitaxially on GaN even with a lattice mismatch greater than 10%. In this instance, Al displaces Ga being driven by a larger heat of formation to produce an AlN buffer layer, on which Al can then grow. This paper investigates the room temperature deposition of Mn onto GaAs(100) at room temperature. The Photoemission study was carried out at the UEL56/2 PGM2 beam line at BESSY II in Berlin, Germany. Synchrotron radiation was used to observe the surface as thin layers of Mn were deposited. The interaction of manganese with the substrate tends to donate electron density to neighbouring atoms, decreasing binding energy. No further segregation of substitutional or interstitial Mn and Ga can be seen from angle dependence data at this temperature, with metallic manganese eventually attenuating the bulk Ga signal to the point where it is indistinguishable from the background. It is concluded that there the metal reacts with the semiconductor surface with some indiffusion as confirmed using SIMS. Previously, the reaction was only thought to have taken place above room temperature. The resulting structure consists of a Ga-As-Mn buffer layer as with the higher temperature depositions

  19. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  20. Performances of epitaxial GaAs p/i/n structures for X-ray imaging

    CERN Document Server

    Sun, G C; Haguet, V; Pesant, J C; Montagne, J P; Lenoir, M; Bourgoin, J C

    2002-01-01

    We have realized 150 mu mx150 mu m pixels using ion implantation followed by photolithography, metallic contact evaporation and chemical etching on about 200 mu m thick GaAs epitaxial layers. These layers were grown on n sup + and p sup + substrates by an already described Chemical Reaction technique, which is economical, non-polluting and can attain growth rates of several microns per minute. The mesa p sup + /i/n sup + pixel were characterized using current-voltage and capacitance-voltage measurements. The charge collection efficiency was evaluated by photoconductivity measurements under typical conditions of standard radiological examinations.

  1. Epitaxial ferromagnetic Fe{sub 3}Si on GaAs(111)A with atomically smooth surface and interface

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. C.; Hung, H. Y.; Kwo, J., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Department of Physics, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Chen, Y. W.; Lin, Y. H.; Cheng, C. K.; Hong, M., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [Graduate Institute of Applied Physics and Department of Physics, National Taiwan University, Taipei 10617, Taiwan (China); Tseng, S. C.; Hsu, C. H., E-mail: chsu@nsrrc.org.tw, E-mail: raynien@phys.nthu.edu.tw, E-mail: mhong@phys.ntu.edu.tw [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Chang, M. T.; Lo, S. C. [Material and Chemical Research Laboratories, Industrial Technology Research Institute, Hsinchu 31040, Taiwan (China)

    2015-09-21

    Single crystal ferromagnetic Fe{sub 3}Si(111) films were grown epitaxially on GaAs(111)A by molecular beam epitaxy. These hetero-structures possess extremely low surface roughness of 1.3 Å and interfacial roughness of 1.9 Å, measured by in-situ scanning tunneling microscope and X-ray reflectivity analyses, respectively, showing superior film quality, comparing to those attained on GaAs(001) in previous publications. The atomically smooth interface was revealed by the atomic-resolution Z (atomic number)-contrast scanning transmission electron microscopy (STEM) images using the correction of spherical aberration (Cs)-corrected electron probe. Excellent crystallinity and perfect lattice match were both confirmed by high resolution x-ray diffraction. Measurements of magnetic property for the Fe{sub 3}Si/GaAs(111) yielded a saturation moment of 990 emu/cm{sup 3} with a small coercive field ≤1 Oe at room temperature.

  2. Transmission electron microscopy and photoluminescence characterization of InGaAs strained quantum wires on GaAs vicinal (110) substrates

    CERN Document Server

    Shim, B R; Ota, T; Kobayashi, K; Maehashi, K; Nakashima, H; Lee, S Y

    1999-01-01

    We have used transmission electron microscopy (TEM) and photoluminescence (PL) to study InGaAs/AlGaAs strained quantum wires (QWRs) grown by molecular beam epitaxy (MBE) on GaAs vicinal (110) substrates. The cross-sectional TEM image reveals that InGaAs QWRs structures are naturally formed on AlGaAs giant steps. In the plan-view TEM images, the fringe pattern in the giant-step region is observed for In sub x Ga sub 1 sub - sub x As layers with x<= 0.4 We measured the separation of the fringe in the plan-view TEM images and compared the result with the calculated fringe separation. From this result, we conclude that the fringes observed in the plan-view TEM images are moire fringes. PL spectra of the InGaAs QWRs samples reveal 80-meV shifts to lower energy with respect to the spectrum of a quantum well (QWL) grown on a (001) substrate under the same conditions. We also measured the polarization anisotropy of the PL spectra from the QWRs. The PL peak shifts systematically toward higher energy with decreasing...

  3. Polarity influence on the indentation punching of thin {111} GaAs foils at elevated temperatures

    International Nuclear Information System (INIS)

    Patriarche, G; Largeau, L; Riviere, J P; Bourhis, E Le

    2005-01-01

    Thin {111} GaAs substrates were deformed by a Vickers indenter at 350 deg. C-370 deg. C under loads ranging between 0.4 and 1.9 N. Optical microscopy and interferometry were used to observe the indented and opposite faces of the thin foils and hence to investigate the plastic flow through the samples. Attention was paid to the polarity (A or B) of the specimen surface, as GaAs is known to show a large difference between α and β dislocations mobilities. A model considering the influence of polarity is proposed to describe the material flow throughout thin samples

  4. The electronic and optical properties of quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs: a first-principles study.

    Science.gov (United States)

    Ma, Xiaoyang; Li, Dechun; Zhao, Shengzhi; Li, Guiqiu; Yang, Kejian

    2014-01-01

    First-principles calculations based on density functional theory have been performed for the quaternary GaAs1-x-y N x Bi y alloy lattice-matched to GaAs. Using the state-of-the-art computational method with the Heyd-Scuseria-Ernzerhof (HSE) hybrid functional, electronic, and optical properties were obtained, including band structures, density of states (DOSs), dielectric function, absorption coefficient, refractive index, energy loss function, and reflectivity. It is found that the lattice constant of GaAs1-x-y N x Bi y alloy with y/x =1.718 can match to GaAs. With the incorporation of N and Bi into GaAs, the band gap of GaAs1-x-y N x Bi y becomes small and remains direct. The calculated optical properties indicate that GaAs1-x-y N x Bi y has higher optical efficiency as it has less energy loss than GaAs. In addition, it is also found that the electronic and optical properties of GaAs1-x-y N x Bi y alloy can be further controlled by tuning the N and Bi compositions in this alloy. These results suggest promising applications of GaAs1-x-y N x Bi y quaternary alloys in optoelectronic devices.

  5. Molecular beam epitaxial growth mechanism of ZnSe epilayers on (100) GaAs as determined by reflection high-energy electron diffraction, transmission electron microscopy and X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ruppert, P.; Hommel, D.; Behr, T.; Heinke, H.; Waag, A.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1994-04-14

    The properties of molecular beam epitaxial growth of ZnSe epilayers deposited directly on a GaAs substrate are compared to those grown on a GaAs buffer layer. The superior quality of the latter is confirmed by RHEED, TEM and X-ray diffraction. Based on RHEED oscillation studies, a model explaining the dependence of the ZnSe growth rate on Zn and Se fluxes and the substrate temperature is developed taking into account physisorbed and chemisorbed states. For partially relaxed epilayers, the correlation between the relaxation state and the crystalline mosaicity, as found by high resolution X-ray diffraction, is discussed

  6. Subnanosecond linear GaAs photoconductive switching, revision 1

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.; Hofer, W. W.

    Research was conducted in photoconductive switching for the purpose of generating subnanosecond pulses in the 25 to 50kV range. The very fast recombination rates of Gallium Arsenide (GaAs) was exploited to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is (approx. 10(-14) sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. Switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm was observed. The illumination source was a Nd:YAG laser operating at 1.06 microns.

  7. Lattice location of diffused Zn atoms in GaAs and InP single crystals

    International Nuclear Information System (INIS)

    Chan, L.Y.; Yu, K.M.; Ben-Tzur, M.; Haller, E.E.; Jaklevic, J.M.; Walukiewicz, W.; Hanson, C.M.

    1991-01-01

    We have investigated the saturation phenomenon of the free carrier concentration in p-type GaAs and InP single crystals doped by zinc diffusion. The free hole saturation occurs at 10 20 cm -3 for GaAs, but the maximum concentration for InP appears at mid 10 18 cm -3 . The difference in the saturation hole concentrations for these materials is investigated by studying the incorporation and the lattice location of the impurity zinc, an acceptor when located on a group III atom site. Zinc is diffused into the III-V wafers in a sealed quartz ampoule. Particle-induced x-ray emission with ion-channeling techniques are employed to determine the exact lattice location of the zinc atoms. We have found that over 90% of all zinc atoms occupy Ga sites in the diffused GaAs samples, while for the InP case, the zinc substitutionality is dependent on the cooling rate of the sample after high-temperature diffusion. For the slowly cooled sample, a large fraction (∼90%) of the zinc atoms form random precipitates of Zn 3 P 2 and elemental Zn. However, when rapidly cooled only 60% of the zinc forms such precipitates while the rest occupies specific sites in the InP. We analyze our results in terms of the amphoteric native defect model. We show that the difference in the electrical activity of the Zn atoms in GaAs and InP is a consequence of the different location of the Fermi level stabilization energy in these two materials

  8. Crystal structure of LT GaAs layers before and after annealing

    International Nuclear Information System (INIS)

    Litiental-Weber, Z.

    1992-01-01

    In this paper the structural quality of GaAs layers grown at low temperatures by solid-source and gas-source MBE at different growth conditions is described. Dependence on the growth temperature and concentration of As [expressed at As/Ga beam equivalent pressure (BEP)] used for the growth is discussed. A higher growth temperature is required top obtain the same monocrystalling layer thickness with increased BEP. The annealing of these layers is associated with the formation of As precipitates. Semicoherent precipitates with lowest formation energies are formed in the monocrystalline parts of the layers grown with the lowest BEP. Precipitates with higher formation energies are formed when higher BEP is applied; they are also formed in the vicinity of structural defects. Formation of As precipitates releases strain in the layers. Arsenic precipitates are not formed in annealed ternary (InAlAs) layers despite their semi-insulating properties. The role of As precipitates in semi-insulating properties and the short lifetime of minority carriers in these layers is discussed

  9. Deep levels in p-type InGaAsN lattice matched to GaAs

    International Nuclear Information System (INIS)

    Kwon, D.; Kaplar, R.J.; Ringel, S.A.; Allerman, A.A.; Kurtz, S.R.; Jones, E.D.

    1999-01-01

    Deep-level transient spectroscopy measurements were utilized to investigate deep-level defects in metal - organic chemical vapor deposition-grown, unintentionally doped p-type InGaAsN films lattice matched to GaAs. The as-grown material displayed a high concentration of deep levels distributed within the band gap, with a dominant hole trap at E v +0.10eV. Postgrowth annealing simplified the deep-level spectra, enabling the identification of three distinct hole traps at 0.10, 0.23, and 0.48 eV above the valence-band edge, with concentrations of 3.5x10 14 , 3.8x10 14 , and 8.2x10 14 cm -3 , respectively. A direct comparison between the as-grown and annealed spectra revealed the presence of an additional midgap hole trap, with a concentration of 4x10 14 cm -3 in the as-grown material. The concentration of this trap is sharply reduced by annealing, which correlates with improved material quality and minority-carrier properties after annealing. Of the four hole traps detected, only the 0.48 eV level is not influenced by annealing, suggesting this level may be important for processed InGaAsN devices in the future. copyright 1999 American Institute of Physics

  10. Study of a MHEMT heterostructure with an In0.4Ga0.6As channel MBE-grown on a GaAs substrate using reciprocal space mapping

    International Nuclear Information System (INIS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2015-01-01

    The crystallographic characteristics of the design elements of a metamorphic high-electron-mobility (MHEMT) heterostructure with an In 0.4 Ga 0.6 As channel are determined based on reciprocal space mapping. The heterostructure is grown by molecular beam epitaxy on the vicinal surface of a GaAs substrate with a deviation angle from the (001) plane of 2° and consists of a stepped metamorphic buffer containing six layers including an inverse step, a high-temperature buffer layer with constant composition, and active HEMT layers. The InAs content in the layers of the metamorphic buffer is varied from 0.1 to 0.48. Reciprocal space maps are constructed for the (004) symmetric reflection and (224)+ asymmetric reflection. It is found that the heterostructure layers are characterized both by a tilt angle relative to the plane of the (001) substrate and a rotation angle around the [001] axis. The tilt angle of the layer increases as the InAs concentration in the layer increases. It is shown that a high-temperature buffer layer of constant composition has the largest degree of relaxation compared with all other layers of the heterostructure

  11. Investigating the chemical and morphological evolution of GaAs capped InAs/InP quantum dots emitting at 1.5μm using aberration-corrected scanning transmission electron microscopy

    DEFF Research Database (Denmark)

    Kadkhodazadeh, Shima; Semenova, Elizaveta; Yvind, Kresten

    2011-01-01

    The emission wavelength of InAs quantum dots grown on InP has been shown to shift to the technologically desirable 1.5μm with the deposition of 1–2 monolayers of GaAs on top of the quantum dots. Here, we use aberration-corrected scanning transmission electron microscopy to investigate morphological...... and compositional changes occurring to the quantum dots as a result of the deposition of 1.7 monolayers of GaAs on top of them, prior to complete overgrowth with InP. The results are compared with theoretical models describing the overgrowth process....

  12. Subnanosecond linear GaAs photoconductive switching: Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.; Hofer, W.W.

    1989-01-01

    We are conducting research in photoconductive switching for the purpose of generating subnanosecond pulses in the 25--50kV range. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as a closing and opening switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). The closing time of a linear GaAs switch is theoretically limited by the characteristics of the laser pulse used to activate the switch (the carrier generation time in GaAs is /approximately/10/sup /minus/14/ sec) while the opening time is theoretically limited by the recombination time of the carriers. The recombination time is several ns for commercially available semi-insulating GaAs. Doping or neutron irradiation can reduce the recombination time to less than 100 ps. We have observed switch closing times of less than 200 ps with a 100 ps duration laser pulse and opening times of less than 400 ps with neutron irradiated GaAs at fields of tens of kV/cm. The illumination source was a Nd:YAG laser operating at 1.06 /mu/m. 4 refs., 11 figs.

  13. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  14. Doped Aluminum Gallium Arsenide (AlGaAs)/Gallium Arsenide (GaAs) Photoconductive Semiconductor Switch (PCSS) Fabrication

    Science.gov (United States)

    2016-09-27

    it as-grown and it densifies as the H leaves when annealed above approximately 500 °C. This densification causes the film to contract, becoming...tensile. The final deposition recipe shown in the Appendix, Section 2 was found after numerous trials and results in a minimum between compressive...marks b) ULVAC etch: 500 -W ICP, 50-W RIE, 4 mT, 16-sccm BCl3, 4-sccm Ar, 12 s (~50 nm)  GaAs etches at 7.54 nm/s after 6-s etch delay. PR etches at

  15. Modulation spectroscopy study of the effects of growth interruptions on the interfaces of GaAsSb/GaAs multiple quantum wells

    International Nuclear Information System (INIS)

    Hsu, H P; Sitarek, P; Huang, Y S; Liu, P W; Lin, J M; Lin, H H; Tiong, K K

    2006-01-01

    The effects of growth interruption times combined with Sb exposure of GaAsSb/GaAs multiple quantum wells (MQWs) have been investigated by using phototransmittance (PT), contactless electroreflectance (CER) and wavelength modulated surface photovoltage spectroscopy (WMSPS). The features originated from different portions of the samples, including interband transitions of MQWs, interfaces and GaAs, are observed and identified through a detailed comparison of the obtained spectra and theoretical calculation. A red-shift of the interband transitions and a broader lineshape of the fundamental transition are observed from samples grown under Sb exposure compared to the reference sample grown without interruption. The results can be interpreted in terms of both increases in Sb content and mixing of Sb in the GaAs interface layers. An additional feature has been observed below the GaAs region in the samples with Sb treatment. The probable origin of this additional feature is discussed

  16. cw argon laser annealing of anodic oxide on GaAs

    International Nuclear Information System (INIS)

    Chakravarti, S.N.; Das, P.; Webster, R.T.; Bhat, K.N.

    1981-01-01

    Anodic oxide films (850 +- 50 A thick) grown on n + (100) bulk GaAs were subjected to selective area annealing using a cw argon laser operating at an output power of 1.2 W. Capacitance-voltage (C-V) measurements performed on Al-anodic oxide-GaAs MOS capacitor structures show that laser-annealed capacitor dots have greatly reduced field-induced hysteresis effects in their capacitance-voltage characteristics compared to the unannealed ones. The oxide leakage current also shows a significant improvement: the leakage current magnitude of MOS capacitors in laser-annealed oxide island is over four orders of magnitude less than the oxide region which was not exposed to the laser radiation. Dielectric breakdown measurement indicates that laser-annealed capacitors have considerably higher breakdown voltages, about a factor of 2 higher than the unannealed capacitors

  17. Characterization of GaAs and hetero-structures of GaAs-(AlGa)As films, by Hall effect

    International Nuclear Information System (INIS)

    Diniz, R.P.

    1989-08-01

    Hall effect measurements were performed on a series of semiconductor gallium arsenide (GaAs) films, intentionally or unitentionally doped, grown by molecular beam epitaxy (MBE). These measurements made possible both the evaluation of the films quality and the calibration of the dopants (Si and Be) effusion cells on the growing machine. Measurements on modulation doped single interface heterostructures also grown by MBE followed. The two dimensional electron gas in the heterostructures shows low temperature high mobility. The application of a strong magnetic field perpendicular to the plane of the gas eliminated its degrees of freedom completely and permitted the observation of Schubnikov-deHaas oscillations and integer quantum Hall effect. During the work we have deviced and developed apparatus in order to make ohmic contacts and perform litography to semiconductors. (author) [pt

  18. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  19. n-Type Doping and Morphology of GaAs Nanowires in Aerotaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Sivakumar, Sudhakar [Lund University; Persson, Axel R. [Lund University; Geijselaers, Irene [Lund University; Wallenberg, L. Reine [Lund University; Deppert, Knut [Lund University; Samuelson, Lars [Lund University; Magnusson, Martin [Lund University

    2018-04-17

    Controlled doping in semiconductor nanowires modifies their electrical and optical properties, which are important for high efficiency optoelectronic devices. We have grown n-type (Sn) doped GaAs nanowires in Aerotaxy, a new continuous gas phase mass production technique. The morphology of Sn doped nanowires is found to be a strong function of dopant, tetraethyltin to trimethylgallium flow ratio, Au-Ga-Sn alloying, and nanowire growth temperatures. High temperature and high flow ratios result in low morphological quality nanowires and in parasitic growth on the wire base and surface. Alloying and growth temperatures of 400 and 530 degrees C, respectively, resulted in good morphological quality nanowires for a flow ratio of TESn to TMGa up to 2.25 x 10-3. The wires are pure Zinc-blende for all investigated growth conditions, whereas nanowires grown by MOVPE with the same growth conditions are usually mainly Wurtzite. The growth rate of the doped wires is found to be dependent more on the TESn flow fraction than on alloying and nanowire growth temperatures. Our photoluminescence measurements, supported by four-point probe resistivity measurements, reveal that the carrier concentration in the doped wires varies only slightly (1- 3) x 1019 cm-3 with TESn flow fraction and both alloying and growth temperatures, indicating that good morphological quality wires with high carrier density can be grown with low TESn flow. Carrier concentrations lower than 1019 cm-3 can be grown by further reducing the flow fraction of TESn, which may give better morphology wires.

  20. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  1. TEM study of the indentation behaviour of thin Au film on GaAs

    International Nuclear Information System (INIS)

    Patriarche, G.; Le Bourhis, E.; Faurie, D.; Renault, P.O.

    2004-01-01

    Au films of 8.9 nm thickness have been sputter deposited onto a (001) GaAs substrate at room temperature. An average grain size of 10 nm and no texture were obtained. Subsequent, nanoindentation tests were performed on the coated specimens and the mechanical response was compared to that of a bulk GaAs sample with the same crystallographic orientation. Furthermore, the loading-unloading curves were analysed in view of transmission electron microscopy plan-view images obtained on the deformed substrate-film specimens and compared to results previously reported in the literature for bulk sample. Constrained plasticity of the films was observed to occur for residual depth to thickness ratio below 0.67. Further, plastic deformation of the substrate happened on coated specimens at loads less than those required to plastically deform bare substrate

  2. TIME-DEPENDENT MOSSBAUER-SPECTROSCOPY AND 119MTE-IMPLANTED GAAS

    NARCIS (Netherlands)

    MO, D; ZHANG, GL; NIESEN, L; Waard , de Hendrik

    1991-01-01

    A new type of time-dependent Mossbauer spectroscopy is proposed and realized on the basis of using the two-step decay (119m)Te --> 113Sb --> Sn-119. For the GaAs samples, implanted with a dose of 110-keV (119m)Te + 10(15) stable Te/cm2 and annealed at 600-degrees-C, the relative intensities of

  3. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    International Nuclear Information System (INIS)

    Alonso-Gonzalez, Pablo; Gonzalez, Luisa; Gonzalez, Yolanda; Fuster, David; Fernandez-Martinez, Ivan; Martin-Sanchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs quantum dot (QD) arrays with high optical quality from the first layer of QDs formed on the patterned substrate. The main result is the development of a patterning technology that allows the engineering of customized geometrical displays of QDs with the same optical quality as those formed spontaneously on flat non-patterned substrates

  4. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  5. Effect of thermal annealing on optical properties of implanted GaAs

    NARCIS (Netherlands)

    Kulik, M; Komarov, FF; Maczka, D

    GaAs samples doped with indium atoms by ion implantation and thermal annealed were studied using a channelling method, Rutherford backscattering, and an ellipsometry. From these measurements it was observed that the layer implanted with 3 x 10(16) cm(-2) indium dose was totally damaged and its

  6. Low defect densities in molecular beam epitaxial GaAs achieved by isoelectronic In doping

    Science.gov (United States)

    Bhattacharya, P. K.; Dhar, S.; Berger, P.; Juang, F.-Y.

    1986-01-01

    A study has been made of the effects of adding small amounts of In (0.2-1.2 pct) to GaAs grown by molecular beam epitaxy. The density of four electron traps decreases in concentration by an order of magnitude, and the peak intensities of prominent emissions in the excitonic spectra are reduced with increase in In content. Based on the higher surface migration rate of In, compared to Ga, at the growth temperatures it is apparent that the traps and the excitonic transitions are related to point defects. This agrees with earlier observations by Briones and Collins (1982) and Skromme et al. (1985).

  7. X-ray in-situ study of copper electrodeposition on UHV prepared GaAs(001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Gruender, Yvonne

    2008-06-02

    For this work a unique setup for in-situ electrochemical studies was employed and improved. This setup permits UHV preparation of the GaAs(001) surface with a defined surface termination (arsenic-rich or gallium-rich) and its characterization by SXRD in UHV, under ambient pressure in inert gas and in electrolyte under potential control without passing through air. The GaAs(001) surfaces were capped by amorphous arsenic. This permitted to ship them through ambient air. Afterwards smooth well defined GaAs(001) surfaces could be recovered by thermal annealing in UHV. A first investigation of the arsenic capped sample was done by atomic force microscopy (AFM) and Surface X-Ray Diffraction (SXRD). The non bulk like termination of the arsenic buried GaAs(001) surface was revealed. For the electrochemical metal deposition, arsenic terminated (2 x 4) reconstructed and gallium terminated (4 x 2) reconstructed GaAs(001) surfaces were employed. These surfaces were characterized by STM, LEED and a first time by SXRD. The surfaces are smooth, however, a higher degree of disorder than for MBE prepared reconstructed GaAs(001) is found. After exposure of the sample to nitrogen, the surfaces were then again studied by SXRD. These two steps characterizing the bare GaAs(001) surfaces permitted us to get a better knowledge of the starting surface and its influence on the later electrodeposited copper. At ambient pressure both reconstructions are lifted, but the surface is not bulk-like terminated as can be deduced from the crystal truncation rods. Epitaxial copper clusters grow upon electrodeposition on the UHV prepared GaAs(001) surface. The copper lattice is rotated and inclined with respect to the GaAs substrate lattice, leading to eight symmetry equivalent domains. The influence of the surface termination as well as the nucleation potential on the structure of the electrodeposited copper were investigated. The tilt and rotation angles do not depend on the deposition potential but

  8. Microbiological Sampling Methods and Sanitation of Edible Plants Grown on ISS

    Science.gov (United States)

    Parrish, Charles H. II; Khodadad, Christina L.; Garland, Nathaniel T.; Larson, Brian D.; Hummreick, Mary E.

    2013-01-01

    Pathogenic microbes on the surfaces of salad crops and growth chambers pose a threat to the health of crew on International Space Station. For astronauts to safely consume spacegrown vegetables produced in NASA's new vegetable production unit, VEGGIE, three technical challenges must be overcome: real-time sampling, microbiological analysis, and sanitation. Raphanus sativus cultivar Cherry Bomb II and Latuca sativa cultivar Outredgeous, two saled crops to be grown in VEGGIE, were inoculated with Salmonella enterica serovar Typhimurium (S. Typhimurium), a bacterium known to cause food-borne illness Tape- and swab-based sampling techniques were optimized for use in microgravity and assessed for effectiveness in recovery of bacteria from crop surfaces: Rapid pathogen detection and molecular analyses were performed via quantitative real-time polymerase chain reactiop using LightCycler® 480 and RAZOR® EX, a scaled-down instrument that is undergoing evaluation and testing for future flight hardware. These methods were compared with conventional, culture-based methods for the recovery of S. Typhimurium colonies. A sterile wipe saturated with a citric acid-based, food-grade sanitizer was applied to two different surface materials used in VEGGIE flight hardware that had been contaminated with the bacterium Pseudomonas aeruginosa,. another known human pathogen. To sanitize surfaces, wipes were saturated with either the sanitizer or sterile deionized water and applied to each surface. Colony forming units of P. aeruginosa grown on tryptic soy agar plates were enumerated from surface samples after sanitization treatments. Depending on the VEGGIE hardware material, 2- to 4.5-log10 reductions in colony-forming units were observed after sanitization. The difference in recovery of S. Typhimurium between tape- and swab- based sampling techniques was insignificant. RAZOR® EX rapidly detected S. Typhimurium present in both raw culture and extracted DNA samples.

  9. Effect of rapid thermal annealing observed by photoluminescence measurement in GaAs1-xN x layers

    International Nuclear Information System (INIS)

    Bousbih, F.; Bouzid, S.B.; Hamdouni, A.; Chtourou, R.; Harmand, J.C.

    2005-01-01

    A set of GaAs 1-x N x samples with small nitrogen content were investigated by photoluminescence (PL) measurements as function of irradiance in order to investigate the effect of rapid thermal annealing (RTA) on photoluminescence (PL) properties. The analysis of PL spectra as function of irradiance and nitrogen content shows that the PL spectra associated to the GaAs 1- x N x layers are the result of the nitrogen localized state recombination. The results are examined as a consequence of a rapid thermal annealing (RTA). The variation of the emission band peak energy (E p ), at 10 K as a function of irradiance, is fitted by a theoretical model taking into account two types of nitrogen localized states. The variation of the PL intensity versus irradiance in the range from 1.59 to 159 W/cm 2 for different GaAs 1-x N x samples confirm that the PL spectra result from the nitrogen localized state recombination

  10. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  11. Solvent-mediated self-assembly of hexadecanethiol on GaAs (0 0 1)

    International Nuclear Information System (INIS)

    Huang, Xiaohuan; Dubowski, Jan J.

    2014-01-01

    Graphical abstract: - Highlights: • Outstanding quality hexadecanethiol self-assembled monolayers (HDT SAM) produced on GaAs (0 0 1) due to the mediated role of water in an alcoholic environment. • HDT SAM formed in chloroform exhibit excellent electronic passivation properties in contrast to their structural characteristics. • Low dielectric constant solvents do not necessary provide conditions advantageous for the formation of high quality alkanethiol SAM. • Photoluminescence emitting materials allow to investigate the mechanisms of both electronic and chemical passivation and, thus, they are an excellent platform for studying the mechanisms of SAM formation on solid substrates. - Abstract: We have investigated the influence of solvents on the quality of hexadecanethiol (HDT) self-assembled monolayers (SAM) formed on GaAs (0 0 1) in chloroform, ethanol and ethanol/water 1:1 characterized by their increasing dielectric constants from 4.8 (chloroform) to 24.5 (ethanol) and water (80.1). Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) data show that the incubation in ethanol/water 1:1 solution creates conditions favouring inter-molecular interaction leading to the formation of an outstanding quality HDT SAM on GaAs (0 0 1). Incubation in low-dielectric constant solvents is not offering advantageous conditions for growing HDT SAM on GaAs. The chloroform environment, while weakening the thiol–thiol interaction, induces the oxidation of the GaAs surface and, in particular, formation of Ga 2 O 3 . This reduces the concentration of surface defects responsible for non-radiative recombination and leads to an enhanced photoluminescence emission, despite the fact that HDT SAM formed in chloroform are highly disordered, exhibiting the worst chemical passivation among the investigated samples

  12. Electrode pattern design for GaAs betavoltaic batteries

    International Nuclear Information System (INIS)

    Chen Haiyang; Yin Jianhua; Li Darang

    2011-01-01

    The sensitivities of betavoltaic batteries and photovoltaic batteries to series and parallel resistance are studied. Based on the study, an electrode pattern design principle of GaAs betavoltaic batteries is proposed. GaAs PIN junctions with and without the proposed electrode pattern are fabricated and measured under the illumination of 63 Ni. Results show that the proposed electrode can reduce the backscattering and shadowing for the beta particles from 63 Ni to increase the GaAs betavoltaic battery short circuit currents effectively but has little impact on the fill factors and ideal factors.

  13. Si3N4/Si/In0.05Ga0.95As/n endash GaAs metal endash insulator endash semiconductor devices

    International Nuclear Information System (INIS)

    Park, D.; Li, D.; Tao, M.; Fan, Z.; Botchkarev, A.E.; Mohammad, S.N.; Morkoc, H.

    1997-01-01

    We report a novel metal endash insulator endash semiconductor (MIS) structure exhibiting a pseudomorphic In 0.05 Ga 0.95 As layer on GaAs with interface state densities in the low 10 11 eV -1 cm -2 . The structure was grown by a combination of molecular beam epitaxy and chemical vapor deposition methods. The hysteresis and frequency dispersion of the MIS capacitor were lower than 100 mV, some of them as low as 30 mV under a field swing of about ±1.3 MV/cm. The 150-Angstrom-thick In 0.05 Ga 0.95 As channel between Si and GaAs is found to bring about a change in the minority carrier recombination behavior of the GaAs channel, in the same way as done by In 0.53 Ga 0.47 As channel MIS structures. Self-aligned gate depletion mode In 0.05 Ga 0.95 As metal endash insulator endash semiconductor field-effect transistors having 3 μm gate lengths exhibited field-effect bulk mobility of 1400 cm 2 /Vs and transconductances of about 170 mS/mm. copyright 1997 American Institute of Physics

  14. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  15. Growth-interruption-induced low-density InAs quantum dots on GaAs

    International Nuclear Information System (INIS)

    Li, L. H.; Alloing, B.; Chauvin, N.; Fiore, A.; Patriarche, G.

    2008-01-01

    We investigate the use of growth interruption to obtain low-density InAs quantum dots (QDs) on GaAs. The process was realized by Ostwald-type ripening of a thin InAs layer. It was found that the optical properties of the QDs as a function of growth interruption strongly depend on InAs growth rate. By using this approach, a low density of QDs (4 dots/μm 2 ) with uniform size distribution was achieved. As compared to QDs grown without growth interruption, a larger energy separation between the QD confined levels was observed, suggesting a situation closer to the ideal zero-dimensional system. Combining with an InGaAs capping layer such as In-rich QDs enable 1.3 μm emission at 4 K

  16. Quantum Dots obtained by LPE from under-saturated In-As liquid phases on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz F E; Mishurnyi V; Gorbatchev A; De Anda F [Universidad Autonoma de San Luis Potosi, Instituto de Investigacion en Comunicacion Optica, Av. Karacorum 1470, Col. Lomas 4a Sec., CP 78210San Luis PotosI (Mexico); Prutskij T, E-mail: fcoe_ov@prodigy.net.mx, E-mail: andre@cactus.iico.uaslp.mx [BUAP, Instituto de Ciencias, Apartado Postal 207, 72000, Puebla (Mexico)

    2011-01-01

    In this work we inform about quantum dots (QD) obtained by Liquid Phase Epitaxy (LPE) on GaAs substrates from under-saturated In-As liquid phases. In our processes, we have prepared saturated In-rich liquid phases by dissolving an InAs wafer at one of the temperatures interval from 450 to 414 C for 60 minutes. The contact between In-As liquid phase and the GaAs substrate was always done at a constant temperature of 444 C for 5 seconds. Thus, the growth temperature for most of the samples was higher than the liquidus temperature. We think that the growth driving force is related to a transient process that occurs when the system is trying to reach equilibrium. Under the atom force microscope (AFM) we have observed nano-islands on the surfaces of the samples obtained from under-saturated liquid phases prepared at 438, 432 and 426 C. The 25 K photoluminescence spectrum shows a peak at a 1.33 eV, in addition to the GaAs related line.

  17. Surface photovoltage spectroscopy of real n-type GaAs(110) surfaces

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; El-Guiziri, S.B.; Gobrial, F.Z.

    1989-10-01

    N-type GaAs single crystals cut parallel to the (110) plane and doped with phosphorus by ion beam implantation were used in the present study. Temperature dependence of the bulk electrical conductivity showed two distinct activated regions with activation energies Et 1 =0.75±0.04eV, and Et 2 =0.12±0.04eV. The first activation energy is probably that of deep phosphorous impurities, while the second was related to long range disorder in the sample near room temperature. Surface photovoltage studies at room temperature were carried out at atmospheric pressure and in vacuum for etched and unetched samples. For n-type GaAs etched surface, the experimentally observed surface states were not found to change their positions by changing the pressure. But in the case of etched samples the surface states showed some redistribution under vacuum. The time constants for the initial rise and fall of CPD by illumination and after switching it off, τ 1 and τ 2 , respectively, were found to depend on the illumination intensity and photon energy. Their values range between 4 and 15 s. (author). 31 refs, 6 figs, 1 tab

  18. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  19. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in ``avalanche`` mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into ``avalanche`` mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  20. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (< 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6--35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs.

  1. Subnanosecond photoconductive switching in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Druce, R.L.; Pocha, M.D.; Griffin, K.L.

    1990-01-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential of GaAs to act as a closing switch in avalanche'' mode at high fields. We have observed switch closing times of less than 200 psec with 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into an avalanche'' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large are (1 sq cm) and small area (<1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300--1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation. 3 refs., 11 figs.

  2. Subnanosecond photoconductive switching in GaAs

    Science.gov (United States)

    Druce, R. L.; Pocha, M. D.; Griffin, K. L.

    1991-04-01

    We are conducting research in photoconductive switching for the purpose of generating microwave pulses with amplitudes up to 50 kV. This technology has direct application to impulse radar and HPM sources. We are exploiting the very fast recombination rates of Gallium Arsenide (GaAs) to explore the potential of GaAs as an on-off switch when operating in the linear mode (the linear mode is defined such that one carrier pair is generated for each photon absorbed). In addition, we are exploring the potential GaAs to act as a closing switch in 'avalanche' mode at high fields. We have observed switch closing times of less than 200 psec with a 100 psec duration laser pulse and opening times of less than 400 psec with neutron irradiated GaAs at fields of tens of kV/cm. If the field is increased and the laser energy decreased, the laser can be used to trigger photoconductive switches into 'avalanche' mode of operation in which carrier multiplication occurs. This mode of operation is quite promising since the switches close in less than 1 nsec while realizing significant energy gain (ratio of electrical energy in the pulse to optical trigger energy). We are currently investigating both large area (1 sq cm) and small area (less than 1 sq mm) switches illuminated by GaAlAs laser diodes at 900 nm and Nd:YAG lasers at 1.06 micrometers. Preliminary results indicate that the closing time of the avalanche switches depends primarily on the material properties of the devices with closing times of 300-1300 psec at voltages of 6-35 kV. We will present experimental results for linear, lock on, and avalanche mode operation of GaAs photoconductive switches and how these pulses may be applied to microwave generation.

  3. Panel fabrication utilizing GaAs solar cells

    Science.gov (United States)

    Mardesich, N.

    1984-01-01

    The development of the GaAs solar cells for space applications is described. The activities in the fabrication of GaAs solar panels are outlined. Panels were fabricated while introducing improved quality control, soldering laydown and testing procedures. These panels include LIPS II, San Marco Satellite, and a low concentration panel for Rockwells' evaluation. The panels and their present status are discussed.

  4. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  5. Preparation of GaAs photocathodes at low temperature

    International Nuclear Information System (INIS)

    Mulhollan, G.; Clendenin, J.; Tang, H.

    1996-10-01

    The preparation of an atomically clean surface is a necessary step in the formation of negative electron affinity (NEA) GaAs. Traditional methods to this end include cleaving, heat cleaning and epitaxial growth. Cleaving has the advantage of yielding a fresh surface after each cleave, but is limited to small areas and is not suitable for specialized structures. Heat cleaning is both simple and highly successful, so it is used as a preparation method in virtually all laboratories employing a NEA source on a regular basis. Due to its high cost and complexity, epitaxial growth of GaAs with subsequent in vacuo transfer is not a practical solution for most end users of GaAs as a NEA electron source. While simple, the heating cleaning process has a number of disadvantages. Here, a variety of cleaning techniques related to preparation of an atomically clean GaAs surface without heating to 600 C are discussed and evaluated

  6. Superconductivity and its pressure variation in GaAs

    International Nuclear Information System (INIS)

    Nirmala Louis, C.; Jayam, Sr. Gerardin; Amalraj, A.

    2005-01-01

    The electronic band structure, metallization, phase transition and superconducting transition of gallium arsenide under pressure are studied using TB-LMTO method. Metallization occurs via indirect closing of band gap between Γ and X points. GaAs becomes superconductor under high pressure but before that it undergoes structural phase transition from ZnS phase to NaCl phase. The ground state properties are analyzed by fitting the calculated total energies to the Birch-Murnaghan's equation of state. The superconducting transition temperatures (T c ) obtained as a function of pressure for both the ZnS and NaCl structures and GaAs comes under the class of pressure induced superconductor. When pressure is increased T c increases in both the normal and high pressure structures. The dependence of T c on electron-phonon mass enhancement factor λ shows that GaAs is an electron-phonon-mediated superconductor. Also it is found that GaAs retained in their normal structure under high pressure give appreciably high T c . (author)

  7. Structural and optical properties of vapor-etched porous GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Smida, A.; Laatar, F. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Hassen, M., E-mail: mhdhassen@yahoo.fr [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Applied Science and Technology of Sousse, City Taffala (Ibn Khaldun), 4003 Sousse (Tunisia); Ezzaouia, H. [Photovoltaic Laboratory, Centre for Research and Technology Energy, Tourist Route Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-08-15

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO{sub 3} as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  8. Structural and optical properties of vapor-etched porous GaAs

    International Nuclear Information System (INIS)

    Smida, A.; Laatar, F.; Hassen, M.; Ezzaouia, H.

    2016-01-01

    This paper consists to present first results concerning the structure of porous GaAs layer (por-GaAs-L) prepared by using HF/HNO 3 as acidic solution in vapor etching (VE) method. In order to clarify this method, we detail here its principle and explain how por-GaAs-Ls are formed, taking into account the influencing of the exposure time of the GaAs substrate to the acid vapor. The etched GaAs layers have been investigated by UV–visible and PL analysis. One porous layer was performed to be characterised by Atomic Force Microscopy (AFM), FTIR spectroscopy, and X-Ray Diffraction (XRD). The porous structure was constituted by a nanocrystals with an average size about 6 nm. These nanocrystals were calculated from XRD peak using Scherrer's formula, AFM imaging, and also by using effective mass approximation model from effective band gap. - Highlights: • Porous GaAs layer was prepared by using Vapor etching (VE) method. • Effect of VE duration on the microstructural optical properties of the GaAs substrate • Porous structure of GaAs layer was demonstrated by using SEM and AFM microscopy.

  9. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  10. Ultrafast recombination in H+ bombarded InP and GaAs: Consequences for the carrier distribution functions

    International Nuclear Information System (INIS)

    Lamprecht, K.F.; Juen, S.; Hoepfel, R.A.; Palmetshofer, L.

    1992-01-01

    The authors studied the lifetimes and the luminescence spectra of photoexcited carriers in H + bombarded InP and GaAs for different damage doses by means of femtosecond luminescence spectroscopy. For InP the lifetime decreases down to 95 fs for the highest dose, whereas for GaAs no shorter lifetime than 650 fs could be observed. With decreasing lifetime they observe an increase of the high energy tail of the time-integrated luminescence spectrum which is even inverted for the 95 fs InP sample

  11. Comparisons of single event vulnerability of GaAs SRAMS

    Science.gov (United States)

    Weatherford, T. R.; Hauser, J. R.; Diehl, S. E.

    1986-12-01

    A GaAs MESFET/JFET model incorporated into SPICE has been used to accurately describe C-EJFET, E/D MESFET and D MESFET/resistor GaAs memory technologies. These cells have been evaluated for critical charges due to gate-to-drain and drain-to-source charge collection. Low gate-to-drain critical charges limit conventional GaAs SRAM soft error rates to approximately 1E-6 errors/bit-day. SEU hardening approaches including decoupling resistors, diodes, and FETs have been investigated. Results predict GaAs RAM cell critical charges can be increased to over 0.1 pC. Soft error rates in such hardened memories may approach 1E-7 errors/bit-day without significantly reducing memory speed. Tradeoffs between hardening level, performance and fabrication complexity are discussed.

  12. Imaging performance of a Timepix detector based on semi-insulating GaAs

    Science.gov (United States)

    Zaťko, B.; Zápražný, Z.; Jakůbek, J.; Šagátová, A.; Boháček, P.; Sekáčová, M.; Korytár, D.; Nečas, V.; Žemlička, J.; Mora, Y.; Pichotka, M.

    2018-01-01

    This work focused on a Timepix chip [1] coupled with a bulk semi-insulating GaAs sensor. The sensor consisted of a matrix of 256 × 256 pixels with a pitch of 55 μm bump-bonded to a Timepix ASIC. The sensor was processed on a 350 μm-thick SI GaAs wafer. We carried out detector adjustment to optimize its performance. This included threshold equalization with setting up parameters of the Timepix chip, such as Ikrum, Pream, Vfbk, and so on. The energy calibration of the GaAs Timepix detector was realized using a 241Am radioisotope in two Timepix detector modes: time-over-threshold and threshold scan. An energy resolution of 4.4 keV in FWHM (Full Width at Half Maximum) was observed for 59.5 keV γ-photons using threshold scan mode. The X-ray imaging quality of the GaAs Timepix detector was tested using various samples irradiated by an X-ray source with a focal spot size smaller than 8 μm and accelerating voltage up to 80 kV. A 700 μm × 700 μm gold testing object (X-500-200-16Au with Siemens star) fabricated with high precision was used for the spatial resolution testing at different values of X-ray image magnification (up to 45). The measured spatial resolution of our X-ray imaging system was about 4 μm.

  13. Emission of circularly polarized recombination radiation from p-doped GaAs and GaAs0.62P0.38 under the impact of polarized electrons

    International Nuclear Information System (INIS)

    Fromme, B.; Baum, G.; Goeckel, D.; Raith, W.

    1989-01-01

    Circularly polarized light is emitted in radiative transitions of polarized electrons from the conduction to the valence band in GaAs or GaAs 1-x P x crystals. The degree of light polarization is directly related to the polarization of the conduction-band electrons at the instant of recombination and allows conclusions about the depolarization of electrons in the conduction band. The depolarization is caused by spin-relaxation processes. The efficiency of these processes depends on crystal type, crystal temperature, degree of doping, and kinetic energy of the electrons. Highly p-doped GaAs and GaAs 0.62 P 0.38 crystals (N A >1x10 19 atoms/cm 3 ) were bombarded with polarized electrons (initial polarization 38%), and the spectral distribution and the circular polarization of the emitted recombination radiation were measured. The initial kinetic energy of the electrons in the conduction band was varied between 5 and 1000 eV. The measurements of the spectral distribution show that the electrons are thermalized before recombination occurs, independent of their initial energy. An important thermalization process in this energy range is the excitation of crystal electrons by electron-hole pair creation. The circular polarization of the recombination radiation lies below 1% in the whole energy range. It decreases with increasing electron energy but is still of measurable magnitude at 100 eV in the case of GaAs 0.62 P 0.38 . The circular polarization is smaller for GaAs than for GaAs 0.62 P 0.38 , which we attribute to more efficient spin relaxation in GaAs

  14. Femtosecond pulsed laser ablation of GaAs

    International Nuclear Information System (INIS)

    Trelenberg, T.W.; Dinh, L.N.; Saw, C.K.; Stuart, B.C.; Balooch, M.

    2004-01-01

    The properties of femtosecond-pulsed laser deposited GaAs nanoclusters were investigated. Nanoclusters of GaAs were produced by laser ablating a single crystal GaAs target in vacuum or in a buffer gas using a Ti-sapphire laser with a 150 fs minimum pulse length. For in-vacuum deposition, X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM) revealed that the average cluster size was approximately 7 nm for laser pulse lengths between 150 fs and 25 ps. The average cluster size dropped to approximately 1.5 nm at a pulse length of 500 ps. It was also observed that film thickness decreased with increasing laser pulse length. A reflective coating, which accumulated on the laser admission window during ablation, reduced the amount of laser energy reaching the target for subsequent laser shots and developed more rapidly at longer pulse lengths. This observation indicates that non-stoichiometric (metallic) ablatants were produced more readily at longer pulse lengths. The angular distribution of ejected material about the target normal was well fitted to a bi-cosine distribution of cos 47 θ+ cos 4 θ for ablation in vacuum using 150 fs pulses. XPS and AES revealed that the vacuum-deposited films contained excess amorphous Ga or As in addition to the stoichiometric GaAs nanocrystals seen with XRD. However, films containing only the GaAs nanocrystals were produced when ablation was carried out in the presence of a buffer gas with a pressure in excess of 6.67 Pa. At buffer gas pressure on the order of 1 Torr, it was found that the stoichiometry of the ablated target was also preserved. These experiments indicate that both laser pulse length and buffer gas pressure play important roles in the formation of multi-element nanocrystals by laser ablation. The effects of gas pressure on the target's morphology and the size of the GaAs nanocrystals formed will also be discussed

  15. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  16. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  17. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  18. Development of GaAs Detectors for Physics at the LHC

    CERN Multimedia

    Chu, Zhonghua; Krais, R; Rente, C; Syben, O; Tenbusch, F; Toporowsky, M; Xiao, Wenjiang; Cavallini, A; Fiori, F; Edwards, M; Geppert, R; Goppert, R; Haberla, C; Hornung, M F; Irsigler, R; Rogalla, M; Beaumont, S; Raine, C; Skillicorn, I; Margelevicius, J; Meshkinis, S; Smetana, S; Jones, B; Santana, J; Sloan, T; Zdansky, K; Alexiev, D; Donnelly, I J; Canali, C; Chiossi, C; Nava, F; Pavan, P; Kubasta, J; Tomiak, Z; Tchmil, V; Tchountonov, A; Tsioupa, I; Dogru, M; Gray, R; Hou, Yuqian; Manolopoulos, S; Walsh, S; Aizenshtadt, G; Budnitsky, D L; Gossen, A; Khludkov, S; Koretskaya, O B; Okaevitch, L; Potapov, A; Stepanov, V E; Tolbanov, O; Tyagev, A; Matulionis, A; Pozela, J; Kavaliauskiene, G; Kazukauskas, V; Kiliulis, R; Rinkevicius, V; Slenys, S; Storasta, J V

    2002-01-01

    % RD-8 Development of GaAs Detectors for Physics at the LHC \\\\ \\\\The aims of the collaboration are to investigate the available material options, performance and limitations of simple pad, pixel and microstrip GaAs detectors for minimum ionising particles with radiation hardness and speed which are competitive with silicon detectors. This new technology was originally developed within our university laboratories but now benefits from increasing industrial interest and collaboration in detector fabrication. Initial steps have also been taken towards the fabrication of GaAs preamplifiers to match the detectors in radiation hardness. The programme of work aims to construct a demonstration detector module for an LHC forward tracker based on GaAs.

  19. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  20. Complexes due to donor-acceptor-type transitions in GaAs

    International Nuclear Information System (INIS)

    Reynolds, D.C.; Litton, C.W.; Almassy, R.J.; McCoy, G.L.; Nam, S.B.

    1980-01-01

    A sharp line transition at 1.51385 eV has been observed in the photoluminescence spectra of an epitaxially grown crystal of GaAs. A Si 3 N 4 cap was applied by plasma deposition and the crystal was then annealed at 850 0 C for 15 min. The sharp emission line was observed after annealing. This transition was analyzed in perturbing magnetic and strain fields and is shown to result from a donor-acceptor-type complex. Three additional sharp line transitions are reported and the behavior of all of these transitions is compared with the behavior of similar transitions reported in the literature. Models for the complexes involved are re-examined and components of the complexes are suggested. All of the sharp line transitions were introduced in the growing process with the exception of the 1.51385-eV line which was introduced in the capping and annealing process

  1. Sample sufficiency of chinese pink grown in different substrates

    Directory of Open Access Journals (Sweden)

    Sidinei José lopes

    2016-04-01

    Full Text Available The cravina is an excellent plant to build up gardens due to its early flowering, abundant flowering and great performance in spring and autumn. The objective was to estimate the sample size for plant chinese pink, grown on different substrates, and check the variability of the sample size between growth parameters and production and substrates. They used seven treatments (substrates: S1 = 50% soil + 50% rice husk ash; S2 = 80% soil + 20% earthworm castings; S3 = 80% rice husk ash + 20% earthworm castings; S4 = 40% soil + 40% rice husk ash + 20% earthworm castings; S5 = 100% peat; S6 = 100% commercial substrate Mecplant®; S7 = 50% peat + 50% rice husk ash, with 56 repetitions each, totaling 392 plants of garden pink, which was evaluated in 17 of growth and production parameters. The methodology used to bootstrap resampling, with replacement, for each character within each substrate with predetermined error: 5, 10, 20 and 40% of the average (D%. To a 95% confidence interval, with D = 20%, the substrate 50% soil and 50% of rice husk ash had the largest sample size 11 characters; when comparing the characters , the number of flower buds had the highest sample size on average 113 plants. Using samples of 44 plant chinese pink for commercial substrate Mecplant® meet the lower precisions or equal to 20% for all variables. There is variation in sample size in relation to the substrate used and the variable evaluated in chinese pink plants.

  2. Two-dimensional superconducting state of monolayer Pb films grown on GaAs(110) in a strong parallel magnetic field.

    Science.gov (United States)

    Sekihara, Takayuki; Masutomi, Ryuichi; Okamoto, Tohru

    2013-08-02

    Two-dimensional (2D) superconductivity was studied by magnetotransport measurements on single-atomic-layer Pb films on a cleaved GaAs(110) surface. The superconducting transition temperature shows only a weak dependence on the parallel magnetic field up to 14T, which is higher than the Pauli paramagnetic limit. Furthermore, the perpendicular-magnetic-field dependence of the sheet resistance is almost independent of the presence of the parallel field component. These results are explained in terms of an inhomogeneous superconducting state predicted for 2D metals with a large Rashba spin splitting.

  3. 35-kV GaAs subnanosecond photoconductive switches

    Science.gov (United States)

    Pocha, Michael D.; Druce, Robert L.

    1990-12-01

    High-voltage, fast-pulse generation using GaAs photoconductive switches is investigated. It is possible to to generate 35-kV pulses with risetimes as short as 135 ps using 5-mm gap switches, and electric field hold-off of greater than 100 kV/cm is achieved. An approximately 500-ps FWHM on/off electrical pulse is generated with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier lifetimes. Experimental results are described, and fabrication of switches and the diagnostics used to measure these fast signals are discussed. Experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs is also described.

  4. Status of fully integrated GaAs particle detectors

    International Nuclear Information System (INIS)

    Braunschweig, W.; Breibach, J.; Kubicki, Th.; Luebelsmeyer, K.; Maesing, Th.; Rente, C.; Roeper, Ch.; Siemes, A.

    1999-01-01

    GaAs strip detectors are of interest because of their radiation hardness at room temperature and the high absorption coefficient of GaAs for x-rays. The detectors currently under development will be used in the VLQ-experiment at the H1 experiment at the HERA collider. This will be the first high energy physics experiment where GaAs detectors will be used. The detectors have a sensitive area of 5 x 4 cm with a pitch of 62 μ m. Due to the high density of channels the biasing resistors and coupling capacitors are integrated. For the resistors a resistive layer made of Cermet is used. The properties of the first fully integrated strip detector are presented

  5. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Optical pumping of hot phonons in GaAs

    International Nuclear Information System (INIS)

    Collins, C.L.; Yu, P.Y.

    1982-01-01

    Optical pumping of hot LO phonons in GaAs has been studied as a function of the excitation photon frequency. The experimental results are in good agreement with a model calculation which includes both inter- and intra-valley electron-phonon scatterings. The GAMMA-L and GAMMA-X intervalley electron-phonon interactions in GaAs have been estimated

  7. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Science.gov (United States)

    Angly, Florent E; Willner, Dana; Prieto-Davó, Alejandra; Edwards, Robert A; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A; Barott, Katie; Cottrell, Matthew T; Desnues, Christelle; Dinsdale, Elizabeth A; Furlan, Mike; Haynes, Matthew; Henn, Matthew R; Hu, Yongfei; Kirchman, David L; McDole, Tracey; McPherson, John D; Meyer, Folker; Miller, R Michael; Mundt, Egbert; Naviaux, Robert K; Rodriguez-Mueller, Beltran; Stevens, Rick; Wegley, Linda; Zhang, Lixin; Zhu, Baoli; Rohwer, Forest

    2009-12-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites) suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and environmental conditions.

  8. Radiation effects in pigtailed GaAs and GaA1As LEDs

    International Nuclear Information System (INIS)

    Barnes, C.E.

    1981-06-01

    Permanent and transient radiation effects have been studied in Plessey pigtailed, high radiance GaAs and GaAlAs LEDs using neutron, gamma ray and X-ray sources. The radiation-induced source of degradation in these devices was determined by also examining both bare, unpigtailed LEDs and separate samples of the Corning fibers used as pigtails. No transient effects were observed in the unpigtailed LEDs during either pulsed neutron or X-ray exposure. In contrast, the Corning doped silica fibers exhibited strong transient attenuation following pulsed X-ray bombardment. Permanent neutron damage in these pigtailed LEDs consisted essentially of light output degradation in the LED itself. Permanent gamma ray effects due to a Co-60 irradiation of 1 megarad were restricted to a small increase in attenuation in the fiber. The two primary radiation effects were then transient attenuation in the fiber pigtail and permanent neutron-induced degradation of the LED

  9. GaAs detectors with an ultra-thin Schottky contact for spectrometry of charged particles

    Energy Technology Data Exchange (ETDEWEB)

    Chernykh, S.V., E-mail: chsv_84@mail.ru [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Chernykh, A.V. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Didenko, S.I.; Baryshnikov, F.M. [National University of Science and Technology “MISIS”, Moscow (Russian Federation); Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Burtebayev, N. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan); Britvich, G.I. [Institute of High Energy Physics, Protvino, Moscow region (Russian Federation); Chubenko, A.P. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow (Russian Federation); Guly, V.G.; Glybin, Yu.N. [LLC “SNIIP Plus”, Moscow (Russian Federation); Zholdybayev, T.K.; Burtebayeva, J.T.; Nassurlla, M. [Research Institute of Experimental and Theoretical Physics, Almaty (Kazakhstan); Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-02-11

    For the first time, samples of particle detectors based on high-purity GaAs epilayers with an active area of 25 and 80 mm{sup 2} and an ultra-thin Pt Schottky barrier were fabricated for use in the spectrometry of charged particles and their operating characteristics were studied. The obtained FWHM of 14.2 (for 25 mm{sup 2} detector) and 15.5 keV (for 80 mm{sup 2} detector) on the 5.499 MeV line of {sup 238}Pu is at the level of silicon spectrometric detectors. It was found that the main component that determines the energy resolution of the detector is a fluctuation in the number of collected electron–hole pairs. This allows us to state that the obtained energy resolution is close to the limit for VPE GaAs. - Highlights: • VPE GaAs particle detectors with an active area of 25 and 80 mm{sup 2} were fabricated. • 120 Å ultra-thin Pt Schottky barrier was used as a rectifying contact. • The obtained FWHM of 14.2 keV ({sup 238}Pu) is at the level of Si spectrometric detectors. • Various components of the total energy resolution were analyzed. • It was shown that obtained energy resolution is close to its limit for VPE GaAs.

  10. Implantation annealing in GaAs by incoherent light

    International Nuclear Information System (INIS)

    Davies, D.E.; Ryan, T.G.; Soda, K.J.; Comer, J.J.

    1983-01-01

    Implanted GaAs has been successfully activated through concentrating the output of quartz halogen lamps to anneal in times of the order of 1 sec. The resulting layers are not restricted by the reduced mobilities and thermal instabilities of laser annealed GaAs. Better activation can be obtained than with furnace annealing but this generally requires maximum temperatures >= 1050degC. (author)

  11. Critical size for the generation of misfit dislocations and their effects on electronic properties in GaAs nanosheets on Si substrate

    International Nuclear Information System (INIS)

    Yuan, Zaoshi; Shimamura, Kohei; Shimojo, Fuyuki; Nakano, Aiichiro

    2013-01-01

    While nanowires and nanosheets (NSs) grown on lattice-mismatched substrates have a number of promising technological applications such as solar cells, generation of misfit dislocations (MFDs) at their interfaces is a major concern for the efficiency of these devices. Here, combined molecular-dynamics and quantum-mechanical simulations are used to study MFDs at the interface between a GaAs NS and a Si substrate. Simulation results show the existence of a critical NS thickness, below which NSs are grown free of MFDs. The calculated critical thickness value is consistent with available experimental observations. Charge transfer at the MFD core is found to modify the electronic band profile at the GaAs/Si interface significantly. These effects should have profound impacts on the efficiency of lattice-mismatched NS devices

  12. Extended wavelength InGaAs on GaAs using InAlAs buffer for back-side-illuminated short-wave infrared detectors

    International Nuclear Information System (INIS)

    Zimmermann, Lars; John, Joachim; Degroote, Stefan; Borghs, Gustaaf; Hoof, Chris van; Nemeth, Stefan

    2003-01-01

    We conducted an experimental study of back-side-illuminated InGaAs photodiodes grown on GaAs and sensitive in the short-wave infrared up to 2.4 μm. Standard metamorphic InGaAs or IR-transparent InAlAs buffers were grown by molecular-beam epitaxy. We studied dark current and photocurrent as a function of buffer thickness, buffer material, and temperature. A saturation of the dark current with buffer thickness was not observed. The maximum resistance area product was ∼10 Ω cm2 at 295 K. The dark current above 200 K was dominated by generation-recombination current. A pronounced dependence of the photocurrent on the buffer thickness was observed. The peak external quantum efficiency was 46% (at 1.6 μm) without antireflective coating

  13. Laser-induced band-gap collapse in GaAs

    Science.gov (United States)

    Glezer, E. N.; Siegal, Y.; Huang, L.; Mazur, E.

    1995-03-01

    We present experimentally determined values of the dielectric constant of GaAs at photon energies of 2.2 and 4.4 eV following excitation of the sample with 1.9-eV, 70-fs laser pulses spanning a fluence range from 0 to 2.5 kJ/m2. The data show that the response of the dielectric constant to the excitation is dominated by changes in the electronic band structure and not by the optical susceptibility of the excited free carriers. The behavior of the dielectric constant indicates a drop in the average bonding-antibonding splitting of GaAs following the laser-pulse excitation. This drop in the average splitting leads to a collapse of the band gap on a picosecond time scale for excitation at fluences near the damage threshold of 1.0 kJ/m2 and on a subpicosecond time scale at higher excitation fluences. The changes in the electronic band structure result from a combination of electronic screening of the ionic potential as well as structural deformation of the lattice caused by the destabilization of the covalent bonds.

  14. Growth and characteristics of p-type doped GaAs nanowire

    Science.gov (United States)

    Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin

    2018-05-01

    The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).

  15. Muon track induced current measurements in semi-insulating GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Eshchenko, D.G., E-mail: dimitry.eshchenko@psi.c [Physik-Institut der Universitaet Zuerich, CH-8057 Zuerich (Switzerland); Laboratory for Muon Spin Spectroscopy, Paul Scherrer Institute, CH-5232 Villigen (Switzerland); Storchak, V.G. [Russian Research Centre ' Kurchatov Institute' , Kurchatov Sq. 1, Moscow 123182 (Russian Federation); Cottrell, S.P. [ISIS Facility, Rutherford Appleton Laboratory, Oxfordshire OX11 OQX (United Kingdom)

    2009-04-15

    We report on preliminary muon-track-induced current measurements in semi-insulating (SI-) GaAs. At T=70K, after simultaneous treatment of the sample by muon irradiation and a strong electric field (a square wave with |E|>10kV/cm and the polarity changed every 1/(50) s) for approximately 2 h, the sample is transferred to a metastable-like state which is characterized by increased life-times for non-equilibrium electrons and holes. The sample can be returned to the original state by heating up to 250 K. Our results for SI-GaAs suggest a muon-track-induced electric-field-assisted neutralization process for the deep traps.

  16. Structural and physical properties of InAlAs quantum dots grown on GaAs

    Science.gov (United States)

    Vasile, B. S.; Daly, A. Ben; Craciun, D.; Alexandrou, I.; Lazar, S.; Lemaître, A.; Maaref, M. A.; Iacomi, F.; Craciun, V.

    2018-04-01

    Quantum dots (QDs), which have particular physical properties due to the three dimensions confinement effect, could be used in many advanced optoelectronic applications. We investigated the properties of InAlAs/AlGaAs QDs grown by molecular beam epitaxy on GaAs/Al0.5Ga0.5As layers. The optical properties of QDs were studied by low-temperature photoluminescence (PL). Two bandgap transitions corresponding to the X-Sh and X-Ph energy structure were observed. The QDs structure was investigated using high-resolution X-ray diffraction (HRXRD) and high-resolution transmission electron microscopy (HRTEM). HRXRD investigations showed that the layers grew epitaxially on the substrate, with no relaxation. HRTEM investigations confirmed the epitaxial nature of the grown structures. In addition, it was revealed that the In atoms aggregated in some prismatic regions, forming areas of high In concentration, that were still in perfect registry with the substrate.

  17. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  18. Terahertz emission from semi-insulating GaAs with octadecanthiol-passivated surface

    International Nuclear Information System (INIS)

    Wu, Xiaojun; Xu, Xinlong; Lu, Xinchao; Wang, Li

    2013-01-01

    Terahertz (THz) emission from octadecanthiol (ODT) passivated (1 0 0) surface of the semi-insulating GaAs was measured, and compared with those from the native oxidized and the fresh surfaces. It was shown that the self-assembled ODT monolayer can stabilize the GaAs (1 0 0) surface, and maintain a THz surface emission 1.4 times as efficient as the native oxidized surface under equal conditions. Surface passivation can reduce the built-in electric field in the depletion region of the GaAs (1 0 0), resulting in the suppression of the THz radiation to a different extent. Oxidation of GaAs surface reduces the THz amplitude mainly in the low-frequency region. These results indicate that GaAs can be made a more effective THz source by choosing molecular passivation technique. Conversely, the THz emission features such as polarity, amplitude, and phase from molecule-passivated surfaces may be used to characterize the attached molecules.

  19. Nanoripple formation on GaAs (001) surface by reverse epitaxy during ion beam sputtering at elevated temperature

    Energy Technology Data Exchange (ETDEWEB)

    Chowdhury, Debasree; Ghose, Debabrata, E-mail: debabrata1.ghose@gmail.com

    2016-11-01

    Highlights: • GaAs (001) surfaces are sputtered by 1 keV Ar{sup +} at sample temperature of 450 °C. • Highly ordered defect-free ripples develop at near-normal incidence angles (θ ≈ 0–25{sup 0}). • Concurrent sample rotation does not alter the ripple orientation with respect to the ion beam. • At grazing incidence angles anisotropic structure is formed. • Concurrent sample rotation shows that the structure orientation depends on the beam direction. - Abstract: Self-organized pattern formation by the process of reverse epitaxial growth has been investigated on GaAs (001) surfaces during 1 keV Ar{sup +} bombardment at target temperature of 450 °C for a wide range of incident angles. Highly ordered ripple formation driven by diffusion instability is evidenced at near normal incidence angles. Concurrent sample rotation shows that the ripple morphology and its orientation do not depend on the incident beam direction; rather they are determined by the symmetry of the crystal face.

  20. Two-Photon Pumped Synchronously Mode-Locked Bulk GaAs Laser

    Science.gov (United States)

    Cao, W. L.; Vaucher, A. M.; Ling, J. D.; Lee, C. H.

    1982-04-01

    Pulses 7 picoseconds or less in duration have been generated from a bulk GaAs crystal by a synchronous mode-locking technique. The GaAs crystal was optically pumped by two-photon absorption of the emission from a mode-locked Nd:glass laser. Two-photon absorption as the means of excitation increases the volume of the gain medium by increasing the pene-tration depth of the pump intensity, enabling generation of intra-cavity pulses with peak power in the megawatt range. Tuning of the wavelength of the GaAs emission is achieved by varying the temperature. A tuning range covering 840 nm to 885 nm has been observed over a temperature range from 97°K to 260°K. The intensity of the GaAs emission has also been observed to decrease as the temperature of the crystal is increased.

  1. Microwave GaAs Integrated Circuits On Quartz Substrates

    Science.gov (United States)

    Siegel, Peter H.; Mehdi, Imran; Wilson, Barbara

    1994-01-01

    Integrated circuits for use in detecting electromagnetic radiation at millimeter and submillimeter wavelengths constructed by bonding GaAs-based integrated circuits onto quartz-substrate-based stripline circuits. Approach offers combined advantages of high-speed semiconductor active devices made only on epitaxially deposited GaAs substrates with low-dielectric-loss, mechanically rugged quartz substrates. Other potential applications include integration of antenna elements with active devices, using carrier substrates other than quartz to meet particular requirements using lifted-off GaAs layer in membrane configuration with quartz substrate supporting edges only, and using lift-off technique to fabricate ultrathin discrete devices diced separately and inserted into predefined larger circuits. In different device concept, quartz substrate utilized as transparent support for GaAs devices excited from back side by optical radiation.

  2. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  3. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    International Nuclear Information System (INIS)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A; Molina-Valdovinos, S; Melendez-Lira, M; Lopez-Lopez, M

    2009-01-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm -1 and 291 cm -1 , respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm -1 and 250 cm -1 are evidenced. Additional new peaks located around 650 and 690 cm -1 are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm -1 and 695.2 cm -1 , in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn) m As n localized structures.

  4. The GAAS metagenomic tool and its estimations of viral and microbial average genome size in four major biomes.

    Directory of Open Access Journals (Sweden)

    Florent E Angly

    2009-12-01

    Full Text Available Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS, a complete software package that provides improved estimates of community composition and average genome length for metagenomes in both textual and graphical formats. GAAS implements a novel methodology to control for sampling bias via length normalization, to adjust for multiple BLAST similarities by similarity weighting, and to select significant similarities using relative alignment lengths. In benchmark tests, the GAAS method was robust to both high percentages of unknown sequences and to variations in metagenomic sequence read lengths. Re-analysis of the Sargasso Sea virome using GAAS indicated that standard methodologies for metagenomic analysis may dramatically underestimate the abundance and importance of organisms with small genomes in environmental systems. Using GAAS, we conducted a meta-analysis of microbial and viral average genome lengths in over 150 metagenomes from four biomes to determine whether genome lengths vary consistently between and within biomes, and between microbial and viral communities from the same environment. Significant differences between biomes and within aquatic sub-biomes (oceans, hypersaline systems, freshwater, and microbialites suggested that average genome length is a fundamental property of environments driven by factors at the sub-biome level. The behavior of paired viral and microbial metagenomes from the same environment indicated that microbial and viral average genome sizes are independent of each other, but indicative of community responses to stressors and

  5. Spin injection into GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Endres, Bernhard

    2013-11-01

    In this work spin injection into GaAs from Fe and (Ga,Mn)As was investigated. For the realization of any spintronic device the detailed knowledge about the spin lifetime, the spatial distribution of spin-polarized carriers and the influence of electric fields is essential. In the present work all these aspects have been analyzed by optical measurements of the polar magneto-optic Kerr effect (pMOKE) at the cleaved edge of the samples. Besides the attempt to observe spin pumping and thermal spin injection into n-GaAs the spin solar cell effect is demonstrated, a novel mechanism for the optical generation of spins in semiconductors with potential for future spintronic applications. Also important for spin-based devices as transistors is the presented realization of electrical spin injection into a two-dimensional electron gas.

  6. Temperature dependent magnetic properties of the GaAs substrate of spin-LEDs

    International Nuclear Information System (INIS)

    Ney, A; Harris, J S Jr; Parkin, S S P

    2006-01-01

    The temperature dependence of the magnetization of a light emitting diode having a ferromagnetic contact (spin-LED) is measured from 2 to 300 K in magnetic fields from 30 to 70 kOe and it is found that it originates from the GaAs substrate. The magnetization of GaAs comprises a van Vleck-type paramagnetic contribution to the susceptibility which scales inversely with the band gap of the semiconductor. Thus, the temperature dependence of the band gap of GaAs accounts for the non-linear temperature dependent magnetic susceptibility of GaAs and thus, at large magnetic fields, for the spin-LED

  7. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Science.gov (United States)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  8. Structure characterization of MHEMT heterostructure elements with In_0_._4Ga_0_._6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    International Nuclear Information System (INIS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-01-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In_0_._4Ga_0_._6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In_xGa_1_–_xAs ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  9. Photon counting microstrip X-ray detectors with GaAs sensors

    Science.gov (United States)

    Ruat, M.; Andrä, M.; Bergamaschi, A.; Barten, R.; Brückner, M.; Dinapoli, R.; Fröjdh, E.; Greiffenberg, D.; Lopez-Cuenca, C.; Lozinskaya, A. D.; Mezza, D.; Mozzanica, A.; Novikov, V. A.; Ramilli, M.; Redford, S.; Ruder, C.; Schmitt, B.; Shi, X.; Thattil, D.; Tinti, G.; Tolbanov, O. P.; Tyazhev, A.; Vetter, S.; Zarubin, A. N.; Zhang, J.

    2018-01-01

    High-Z sensors are increasingly used to overcome the poor efficiency of Si sensors above 15 keV, and further extend the energy range of synchrotron and FEL experiments. Detector-grade GaAs sensors of 500 μm thickness offer 98% absorption efficiency at 30 keV and 50% at 50 keV . In this work we assess the usability of GaAs sensors in combination with the MYTHEN photon-counting microstrip readout chip developed at PSI. Different strip length and pitch are compared, and the detector performance is evaluated in regard of the sensor material properties. Despite increased leakage current and noise, photon-counting strips mounted with GaAs sensors can be used with photons of energy as low as 5 keV, and exhibit excellent linearity with energy. The charge sharing is doubled as compared to silicon strips, due to the high diffusion coefficient of electrons in GaAs.

  10. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Linearity of photoconductive GaAs detectors to pulsed electrons

    International Nuclear Information System (INIS)

    Ziegler, L.H.

    1995-01-01

    The response of neutron damaged GaAs photoconductor detectors to intense, fast (50 psec fwhm) pulses of 16 MeV electrons has been measured. Detectors made from neutron damaged GaAs are known to have reduced gain, but significantly improved bandwidth. An empirical relationship between the observed signal and the incident electron fluence has been determined

  12. Performance of a GaAs electron source

    International Nuclear Information System (INIS)

    Calabrese, R.; Ciullo, G.; Della Mea, G.; Egeni, G.P.; Guidi, V.; Lamanna, G.; Lenisa, P.; Maciga, B.; Rigato, V.; Rudello, V.; Tecchio, L.; Yang, B.; Zandolin, S.

    1994-01-01

    We discuss the performance improvement of a GaAs electron source. High quantum yield (14%) and constant current extraction (1 mA for more than four weeks) are achieved after a little initial decay. These parameters meet the requirements for application of the GaAs photocathode as a source for electron cooling devices. We also present the preliminary results of a surface analysis experiment, carried out by means of the RBS technique to check the hypothesis of cesium evaporation from the surface when the photocathode is in operation. (orig.)

  13. ECV profiling of GaAs and GaN HEMT heterostructures

    Science.gov (United States)

    Yakovlev, G.; Zubkov, V.

    2018-03-01

    AlGaAs/InGaAs/GaAs and AlGaN/GaN HEMT heterostructures were investigated by means of electrochemical capacitance-voltage technique. A set of test structures were fabricated using various doping techniques: standard doping, δ-doping GaAs pHEMT and nondoping GaN HEMT. The concentration profiles of free charge carriers across the samples were experimentally obtained. The QW filling was analyzed and compared for different mechanisms of emitter doping and 2DEG origins.

  14. Damage related deep electron levels in ion implanted GaAs

    International Nuclear Information System (INIS)

    Allsopp, D.W.E.; Peaker, A.R.

    1986-01-01

    A study has been made of the deep electron levels in semi-insulating GaAs implanted with either 78 Se + or 29 Si + ions and rendered n-type by subsequent annealing without encapsulation in partial pressures of arsenic or arsine. Three implantation related deep states were detected with concentration profiles approximating to the type of Gaussian distributions expected for point defects related to ion implantation damage. Further heat treatment of the samples at 500 0 C in a gas ambient of U 2 /H 2 substantially reduced concentration of these deep levels. Two of these states were thought to be related to displacements of the substrate atoms. The third, at Esubc -0.67 eV, was found in only 78 Se + ion implanted GaAs substrates and was thought to be a defect involving both Se and As atoms, rather than intrinsic lattice disorder. It is proposed that the annealing rate of these implantation related deep levels depends crucially on the in-diffusion of arsenic vacancies during heat treatments. (author)

  15. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  16. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  17. Superconducting proximity effect in MBE grown Nb-InAs junctions

    Science.gov (United States)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  18. Transmission electron microscopy and photoluminescence characterization of InGaAs strained quantum wires on GaAs vicinal (110) substrates

    International Nuclear Information System (INIS)

    Shim, Byoung Rho; Torii, Satoshi; Ota, Takeshi; Kobayashi, Keisuke; Maehashi, Kenzo; Nakashima, Hisao; Lee, Sang Yun

    1999-01-01

    We have used transmission electron microscopy (TEM) and photoluminescence (PL) to study InGaAs/AlGaAs strained quantum wires (QWRs) grown by molecular beam epitaxy (MBE) on GaAs vicinal (110) substrates. The cross-sectional TEM image reveals that InGaAs QWRs structures are naturally formed on AlGaAs giant steps. In the plan-view TEM images, the fringe pattern in the giant-step region is observed for In x Ga 1-x As layers with x≤ 0.4 We measured the separation of the fringe in the plan-view TEM images and compared the result with the calculated fringe separation. From this result, we conclude that the fringes observed in the plan-view TEM images are moire fringes. PL spectra of the InGaAs QWRs samples reveal 80-meV shifts to lower energy with respect to the spectrum of a quantum well (QWL) grown on a (001) substrate under the same conditions. We also measured the polarization anisotropy of the PL spectra from the QWRs. The PL peak shifts systematically toward higher energy with decreasing InGaAs thickness. The degree of polarization for the InGaAs QWRs was about 0.29. The PL observation evidences the carrier confinement in the QWRs. These results indicate that locally thick InGaAs strained QWRs were successfully formed at the edge of AlGaAs giant steps

  19. Lightweight, Light-Trapped, Thin GaAs Solar Cells for Spacecraft Applications.

    Science.gov (United States)

    1995-10-05

    improve the efficiency of this type of cell. 2 The high efficiency and light weight of the cover glass supported GaAs solar cell can have a significant...is a 3-mil cover glass and 1-mil silicone adhesive on the front surface of the GaAs solar cell. Power Output 3000 400 -{ 2400 { N 300 S18200 W/m2...the ultra-thin, light-trapped GaAs solar ceill 3. Incorporate light trapping. 0 external quantum efficiency at 850 nm increased by 5.2% 4. Develop

  20. The GaAs electron source: simulations and experiments

    International Nuclear Information System (INIS)

    Aleksandrov, A.V.; Ciullo, G.; Guidi, V.; Kudelainen, V.I.; Lamanna, G.; Lenisa, P.; Logachov, P.V.; Maciga, B.; Novokhatsky, A.; Tecchio, L.; Yang, B.

    1994-01-01

    In this paper we calculate electron emission from GaAs photocathodes using the Monte Carlo technique. Typical data of energy spread of the electron beam are presented. For photoenergy ranging from 1.6 to 2.1 eV, the calculated longitudinal and transverse energy spreads are 14.4-78 and 4-14.7 meV respectively. Temporal measurement of GaAs photocathodes has been performed. The preliminary results show that the temporal response is faster than 200 ps. (orig.)

  1. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  2. 35-kV GaAs subnanosecond photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Pocha, M.D.; Druce, R.L. (Lawrence Livermore National Lab., CA (United States))

    1990-12-01

    Photoconductive switches are one of the few devices that allow the generation of high-voltage electrical pulses with subnanosecond rise time. The authors are exploring high-voltage, fast-pulse generation using GaAs photoconductive switches. They have been able to generate 35-kV pulses with rise times as short as 135 ps using 5-mm gap switches and have achieved electric field hold-off of greater than 100 kV/cm. They have also been able to generate an approximately 500-ps FWHM on/off electrical pulse with an amplitude of approximately 3 kV using neutron-irradiated GaAs having short carrier life times. This paper describes the experimental results and discusses fabrication of switches and the diagnostics used to measure these fast signals. They also describe the experience with the nonlinear lock-on and avalanche modes of operation observed in GaAs.

  3. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  4. The effect of (NH4)2Sx passivation on the (311)A GaAs surface and its use in AlGaAs/GaAs heterostructure devices.

    Science.gov (United States)

    Carrad, D J; Burke, A M; Reece, P J; Lyttleton, R W; Waddington, D E J; Rai, A; Reuter, D; Wieck, A D; Micolich, A P

    2013-08-14

    We have studied the efficacy of (NH4)2Sx surface passivation on the (311)A GaAs surface. We report XPS studies of simultaneously-grown (311)A and (100) heterostructures showing that the (NH4)2Sx solution removes surface oxide and sulfidizes both surfaces. Passivation is often characterized using photoluminescence measurements; we show that while (NH4)2Sx treatment gives a 40-60 ×  increase in photoluminescence intensity for the (100) surface, an increase of only 2-3 ×  is obtained for the (311)A surface. A corresponding lack of reproducible improvement in the gate hysteresis of (311)A heterostructure transistor devices made with the passivation treatment performed immediately prior to gate deposition is also found. We discuss possible reasons why sulfur passivation is ineffective for (311)A GaAs, and propose alternative strategies for passivation of this surface.

  5. The effect of (NH4)2Sx passivation on the (311)A GaAs surface and its use in AlGaAs/GaAs heterostructure devices

    International Nuclear Information System (INIS)

    Carrad, D J; Burke, A M; Reece, P J; Lyttleton, R W; Waddington, D E J; Micolich, A P; Rai, A; Reuter, D; Wieck, A D

    2013-01-01

    We have studied the efficacy of (NH 4 ) 2 S x surface passivation on the (311)A GaAs surface. We report XPS studies of simultaneously-grown (311)A and (100) heterostructures showing that the (NH 4 ) 2 S x solution removes surface oxide and sulfidizes both surfaces. Passivation is often characterized using photoluminescence measurements; we show that while (NH 4 ) 2 S x treatment gives a 40–60 × increase in photoluminescence intensity for the (100) surface, an increase of only 2–3 × is obtained for the (311)A surface. A corresponding lack of reproducible improvement in the gate hysteresis of (311)A heterostructure transistor devices made with the passivation treatment performed immediately prior to gate deposition is also found. We discuss possible reasons why sulfur passivation is ineffective for (311)A GaAs, and propose alternative strategies for passivation of this surface. (paper)

  6. Structural Evolution During Formation and Filling of Self-patterned Nanoholes on GaAs (100 Surfaces

    Directory of Open Access Journals (Sweden)

    Zhou Lin

    2008-01-01

    Full Text Available Abstract Nanohole formation on an AlAs/GaAs superlattice gives insight to both the “drilling” effect of Ga droplets on AlAs as compared to GaAs and the hole-filling process. The shape and depth of the nanoholes formed on GaAs (100 substrates has been studied by the cross-section transmission electron microscopy. The Ga droplets “drill” through the AlAs layer at a much slower rate than through GaAs due to differences in activation energy. Refill of the nanohole results in elongated GaAs mounds along the [01−1] direction. As a result of capillarity-induced diffusion, GaAs favors growth inside the nanoholes, which provides the possibility to fabricate GaAs and AlAs nanostructures.

  7. Spatial structure of single and interacting Mn acceptors in GaAs

    Science.gov (United States)

    Koenraad, Paul

    2005-03-01

    Ferromagnetic semiconductors such as Ga1-xMnxAs are receiving a lot of attention at the moment because of their application in spintronic devices. However, despite intense study of deep acceptors in III-V semiconductors such as MnGa, little information has been obtained on their electronic properties at the atomic scale. Yet the spatial shape of the Mn acceptor state will influence the hole-mediated Mn-Mn coupling and thus all of the magnetic properties of ferromagnetic semiconductors such as Ga1-xMnxAs. This study presents an experimental and theoretical description of the spatial symmetry of the Mn acceptor wave-function in GaAs. We present measurements of the spatial mapping of the anisotropic wavefunction of a hole localized at a Mn acceptor. To achieve this, we have used the STM tip not only to image the Mn acceptor but also to manipulate its charge state A^0/A^- at room temperature. Within an envelope function effective mass model (EFM) the anisotropy in the acceptor wave-function can be traced to the influence of the cubic symmetry of the GaAs crystal which selects specific d-states that mix into the ground state due to the spin-orbit interaction in the valence band. Comparison with calculations based on a tight-binding model (TBM) for the Mn acceptor structure supports this conclusion. Using the same experimental and theoretical approach we furthermore explored the interaction between Mn acceptors directly by analyzing close Mn-Mn pairs, which were separated by less than 2 nm. We will discuss some implications of these results for Mn delta-doped layers grown on differently oriented growth surfaces.

  8. Multilayers of GaAs/Mn deposited on a substrate of GaAs (001)

    Energy Technology Data Exchange (ETDEWEB)

    Bernal-Salamanca, M; Pulzara-Mora, A; Rosales-Rivera, A [Laboratorio de Magnetismo y Materiales Avanzados, Universidad Nacional de Colombia, Sede Manizales, A.A. 127 (Colombia); Molina-Valdovinos, S; Melendez-Lira, M [Physics Department, Centro de Investigacion y Estudios Avanzados del IPN, Av. IPN No. 2508, Apartado Postal 14-740, 07000 Mexico D.F (Mexico); Lopez-Lopez, M, E-mail: aopulzaram@unal.edu.c [Centro de Fisica Aplicada y Tecnologia Avanzada, Universidad Nacional Autonoma de Mexico, Apartado Postal 1-1010, Queretaro 76000 (Mexico)

    2009-05-01

    In this work GaAs/Mn multilayers were deposited on GaAs (001) substrates by R.F magnetron sputtering technique, varying the deposition time (tg). Scanning electron and atomic force Microscopy studies were realized on the surface of the samples in order to determine the morphology and average roughness. X-ray diffraction spectra show that our samples tend to do amorphous. Raman spectroscopy at room temperature was employed to analyze the structural properties of the samples. We found that for a GaAs film taken as reference, the Raman spectra is dominated by the transverse (TO) and longitudinal (LO) modes located at 266 cm{sup -1} and 291 cm{sup -1}, respectively. However, for the GaAs/Mn multilayers the TO and LO modes decrease dramatically, and the Mn Raman modes in the range of 100 cm{sup -1} and 250 cm{sup -1} are evidenced. Additional new peaks located around 650 and 690 cm {sup -1} are only observed for the samples with high Mn content. By using the mass reduced model we estimate that the Mn related peaks are located at 650.2 cm{sup -1} and 695.2 cm{sup -1}, in good agreement with the experimental data, these peaks are correlated with excitations due to (Mn){sub m}As{sub n} localized structures.

  9. Cryogenic scintillation properties of n-type GaAs for the direct detection of MeV/c2 dark matter

    Science.gov (United States)

    Derenzo, S.; Bourret, E.; Hanrahan, S.; Bizarri, G.

    2018-03-01

    This paper is the first report of n-type GaAs as a cryogenic scintillation radiation detector for the detection of electron recoils from interacting dark matter (DM) particles in the poorly explored MeV/c2 mass range. Seven GaAs samples from two commercial suppliers and with different silicon and boron concentrations were studied for their low temperature optical and scintillation properties. All samples are n-type even at low temperatures and exhibit emission between silicon donors and boron acceptors that peaks at 1.33 eV (930 nm). The lowest excitation band peaks at 1.44 eV (860 nm), and the overlap between the emission and excitation bands is small. The X-ray excited luminosities range from 7 to 43 photons/keV. Thermally stimulated luminescence measurements show that n-type GaAs does not accumulate metastable radiative states that could cause afterglow. Further development and use with cryogenic photodetectors promises a remarkable combination of large target size, ultra-low backgrounds, and a sensitivity to electron recoils of a few eV that would be produced by DM particles as light as a few MeV/c2.

  10. GaAs strip detectors: the Australian production program

    International Nuclear Information System (INIS)

    Butcher, K.S.A.; Alexiev, D.

    1995-01-01

    The Australian High Energy Physics consortium (composed of the University of Melbourne, the University of Sydney and ANSTO) has been investigating the possibility of producing a large area wheel of SI GaAs detectors for the ATLAS detector array. To help assess the extent of Australia's role in this venture a few SI GaAs microstrip detectors are to be manufactured under contract by the CSIRO division of Radiophysics GaAs IC Prototyping Facility. The planned production of the devices is discussed. First, the reasons for producing the detectors here in Australia are examined, then some basic characteristics of the material are considered, and finally details are provided of the design used for the manufacture of the devices. Two sets of detectors will be produced using the standard Glasgow production recipe; SIGaAs and GaN. The Glasgow mask set is being used as a benchmark against which to compare the Australian devices

  11. Molecular-beam epitaxy on shallow mesa gratings patterned on GaAs(311)A and (100) substrates

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.H.

    2002-01-01

    We report on the morphology and properties of the surface formed by molecular-beam epitaxy on shallow mesa gratings on patterned GaAs(311)A and GaAs(100). On GaAs(311)A substrates, the corrugated surface formed after GaAs growth on shallow mesa gratings along [011] is composed of monolayer high

  12. STM/STS Measurements of Two-Dimensional Electronic States in Magnetic Fields at Epitaxially Grown InAs(111)A Surfaces

    International Nuclear Information System (INIS)

    Niimi, Y; Kanisawa, K; Kojima, H; Kambara, H; Hirayama, Y; Tarucha, S; Fukuyama, Hiroshi

    2007-01-01

    The local density of states (LDOS) at the epitaxially grown InAs surface on a GaAs substrate was studied at very low temperatures in magnetic fields up to 6 T by scanning tunneling microscopy and spectroscopy. We observed a series of peaks, associated with Landau quantization of the two-dimensional electron system (2DES), in the tunnel spectra just above the subband energy (-80 meV) of the 2DES. The intervals between the peaks are consistent with the estimation from the effective mass of the 2DES at the InAs surface. In a wider energy range, another type of oscillation which was independent of magnetic field was also observed. This oscillation can be explained by the energy dependence of the transmission probability of the tunneling current through the Schottky barrier formed at the interface between the InAs film and GaAs substrate

  13. Multilayer self-organization of InGaAs quantum wires on GaAs surfaces

    International Nuclear Information System (INIS)

    Wang, Zhiming M.; Kunets, Vasyl P.; Xie, Yanze Z.; Schmidbauer, Martin; Dorogan, Vitaliy G.; Mazur, Yuriy I.; Salamo, Gregory J.

    2010-01-01

    Molecular-Beam Epitaxy growth of multiple In 0.4 Ga 0.6 As layers on GaAs (311)A and GaAs (331)A has been investigated by Atomic Force Microscopy and Photoluminescence. On GaAs (311)A, uniformly distributed In 0.4 Ga 0.6 As quantum wires (QWRs) with wider lateral separation were achieved, presenting a significant improvement in comparison with the result on single layer [H. Wen, Z.M. Wang, G.J. Salamo, Appl. Phys. Lett. 84 (2004) 1756]. On GaAs (331)A, In 0.4 Ga 0.6 As QWRs were revealed to be much straighter than in the previous report on multilayer growth [Z. Gong, Z. Niu, Z. Fang, Nanotechnology 17 (2006) 1140]. These observations are discussed in terms of the strain-field interaction among multilayers, enhancement of surface mobility at high temperature, and surface stability of GaAs (311)A and (331)A surfaces.

  14. Electronic structure of GaAs with InAs (001) monolayer

    International Nuclear Information System (INIS)

    Tit, N.; Peressi, M.

    1995-04-01

    The effect on the electronic structure of an InAs monomolecular plane inserted in bulk GaAs is investigated theoretically. The (InAs) 1 (GaAs) n (001) strained superlattice is studied via ab-initio self-consistent pseudopotential calculations. Both electrons and holes are localized nearby the inserted InAs monolayer, which therefore acts as a quantum well for all the charge carriers. The small thickness of the inserted InAs slab is responsible of high confinement energies for the charge carriers, and therefore the interband electron-heavy-hole transition energy is close to the energy gap of the bulk GaAs, in agreement with recent experimental data. (author). 18 refs, 4 figs

  15. Paths to light trapping in thin film GaAs solar cells.

    Science.gov (United States)

    Xiao, Jianling; Fang, Hanlin; Su, Rongbin; Li, Kezheng; Song, Jindong; Krauss, Thomas F; Li, Juntao; Martins, Emiliano R

    2018-03-19

    It is now well established that light trapping is an essential element of thin film solar cell design. Numerous light trapping geometries have already been applied to thin film cells, especially to silicon-based devices. Less attention has been paid to light trapping in GaAs thin film cells, mainly because light trapping is considered less attractive due to the material's direct bandgap and the fact that GaAs suffers from strong surface recombination, which particularly affects etched nanostructures. Here, we study light trapping structures that are implemented in a high-bandgap material on the back of the GaAs active layer, thereby not perturbing the integrity of the GaAs active layer. We study photonic crystal and quasi-random nanostructures both by simulation and by experiment and find that the photonic crystal structures are superior because they exhibit fewer but stronger resonances that are better matched to the narrow wavelength range where GaAs benefits from light trapping. In fact, we show that a 1500 nm thick cell with photonic crystals achieves the same short circuit current as an unpatterned 4000 nm thick cell. These findings are significant because they afford a sizeable reduction in active layer thickness, and therefore a reduction in expensive epitaxial growth time and cost, yet without compromising performance.

  16. Combinatorial control of gene expression in Aspergillus niger grown on sugar beet pectin.

    Science.gov (United States)

    Kowalczyk, Joanna E; Lubbers, Ronnie J M; Peng, Mao; Battaglia, Evy; Visser, Jaap; de Vries, Ronald P

    2017-09-27

    Aspergillus niger produces an arsenal of extracellular enzymes that allow synergistic degradation of plant biomass found in its environment. Pectin is a heteropolymer abundantly present in the primary cell wall of plants. The complex structure of pectin requires multiple enzymes to act together. Production of pectinolytic enzymes in A. niger is highly regulated, which allows flexible and efficient capture of nutrients. So far, three transcriptional activators have been linked to regulation of pectin degradation in A. niger. The L-rhamnose-responsive regulator RhaR controls the production of enzymes that degrade rhamnogalacturonan-I. The L-arabinose-responsive regulator AraR controls the production of enzymes that decompose the arabinan and arabinogalactan side chains of rhamnogalacturonan-II. The D-galacturonic acid-responsive regulator GaaR controls the production of enzymes that act on the polygalacturonic acid backbone of pectin. This project aims to better understand how RhaR, AraR and GaaR co-regulate pectin degradation. For that reason, we constructed single, double and triple disruptant strains of these regulators and analyzed their growth phenotype and pectinolytic gene expression in A. niger grown on sugar beet pectin.

  17. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Energy Technology Data Exchange (ETDEWEB)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Ermakova, M. A. [Federal Agency on Technical Regulating and Metrology, Center for Study of Surface and Vacuum Properties (Russian Federation); Ruban, O. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  18. Temperature conditions for GaAs nanowire formation by Au-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Tchernycheva, M; Harmand, J C; Patriarche, G; Travers, L; Cirlin, G E

    2006-01-01

    Molecular beam epitaxial growth of GaAs nanowires using Au particles as a catalyst was investigated. Prior to the growth during annealing, Au alloyed with Ga coming from the GaAs substrate, and melted. Phase transitions of the resulting particles were observed in situ by reflection high-energy electron diffraction (RHEED). The temperature domain in which GaAs nanowire growth is possible was determined. The lower limit of this domain (320 deg. C) is close to the observed catalyst solidification temperature. Below this temperature, the catalyst is buried by GaAs growth. Above the higher limit (620 deg. C), the catalyst segregates on the surface with no significant nanowire formation. Inside this domain, the influence of growth temperature on the nanowire morphology and crystalline structure was investigated in detail by scanning electron microscopy and transmission electron microscopy. The correlation of the nanowire morphology with the RHEED patterns observed during the growth was established. Wurtzite GaAs was found to be the dominant crystal structure of the wires

  19. Spectroscopy of collective cyclotron and intersubband resonances of Quantum Hall systems in GaAs; Spektroskopie kollektiver Zyklotron- und Intersubband-Resonanzen von Quanten-Hall-Systemen in GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Manger, Matthias

    2008-07-01

    This thesis is dedicated to the long wavelength collective excitations of quasi two-dimensional electron systems (Q2DEG) in GaAs under the influence of high magnetic fields. These excitations, which are classified into cyclotron resonances and magneto intersubband resonances, were experimentally investigated by means of far infrared Fourier spectroscopy. Cyclotron resonances were studied in a magnetic field range 0sample structures with electron densities from 1 x 10{sup 11} cm{sup -2} to 1.2 x 10{sup 12} cm{sup -2} at temperatures 0.3Kgrown samples showed electron mobilities in the=2 0range from 5 x 10{sup 5} cm{sup -2}/Vs bis 7 x 10{sup 6} cm{sup 2}/Vs and allowed an access to the regimes of the Integral (IQHE) and also the Fractional Quantum Hall Effects (FQHE) as well as to the regime of prominent polaron coupling at high temperatures. For the analysis and the interpretation of the experimental data, various theoretical models were presented and applied to the data. The theory took into account the multi-component character of cyclotron resonance in the presence of polaron coupling, bands nonparabolicity, and disorder under the combined influence of electronic screening and electron-electron coupling. The magneto intersubband resonances were investigated in the regime of the Integral Quantum Hall Effect. The grating coupler technique was used in order to couple the electromagnetic field to these collective excitations. Self consistent calculations of the subband structure and the collective modes were performed in the framework of the Hartree-Fock approximation scheme. These calculations were used for an interpretation of the experimental observations. (orig.)

  20. Transient photoluminescence decay investigations of LPE GaAs heteroface solar cells

    International Nuclear Information System (INIS)

    Wettling, W.; Ehrhardt, A.; Brett, A.; Lutz, F.

    1990-01-01

    The transient photoluminescence decay (PLD) is investigated as a technique for the quality control of GaAs solar cells. An analytic expression for the PL intensity is derived from the time dependent continuity equation for minority carrier concentration in the emitter by the Fourier transform method. On both sides of the emitter, i.e. at the interface to the window layer and to the space charge region, surface recombination velocities that can vary between 0 and ∞ are allowed as boundary conditions. Experiments were performed using a mode-locked and cavity dumped laser as excitation source and an optical sampling oscilloscope as detector for the transient PL. PLD from GaAs wafers and solar cells was measured with time resolution of down to 20 ps for various intensities of laser excitation and (for the cells) under open-circuit and short-circuit condition. The results are discussed in respect to the theory together with a model of local internal boundary conditions at the junction near the exciting laser beam

  1. Core-state manipulation of single Fe impurities in GaAs with a scanning tunneling microscope

    NARCIS (Netherlands)

    Bocquel, J.; Kortan, V.R.; Sahin, C.; Campion, R.P.; Gallagher, B.L.; Flatte, M.E.; Koenraad, P.M.

    2013-01-01

    We demonstrate that a scanning tunneling microscope tip can be used to manipulate the tightly bound core (d-electron) state of single Fe ions embedded in GaAs. Increasing tip-sample voltage removes one d electron from the core of a single Fe, changing the dopant from the (Fe2+)(-) ionized acceptor

  2. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  3. Testing a GaAs cathode in SRF gun

    International Nuclear Information System (INIS)

    Wang, E.; Kewisch, J.; Ben-Zvi, I.; Burrill, A.; Rao, T.; Wu, Q.; Holmes, D.

    2011-01-01

    RF electron guns with a strained superlattice GaAs cathode are expected to generate polarized electron beams of higher brightness and lower emittance than do DC guns, due to their higher field gradient at the cathode's surface and lower cathode temperature. We plan to install a bulk GaAs:Cs in a SRF gun to evaluate the performance of both the gun and the cathode in this environment. The status of this project is: In our 1.3 GHz 1/2 cell SRF gun, the vacuum can be maintained at nearly 10 -12 Torr because of cryo-pumping at 2K. With conventional activation of bulk GaAs, we obtained a QE of 10% at 532 nm, with lifetime of more than 3 days in the preparation chamber and have shown that it can survive in transport from the preparation chamber to the gun. The beam line has been assembled and we are exploring the best conditions for baking the cathode under vacuum. We report here the progress of our test of the GaAs cathode in the SRF gun. Future particle accelerators, such as eRHIC and the ILC require high-brightness, high-current polarized electrons. Strained superlattice GaAs:Cs has been shown to be an efficient cathode for producing polarized electrons. Activation of GaAs with Cs,O(F) lowers the electron affinity and makes it energetically possible for all the electrons, excited into the conduction band that drift or diffuse to the emission surface, to escape into the vacuum. Presently, all operating polarized electron sources, such as the CEBAF, are DC guns. In these devices, the excellent ultra-high vacuum extends the lifetime of the cathode. However, the low field gradient on the photocathode's emission surface of the DC guns limits the beam quality. The higher accelerating gradients, possible in the RF guns, generate a far better beam. Until recently, most RF guns operated at room temperature, limiting the vacuum to ∼10 -9 Torr. This destroys the GaAs's NEA surface. The SRF guns combine the excellent vacuum conditions of DC guns and the high accelerating

  4. Investigations on liquid phase electroepitaxial growth kinetics of GaAs

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2004-01-01

    This paper presents a model based on solving a two-dimensional diffusion equation incorporating the electromigration effect by numerical simulation method corresponding to liquid phase electroepitaxial (LPEE) growth of GaAs, whose growth is limited by diffusion and electro migration of solute species. Using the numerical simulation method, the concentration profiles of As in Ga rich solution during the electroepitaxial growth of GaAs have been constructed in front of the growing crystal interface. Using the concentration gradient at the interface, the growth rate and thickness of the epitaxial layer of GaAs have been determined for different experimental growth conditions. The proposed model is based on the assumption that there is no convection in the solution. The results are discussed in detail

  5. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  6. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Electric field effect of GaAs monolayer from first principles

    Directory of Open Access Journals (Sweden)

    Jiongyao Wu

    2017-03-01

    Full Text Available Using first-principle calculations, we investigate two-dimensional (2D honeycomb monolayer structures composed of group III-V binary elements. It is found that such compound like GaAs should have a buckled structure which is more stable than graphene-like flat structure. This results a polar system with out-of-plane dipoles arising from the non-planar structure. Here, we optimized GaAs monolayer structure, then calculated the electronic band structure and the change of buckling height under external electric field within density functional theory using generalized gradient approximation method. We found that the band gap would change proportionally with the electric field magnitude. When the spin-orbit coupling (SOC is considered, we revealed fine spin-splitting at different points in the reciprocal space. Furthermore, the valence and conduction bands spin-splitting energies due to SOC at the K point of buckled GaAs monolayers are found to be weakly dependent on the electric field strength. Finally electric field effects on the spin texture and second harmonic generation are discussed. The present work sheds light on the control of physical properties of GaAs monolayer by the applied electric field.

  9. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.; Ghoneim, Mohamed T.; Droopad, Ravi; Hussain, Muhammad Mustafa

    2014-01-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  10. CMOS compatible route for GaAs based large scale flexible and transparent electronics

    KAUST Repository

    Nour, Maha A.

    2014-08-01

    Flexible electronics using gallium arsenide (GaAs) for nano-electronics with high electron mobility and optoelectronics with direct band gap are attractive for many applications. Here we describe a state-of-the-art CMOS compatible batch fabrication process of transforming traditional electronic circuitry into large-area flexible, semitransparent platform. We show a simple release process for peeling off 200 nm of GaAs from 200 nm GaAs/300 nm AlAs stack on GaAs substrate using diluted hydrofluoric acid (HF). This process enables releasing a single top layer compared to peeling off all layers with small sizes at the same time. This is done utilizing a network of release holes which contributes to the better transparency (45 % at 724 nm wavelength) observed.

  11. GaAs optoelectronic neuron arrays

    Science.gov (United States)

    Lin, Steven; Grot, Annette; Luo, Jiafu; Psaltis, Demetri

    1993-01-01

    A simple optoelectronic circuit integrated monolithically in GaAs to implement sigmoidal neuron responses is presented. The circuit integrates a light-emitting diode with one or two transistors and one or two photodetectors. The design considerations for building arrays with densities of up to 10,000/sq cm are discussed.

  12. Enhancement of conductance of GaAs sub-microwires under external stimuli

    Science.gov (United States)

    Qu, Xianlin; Deng, Qingsong; Zheng, Kun

    2018-03-01

    Semiconductors with one dimension on the micro-nanometer scale have many unique physical properties that are remarkably different from those of their bulk counterparts. Moreover, changes in the external field will further modulate the properties of the semiconductor micro-nanomaterials. In this study, we used focused ion beam technology to prepare freestanding ⟨111⟩-oriented GaAs sub-microwires from a GaAs substrate. The effects of laser irradiation and bending or buckling deformation induced by compression on the electrical transport properties of an individual GaAs sub-microwire were studied. The experimental results indicate that both laser irradiation and bending deformation can enhance their electrical transport properties, the laser irradiation resulted in a conductance enhancement of ˜30% compared to the result with no irradiation, and in addition, bending deformation changed the conductance by as much as ˜180% when the average strain was approximately 1%. The corresponding mechanisms are also discussed. This study provides beneficial insight into the fabrication of electronic and optoelectronic devices based on GaAs micro/nano-wires.

  13. Response of GaAs charge storage devices to transient ionizing radiation

    Science.gov (United States)

    Hetherington, D. L.; Klem, J. F.; Hughes, R. C.; Weaver, H. T.

    Charge storage devices in which non-equilibrium depletion regions represent stored charge are sensitive to ionizing radiation. This results since the radiation generates electron-hole pairs that neutralize excess ionized dopant charge. Silicon structures, such as dynamic RAM or CCD cells are particularly sensitive to radiation since carrier diffusion lengths in this material are often much longer than the depletion width, allowing collection of significant quantities of charge from quasi-neutral sections of the device. For GaAs the situation is somewhat different in that minority carrier diffusion lengths are shorter than in silicon, and although mobilities are higher, we expect a reduction of radiation sensitivity as suggested by observations of reduced quantum efficiency in GaAs solar cells. Dynamic memory cells in GaAs have potential increased retention times. In this paper, we report the response of a novel GaAs dynamic memory element to transient ionizing radiation. The charge readout technique is nondestructive over a reasonable applied voltage range and is more sensitive to stored charge than a simple capacitor.

  14. Comparative research on the transmission-mode GaAs photocathodes of exponential-doping structures

    International Nuclear Information System (INIS)

    Chen Liang; Qian Yun-Sheng; Zhang Yi-Jun; Chang Ben-Kang

    2012-01-01

    Early research has shown that the varied doping structures of the active layer of GaAs photocathodes have been proven to have a higher quantum efficiency than uniform doping structures. On the basis of our early research on the surface photovoltage of GaAs photocathodes, and comparative research before and after activation of reflection-mode GaAs photocathodes, we further the comparative research on transmission-mode GaAs photocathodes. An exponential doping structure is the typical varied doping structure that can form a uniform electric field in the active layer. By solving the one-dimensional diffusion equation for no equilibrium minority carriers of transmission-mode GaAs photocathodes of the exponential doping structure, we can obtain the equations for the surface photovoltage (SPV) curve before activation and the spectral response curve (SRC) after activation. Through experiments and fitting calculations for the designed material, the body-material parameters can be well fitted by the SPV before activation, and proven by the fitting calculation for SRC after activation. Through the comparative research before and after activation, the average surface escape probability (SEP) can also be well fitted. This comparative research method can measure the body parameters and the value of SEP for the transmission-mode GaAs photocathode more exactly than the early method, which only measures the body parameters by SRC after activation. It can also help us to deeply study and exactly measure the parameters of the varied doping structures for transmission-mode GaAs photocathodes, and optimize the Cs-O activation technique in the future. (electromagnetism, optics, acoustics, heat transfer, classical mechanics, and fluid dynamics)

  15. Metallization systems for stable ohmic contacts to GaAs

    International Nuclear Information System (INIS)

    Tandon, J.L.; Douglas, K.D.; Vendura, G.; Kolawa, E.; So, F.C.T.; Nicolet, M.A.

    1986-01-01

    A metallization scheme to form reproducible and stable ohmic contacts to GaAs is described. The approach is based on the configuration: GaAs/X/Y/Z; where X is a thin metal film (e.g. Pt, Ti, Pd, Ru), Y is an electrically conducting diffusion barrier layer (TiN, W or W/sub 0.7/N/sub 0.3/), and Z is a thick metal layer (e.g. Ag) typically required for bonding or soldering purposes. The value and reproducibility of the contact resistance in these metallization systems results from the uniform steady-state solid-phase reaction of the metal X with GaAs. The stability of the contacts is achieved by the diffusion barrier layer Y, which not only confines the reaction of X with GaAs, but also prevents the top metal layer Z from interfering with this reaction. Applications of such contacts in fabricating stable solar cells are also discussed

  16. Self-assembled colloidal PbS quantum dots on GaAs substrates

    International Nuclear Information System (INIS)

    Lue, Wei; Yamada, Fumihiko; Kamiya, Itaru

    2010-01-01

    We report the fabrication and analysis of self-assembled monolayer and bilayer films of colloidal PbS quantum dots (QDs) on GaAs (001) substrates. 1,6-hexanedithiol is used as link molecule between QDs and GaAs substrates. Atomic force microscopy (AFM) and photoluminescence (PL) measurements confirm the formation of PbS QD film on GaAs. For the monolayer PbS QD film, the temperature-dependent PL shows a feature typical of close-packed film. For the bilayer PbS QD film fabricated from two different mean-sized PbS QDs, we find that the stacking sequence of QDs with different size affects the quantum yield and emission wavelength of the film.

  17. GaAs nanopillar-array solar cells employing in situ surface passivation

    Science.gov (United States)

    Mariani, Giacomo; Scofield, Adam C.; Hung, Chung-Hong; Huffaker, Diana L.

    2013-01-01

    Arrays of III–V direct-bandgap semiconductor nanopillars represent promising photovoltaic candidates due to their inherent high optical absorption coefficients and minimized reflection arising from light trapping, efficient charge collection in the radial direction and the ability to synthesize them on low-cost platforms. However, the increased surface area results in surface states that hamper the power conversion efficiency. Here, we report the first demonstration of GaAs nanopillar-array photovoltaics employing epitaxial passivation with air mass 1.5 global power conversion efficiencies of 6.63%. High-bandgap epitaxial InGaP shells are grown in situ and cap the radial p–n junctions to alleviate surface-state effects. Under light, the photovoltaic devices exhibit open-circuit voltages of 0.44 V, short-circuit current densities of 24.3 mA cm−2 and fill factors of 62% with high external quantum efficiencies >70% across the spectral regime of interest. A novel titanium/indium tin oxide annealed alloy is exploited as transparent ohmic anode. PMID:23422665

  18. Heterojunction Diodes and Solar Cells Fabricated by Sputtering of GaAs on Single Crystalline Si

    Directory of Open Access Journals (Sweden)

    Santiago Silvestre

    2015-04-01

    Full Text Available This work reports fabrication details of heterojunction diodes and solar cells obtained by sputter deposition of amorphous GaAs on p-doped single crystalline Si. The effects of two additional process steps were investigated: A hydrofluoric acid (HF etching treatment of the Si substrate prior to the GaAs sputter deposition and a subsequent annealing treatment of the complete layered system. A transmission electron microscopy (TEM exploration of the interface reveals the formation of a few nanometer thick SiO2 interface layer and some crystallinity degree of the GaAs layer close to the interface. It was shown that an additional HF etching treatment of the Si substrate improves the short circuit current and degrades the open circuit voltage of the solar cells. Furthermore, an additional thermal annealing step was performed on some selected samples before and after the deposition of an indium tin oxide (ITO film on top of the a-GaAs layer. It was found that the occurrence of surface related defects is reduced in case of a heat treatment performed after the deposition of the ITO layer, which also results in a reduction of the dark saturation current density and resistive losses.

  19. Ab initio structural and vibrational properties of GaAs diamondoids and nanocrystals

    Directory of Open Access Journals (Sweden)

    Mudar Ahmed Abdulsattar

    2014-12-01

    Full Text Available Gallium arsenide diamondoids structural and vibrational properties are investigated using density functional theory at the PBE/6-31(d level and basis including polarization functions. Variation of energy gap as these diamondoids increase in size is seen to follow confinement theory for diamondoids having nearly equiaxed dimensions. Density of energy states transforms from nearly single levels to band structure as we reach larger diamondoids. Bonds of surface hydrogen with As atoms are relatively localized and shorter than that bonded to Ga atoms. Ga-As bonds have a distribution range of values due to surface reconstruction and effect of bonding to hydrogen atoms. Experimental bulk Ga-As bond length (2.45 Å is within this distribution range. Tetrahedral and dihedral angles approach values of bulk as we go to higher diamondoids. Optical-phonon energy of larger diamondoids stabilizes at 0.037 eV (297 cm-1 compared to experimental 0.035 eV (285.2 cm-1. Ga-As force constant reaches 1.7 mDyne/Å which is comparable to Ga-Ge force constant (1.74 mDyne/Å. Hydrogen related vibrations are nearly constant and serve as a fingerprint of GaAs diamondoids while Ga-As vibrations vary with size of diamondoids.

  20. Effect Of Bird Manure On Cotton Plants Grown On Soils Sampled ...

    African Journals Online (AJOL)

    Cotton plant had a better development and growth when bird manure was only applied to soil or combined with mineral fertilizer and when cotton was grown on a soil where the previous crops were cereals (maize or sorghum). Planting cotton on a soil where the previous crop grown was maize or sorghum had no significant ...

  1. Two-photon spin-polarization spectroscopy in silicon-doped GaAs.

    Science.gov (United States)

    Miah, M Idrish

    2009-05-14

    We generate spin-polarized electrons in bulk GaAs using circularly polarized two-photon pumping with excess photon energy (DeltaE) and detect them by probing the spin-dependent transmission of the sample. The spin polarization of conduction band electrons is measured and is found to be strongly dependent on DeltaE. The initial polarization, pumped with DeltaE=100 meV, at liquid helium temperature is estimated to be approximately 49.5%, which is very close to the theoretical value (50%) permitted by the optical selection rules governing transitions from heavy-hole and light-hole states to conduction band states in a bulk sample. However, the polarization pumped with larger DeltaE decreases rapidly because of the exciting carriers from the split-off band.

  2. Transient radiation effects in GaAs semiconductor devices

    International Nuclear Information System (INIS)

    Chang, J.Y.; Stauber, M.; Ezzeddine, A.; Howard, J.W.; Constantine, A.G.; Becker, M.; Block, R.C.

    1988-01-01

    This paper describes an ongoing program to identify the response of GaAs devices to intense pulses of ionizing radiation. The program consists of experimental measurements at the Rensselaer Polytechnic Institute's RPI electron linear accelerator (Linac) on generic GaAs devices built by Grumman Tachonics Corporation and the analysis of these results through computer simulation with the circuit model code SPICE (including radiation effects incorporated in the variations TRISPICE and TRIGSPICE and the device model code PISCES IIB). The objective of this program is the observation of the basic response phenomena and the development of accurate simulation tools so that results of Linac irradiations tests can be understood and predicted

  3. Recent results in characterization of melt-grown and quench-melt- grown YBCO superconductors

    International Nuclear Information System (INIS)

    Balachandran, U.; Poeppel, R.B.; Gangopadhyay, A.K.

    1992-02-01

    From the standpoint of applications, melt-grown (MG) and quench-melt-grown (QMG) bulk YBCO superconductors are of considerable interest. In this paper, we studied the intragranular critical current density (J c ), the apparent pinning potential (U o ), and the irreversibility temperature (T irr ) of MG and QMG samples and compared the results to those for conventionally sintered YBCO. A systematic increase in U o and a slower drop in J c with temperature indicate a systematic improvement in flux-pinning properties in progressing from the sintered YBCO to QMG and MG samples. Weaker pinning is observed in the QMG YBCO than in the MG samples

  4. Self-Assembled Monolayers of CdSe Nanocrystals on Doped GaAs Substrates

    DEFF Research Database (Denmark)

    Marx, E.; Ginger, D.S.; Walzer, Karsten

    2002-01-01

    This letter reports the self-assembly and analysis of CdSe nanocrystal monolayers on both p- and a-doped GaAs substrates. The self-assembly was performed using a 1,6-hexanedithiol self-assembled monolayer (SAM) to link CdSe nanocrystals to GaAs substrates. Attenuated total reflection Fourier tran...

  5. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  6. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  7. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  8. GaAs metal-oxide-semiconductor based non-volatile flash memory devices with InAs quantum dots as charge storage nodes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Sk Masiul, E-mail: masiulelt@gmail.com; Chowdhury, Sisir; Sarkar, Krishnendu; Nagabhushan, B.; Banerji, P. [Materials Science Centre, Indian Institute of Technology, Kharagpur 721 302 (India); Chakraborty, S. [Applied Materials Science Division, Saha Institute of Nuclear Physics, 1/AF Bidhannagar, Sector-I, Kolkata 700 064 (India); Mukherjee, Rabibrata [Department of Chemical Engineering, Indian Institute of Technology, Kharagpur 721302 (India)

    2015-06-24

    Ultra-thin InP passivated GaAs metal-oxide-semiconductor based non-volatile flash memory devices were fabricated using InAs quantum dots (QDs) as charge storing elements by metal organic chemical vapor deposition technique to study the efficacy of the QDs as charge storage elements. The grown QDs were embedded between two high-k dielectric such as HfO{sub 2} and ZrO{sub 2}, which were used for tunneling and control oxide layers, respectively. The size and density of the QDs were found to be 5 nm and 1.8×10{sup 11} cm{sup −2}, respectively. The device with a structure Metal/ZrO{sub 2}/InAs QDs/HfO{sub 2}/GaAs/Metal shows maximum memory window equivalent to 6.87 V. The device also exhibits low leakage current density of the order of 10{sup −6} A/cm{sup 2} and reasonably good charge retention characteristics. The low value of leakage current in the fabricated memory device is attributed to the Coulomb blockade effect influenced by quantum confinement as well as reduction of interface trap states by ultra-thin InP passivation on GaAs prior to HfO{sub 2} deposition.

  9. Surface segregation and the Al problem in GaAs quantum wells

    Science.gov (United States)

    Chung, Yoon Jang; Baldwin, K. W.; West, K. W.; Shayegan, M.; Pfeiffer, L. N.

    2018-03-01

    Low-defect two-dimensional electron systems (2DESs) are essential for studies of fragile many-body interactions that only emerge in nearly-ideal systems. As a result, numerous efforts have been made to improve the quality of modulation-doped AlxGa1 -xAs /GaAs quantum wells (QWs), with an emphasis on purifying the source material of the QW itself or achieving better vacuum in the deposition chamber. However, this approach overlooks another crucial component that comprises such QWs, the AlxGa1 -xAs barrier. Here we show that having a clean Al source and hence a clean barrier is instrumental to obtain a high-quality GaAs 2DES in a QW. We observe that the mobility of the 2DES in GaAs QWs declines as the thickness or Al content of the AlxGa1 -xAs barrier beneath the QW is increased, which we attribute to the surface segregation of oxygen atoms that originate from the Al source. This conjecture is supported by the improved mobility in the GaAs QWs as the Al cell is cleaned out by baking.

  10. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  11. Scanning tunneling spectroscopy of CdSe nanocrystals covalently bound to GaAs

    DEFF Research Database (Denmark)

    Walzer, K.; Marx, E.; Greenham, N.C.

    2003-01-01

    We present scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS) measurements of CdSe nanocrystals covalently attached to doped GaAs substrates using monolayers of 1,6-hexanedithiol. STM measurements showed the formation of stable, densely packed, homogeneous monolayers...... of nanocrystals. STS measurements showed rectifying behaviour, with high currents at the opposite sample bias to that previously observed for CdSe nanocrystals adsorbed on Si substrates. We explain the rectifying behaviour by considering the interaction between the electronic states of the nanocrystals...

  12. Observation of electron polarization above 80% in photoemission from strained III-V compounds

    International Nuclear Information System (INIS)

    Garwin, E.L.; Maruyama, T.; Prepost, R.; Zapalac, G.H.

    1992-02-01

    Spin-polarized electron photoemission has been investigated for strained III--V compounds; (1) strained In x Ga 1-x As epitaxially grown on a GaAs substrate, and (2) strained GaAs grown on a GaAs 1-x P x buffer layer. The lattice mismatched heterostructure results in a highly strained epitaxial layer, and electron spin polarization as high as 90% has been observed

  13. LO-phonon and plasmon coupling in neutron-transmutation-doped GaAs

    International Nuclear Information System (INIS)

    Kuriyama, K.; Sakai, K.; Okada, M.

    1996-01-01

    Coupling between the longitudinal-optic (LO) phonon mode and the longitudinal plasma mode in neutron-transmutation-doped (NTD) semi-insulating GaAs was studied using Raman-scattering spectroscopy and a Fourier-transform infrared spectrometer. When the electron concentration due to the activation of NTD impurities (Ge Ga and Se As ) approaches ∼8x10 16 cm -3 , the LO-phonon endash plasmon coupling is observed. This behavior is consistent with the free-electron absorption due to the activation of NTD impurities in samples annealed above 600 degree C. copyright 1996 The American Physical Society

  14. Spin transport anisotropy in (110)GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Odilon, D.D.C. Jr.; Rudolph, Joerg; Hey, Rudolf; Santos, Paulo V. [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany); Iikawa, Fernando [Universidade Estadual de Campinas, IFGW, Campinas SP (Brazil)

    2007-07-01

    Mobile piezoelectric potentials are used to coherently transport electron spins in GaAs(110) quantum wells (QW) over distances exceeding 60{mu}m. We demonstrate that the dynamics of mobile spins under external magnetic fields depends on the direction of motion in the QW plane. The weak piezoelectric fields impart a non-vanishing average velocity to the carriers, allowing for the direct observation of the carrier momentum dependence of the spin polarization dynamics. While transport along [001] direction presents high in-plane spin relaxation rates, transport along [ anti 110] shows a much weaker external field dependence due to the non-vanishing internal magnetic field. We show that the anisotropy is an intrinsic property of the underling GaAs matrix, associated with the bulk inversion asymmetry contribution to the LS-coupling.

  15. Effects produced in GaAs by MeV ion bombardment

    International Nuclear Information System (INIS)

    Wie, C.R.

    1985-01-01

    The first part of this thesis presents work performed on the ionizing energy beam induced adhesion enhancement of thin (approx.500 A) Au films on GaAs substrates. The ionizing beam, employed in the present thesis, is the MeV ions (i.e., 16 O, 19 F, and 35 Cl), with energies between 1 and 20 MeV. Using the Scratch test for adhesion measurement, and ESCA for chemical analysis of the film substrate interface, the native oxide layer at the interface is shown to play an important role in the adhesion enhancement by the ionizing radiation. A model is discussed that explains the experimental data on the dependence of adhesion enhancement on the energy which was deposited into electronic processes at the interface. The second part of the thesis presents research results on the radiation damage in GaAs crystals produced by MeV ions. Lattice parameter dilatation in the surface layers of the GaAs crystals becomes saturated after a high dose bombardment at room temperature. The strain produced by nuclear collisions is shown to relax partially due to electronic excitation (with a functional dependence on the nuclear and electronic stopping power of bombarding ions. Data on the GaAs and GaP crystals suggest that low temperature recovery stage defects produce major crystal distortion

  16. Visible-light electroluminescence in Mn-doped GaAs light-emitting diodes

    International Nuclear Information System (INIS)

    Nam Hai, Pham; Maruo, Daiki; Tanaka, Masaaki

    2014-01-01

    We observed visible-light electroluminescence (EL) due to d-d transitions in light-emitting diodes with Mn-doped GaAs layers (here, referred to as GaAs:Mn). Besides the band-gap emission of GaAs, the EL spectra show two peaks at 1.89 eV and 2.16 eV, which are exactly the same as 4 A 2 ( 4 F) → 4 T 1 ( 4 G) and 4 T 1 ( 4 G) → 6 A 1 ( 6 S) transitions of Mn atoms doped in ZnS. The temperature dependence and the current-density dependence are consistent with the characteristics of d-d transitions. We explain the observed EL spectra by the p-d hybridized orbitals of the Mn d electrons in GaAs

  17. Radiation damages and electro-conductive characteristics of Neutron-Transmutation-Doped GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Kuriyama, Kazuo; Sato, Masataka; Sakai, Kiyohiro [Hosei Univ., Koganei, Tokyo (Japan). Coll. of Engineering; Okada, Moritami

    1996-04-01

    Neutron Transmutation Doping (NTD) method made it possible to do homogeneous doping of impurities and to easily control the doping level. Thus, the method has been put into practice for some materials such as silicon. Here, the annealing behavior of anti-site defects generated in neutron-irradiated GaAs was studied. Electric activations of NTD-impurities were started around 550degC in P1 and P2 radiation fields, which were coincident with the beginning of extinction of electron trapping which was caused by anti-site defects due to fast neutron radiation. The electric resistivities of GaAs in neutron radiation fields; P1, P2 and P3 changed depending with the annealing temperature. The electric resistivities of GaAs in P1 and P2 fields indicate the presence of hopping conduction through radiation damages. The resistance of GaAs irradiated in P1 was smaller by nearly 2 orders than that of the untreated control. Further, the electric activation process for NTD-impurities was investigated using ESR and Raman spectroscopy. (M.N.)

  18. Limiting scattering processes in high-mobility InSb quantum wells grown on GaSb buffer systems

    Science.gov (United States)

    Lehner, Ch. A.; Tschirky, T.; Ihn, T.; Dietsche, W.; Keller, J.; Fält, S.; Wegscheider, W.

    2018-05-01

    We present molecular beam epitaxial grown single- and double-side δ -doped InAlSb/InSb quantum wells with varying distances down to 50 nm to the surface on GaSb metamorphic buffers. We analyze the surface morphology as well as the impact of the crystalline quality on the electron transport. Comparing growth on GaSb and GaAs substrates indicates that the structural integrity of our InSb quantum wells is solely determined by the growth conditions at the GaSb/InAlSb transition and the InAlSb barrier growth. The two-dimensional electron gas samples show high mobilities of up to 349 000 cm2/Vs at cryogenic temperatures and 58 000 cm2/Vs at room temperature. With the calculated Dingle ratio and a transport lifetime model, ionized impurities predominantly remote from the quantum well are identified as the dominant source of scattering events. The analysis of the well-pronounced Shubnikov-de Haas oscillations reveals a high spin-orbit coupling with an effective g -factor of -38.4 in our samples. Along with the smooth surfaces and long mean free paths demonstrated, our InSb quantum wells are increasingly competitive for nanoscale implementations of Majorana mode devices.

  19. Electrical properties of undoped and doped MOVPE-grown InAsSb

    CSIR Research Space (South Africa)

    Krug, T

    2006-01-01

    Full Text Available ) Simulation Figure 1: Reflectance spectrum of M3007, together with computer simulation result. d T (K) ns (cm-3) nb (cm-3) n (cm-3) µs (cm2/Vs) µb (cm2/Vs) µ (cm2/Vs) 16µm 80 3×1018 3×1014 1×1016 5×103 1×105 2×104 22µm 81 1×1018 2×1014 3...×1015 7×103 1×105 3×104 17µma 77 1×1017 2×1014 - 2×104 2×105 - a Carrier concentration and mobility given in Wang et al [10] for a 17µm InAs film grown on GaAs by molecular beam epitaxy. These results were obtained using cyclotron resonance...

  20. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS2 thin films

    International Nuclear Information System (INIS)

    Berndt, P.R.; Botha, J.R.; Branch, M.S.; Leitch, A.W.R.; Kirmse, H.; Neumann, W.; Weber, J.

    2007-01-01

    In this study, various CuGaS 2 layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures

  1. Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2014-06-21

    The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.

  2. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    International Nuclear Information System (INIS)

    Krantz, Claude

    2009-01-01

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  3. Intense electron beams from GaAs photocathodes as a tool for molecular and atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Krantz, Claude

    2009-10-28

    We present cesium-coated GaAs photocathodes as reliable sources of intense, quasi-monoenergetic electron beams in atomic and molecular physics experiments. In long-time operation of the Electron Target of the ion storage ring TSR in Heidelberg, cold electron beams could be realised at steadily improving intensity and reliability. Minimisation of processes degrading the quantum efficiency allowed to increase the extractable current to more than 1mA at usable cathode lifetimes of 24 h or more. The benefits of the cold electron beam with respect to its application to electron cooling and electron-ion recombination experiments are discussed. Benchmark experiments demonstrate the superior cooling force and energy resolution of the photoelectron beam compared to its thermionic counterparts. The long period of operation allowed to study the long-time behaviour of the GaAs samples during multiple usage cycles at the Electron Target and repeated in-vacuum surface cleaning by atomic hydrogen exposure. An electron emission spectroscopy setup has been implemented at the photocathode preparation chamber of the Electron Target. Among others, this new facility opened the way to a novel application of GaAs (Cs) photocathodes as robust, ultraviolet-driven electron emitters. Based on this principle, a prototype of an electron gun, designed for implementation at the HITRAP setup at GSI, has been built and taken into operation successfully. (orig.)

  4. Nuclear spin warm up in bulk n -GaAs

    Science.gov (United States)

    Kotur, M.; Dzhioev, R. I.; Vladimirova, M.; Jouault, B.; Korenev, V. L.; Kavokin, K. V.

    2016-08-01

    We show that the spin-lattice relaxation in n -type insulating GaAs is dramatically accelerated at low magnetic fields. The origin of this effect, which cannot be explained in terms of well-known diffusion-limited hyperfine relaxation, is found in the quadrupole relaxation, induced by fluctuating donor charges. Therefore, quadrupole relaxation, which governs low field nuclear spin relaxation in semiconductor quantum dots, but was so far supposed to be harmless to bulk nuclei spins in the absence of optical pumping, can be studied and harnessed in the much simpler model environment of n -GaAs bulk crystal.

  5. Amateurism in an Age of Professionalism: An Empirical Examination of an Irish Sporting Culture: The GAA

    Directory of Open Access Journals (Sweden)

    Ian Keeler

    2013-07-01

    This research study recommends that the GAA adopt an innovative approach, through strategic decision-making, to allow the GAA to maintain its amateur ethos, and, yet, successfully compete in the professional sporting market. The strong links with the community must be both nurtured and enhanced. The GAA and Gaelic games must embrace the challenges that the branding success of foreign sports has brought. Player welfare issues for the elite players must be addressed while continuing to protect the club and its amateur structures. The study looks at the key metrics that are required to evolve the GAA. This entails not only focusing on the perceived importance of the amateur ethos to the GAA, but also developing the marketing, branding and profiling of Gaelic games to enhance the performance of an amateur sporting organization in an era of increased professionalism in sport.

  6. Electrical characterisation of Sn doped InAs grown by MOVPE

    International Nuclear Information System (INIS)

    Shamba, P.; Botha, L.; Krug, T.; Venter, A.; Botha, J.R.

    2008-01-01

    The feasibility of tetraethyl tin (TESn) as an n-type dopant for InAs is investigated. The electrical properties of Sn doped InAs films grown on semi-insulating GaAs substrates by MOVPE are extensively studied as a function of substrate temperature, V/III ratio, substrate orientation and TESn flow rate. Results from this study show that Sn concentrations can be controlled over 2 orders of magnitude. The Sn doped InAs layers exhibit carrier concentrations between 2.7 x 10 17 and 4.7 x 10 19 cm -3 with 77 K mobilities ranging from 12 000 to 1300 cm 2 /Vs. Furthermore, the influence of the variation of these parameters on the structural properties of InAs are also reported. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Dynamical properties of tertiarybutylarsine on GaAs(0 0 1) surface

    CERN Document Server

    Ozeki, M; Tanaka, Y

    2002-01-01

    The dynamical properties of tertiarybutylarsine (TBA) was studied on GaAs(0 0 1) surface using a supersonic molecular beam. The temperature and incident energy dependence of the reflected beam revealed a reaction channel of TBA on GaAs surface with a large decrease in the activation energy from 2.7 to 1.8 eV as the incident energy increases from 0.04 to 2.5 eV.

  8. Microhardness of epitaxial layers of GaAs doped with rare earths

    International Nuclear Information System (INIS)

    Kulish, U.M.; Gamidov, Z.S.; Kuznetsova, I.Yu.; Petkeeva, L.N.; Borlikova, G.V.

    1989-01-01

    Results of the study of microhardness of GaAS layer doped by certain rare earths - Gd, Tb, Dy - are presented. The assumption is made that the higher is the value of the first potential of rare earth impurity ionization (i.e. the higher is the filling of 4f-shell), the lower is the effect of the element on electric and mechanical properties of GaAs epitaxial layers

  9. Doping assessment in GaAs nanowires

    DEFF Research Database (Denmark)

    Goktas, N. Isik; Fiordaliso, Elisabetta Maria; LaPierre, R. R.

    2018-01-01

    Semiconductor nanowires (NWs) are a candidate technology for future optoelectronic devices. One of the critical issues in NWs is the control of impurity doping for the formation of p-n junctions. In this study, beryllium (p-type dopant) and tellurium (n-type dopant) in self-assisted GaAs NWs...

  10. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  11. Spatially resolved localized vibrational mode spectroscopy of carbon in liquid encapsulated Czochralski grown gallium arsenide wafers

    International Nuclear Information System (INIS)

    Yau, Waifan.

    1988-04-01

    Substitutional carbon on an arsenic lattice site is the shallowest and one of the most dominant acceptors in semi-insulating Liquid Encapsulated Czochralski (LEC) GaAs. However, the role of this acceptor in determining the well known ''W'' shape spatial variation of neutral EL2 concentration along the diameter of a LEC wafer is not known. In this thesis, we attempt to clarify the issue of the carbon acceptor's effect on this ''W'' shaped variation by measuring spatial profiles of this acceptor along the radius of three different as-grown LEC GaAs wafers. With localized vibrational mode absorption spectroscopy, we find that the profile of the carbon acceptor is relatively constant along the radius of each wafer. Average values of concentration are 8 x 10E15 cm -3 , 1.1 x 10E15 cm -3 , and 2.2 x 10E15 cm -3 , respectively. In addition, these carbon acceptor LVM measurements indicate that a residual donor with concentration comparable to carbon exists in these wafers and it is a good candidate for the observed neutral EL2 concentration variation. 22 refs., 39 figs

  12. Photoreflectance and contactless electroreflectance spectroscopy of GaAs-based structures: The below band gap oscillation features

    International Nuclear Information System (INIS)

    Kudrawiec, R.; Motyka, M.; Gladysiewicz, M.; Sitarek, P.; Misiewicz, J.

    2006-01-01

    GaAs-based structures characterized below band gap oscillation features (OFs) in photoreflectance (PR) are studied in both PR and contactless electro-reflectance (CER) spectroscopies. It has been shown that the OFs are usually very strong for structures grown on n-type GaAs substrate. The origin of the OFs is the modulation of the refractive index in the sample due to a generation of additional carriers by the modulated pump beam. The presence of OFs in PR spectra complicates the analysis of PR signal related to quantum well transitions. Therefore, PR spectroscopy is often limited to samples grown on semi-insolating (SI) type substrates. However, sometimes the OFs could be observed for structures grown on SI-type GaAs substrates. In this paper we show that the OFs could be successfully eliminated by applying the CER technique instead of PR one because during CER measurements any additional carriers are not generated and hence CER spectra are free of OFs. This advantage of CER spectroscopy is very important in investigations of all structures for which OFs are present in PR spectra

  13. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  14. Singularities of current-voltage characteristics of GaAs films fabricated by pulsed ions ablation

    International Nuclear Information System (INIS)

    Kabyshev, A.V.; Konusov, F.V.; Lozhnikov, S.N.; Remnev, G.E.; Saltymakov, M.S.

    2009-01-01

    A singularities and advantages of the optical, photoelectric and electrical properties of GaAs in comparison with other available materials for electronics, for example, silicon allow to manufacture on it base the devices having an advanced characteristics. The GaAs for electronics, obtained from the dense ablation plasma, possess some preferences as compared to material manufactured by traditional methods of vacuum deposition. The electrical characteristics of GaAs produced by chemical deposition were extensively studied. Purpose of this work is investigation the current-voltage characteristics of thin films of GaAs, deposited on polycrystalline corundum (polycor) from plasma forming the power ions bunch and determination of the thermal vacuum annealing effect on photoelectric and electrical properties of films. Peculiarities of optical, photoelectric and current-voltage characteristics of films obtained by ions ablation are determined by deposition conditions and resistance of initial target GaAs. The transitions between the states with low- and high conduction were revealed directly after deposition in films having the optical properties similar to amorphous materials and/or after annealing in films with properties similar to initial target GaAs. Behavior of current-voltage characteristics at vacuum annealing correlates with Schottky barrier height and photosensitivity and is accompanies of the transport mechanism change. The stable properties of films are formed at its dark conduction 10 -10 -10 -8 s and after annealing at T an =600-700 K. (authors)

  15. Lateral n-p-n bipolar transistors by ion implantation into semi-insulating GaAs

    International Nuclear Information System (INIS)

    Canfield, P.; Forbes, L.

    1988-01-01

    GaAs bipolar transistors have not seen the major development effort that GaAs MESFETs have due primarily to the short minority carrier lifetimes in GaAs. The short minority carrier lifetimes require that the base region be very thin which, if done by implantation, requires that the doping be high to obtain a well defined base profile. These requirements are very difficult to achieve in GaAs and typically, if high current gain and high speed are desired for a bipolar technology, then heterostructure bipolars are the appropriate technology, although the cost of heterostructure devices will be prohibitive for some time to come. For applications requiring low current gain, more modest fabrication rules can be followed. Lateral bipolars are particularly attractive since they would be easier to fabricate than a planar bipolar or a heterojunction bipolar. Lateral bipolars do not require steps or deep contacts to make contact with the subcollector or highly doped very thin epilayers for the base region and they can draw upon the semi-insulating properties of the GaAs substrates for device isolation. Bipolar transistors are described and shown to work successfully. (author)

  16. ITER TASK T252 (1995):Gamma radiation testing of a GaAs operational amplifier for instrument applications

    International Nuclear Information System (INIS)

    Hiemstra, D.

    1996-03-01

    The purpose of this 1995 ITER task was : to build an improved operational amplifier using GaAs MESFET technology, to build a reference voltage subcircuit using GaAs MESFET technology and to investigate the potential of GaAs HBT's to improve the noise performance of the GaAs MESFET operational amplifier. This work addresses the need for instrumentation-grade components to read sensors in an experimental fusion reactor, where the anticipated total dose for a useful service life is 3Grad(GaAs). It is an extension of our 1994 work. 3 tabs., 6 figs

  17. Basic mechanisms study for MIS solar cell structures on GaAs

    Science.gov (United States)

    Fonash, S. J.

    1978-01-01

    The solar cell structure examined is the MIS configuration on (n) GaAs. The metal room temperature oxide/(n) GaAs materials system was studied. Metals with electronegativities varying from 2.4 (Au) to 1.5 (Al) were used as the upper electrode. The thinnest metallization that did not interfere with the measurement techniques (by introducing essentially transmission line series resistance problems across a device) was used. Photovoltaic response was not optimized.

  18. InSb semiconductors and (In,Mn)Sb diluted magnetic semiconductors: Growth and properties

    International Nuclear Information System (INIS)

    Tran, Lien

    2011-01-01

    This dissertation describes investigations of the growth by molecular beam epitaxy and the characterization of the semiconductor InSb as well as the diluted magnetic semiconductor (DMS) In 1-x Mn x Sb. The InSb films were grown on GaAs (001) substrate and Si (001) offcut by 4 toward (110) substrate up to a thickness of about 2 μm, in spite of a large lattice mismatch between the epi-layer and substrate (14.6% between InSb and GaAs, and 19.3% between InSb and Si). After optimizing the growth conditions, the best InSb films grown directly on GaAs without any special technique results in a high crystal quality, low noise, and an electron mobility of 41100 cm 2 /V s Vs with associated electron concentration of 2.9.10 6 cm -3 at 300 K. Such structures could be used, for example, for infrared detector structures. The growth of InSb on Si, however, is a challenge. In order to successfully grow InSb on Si, tilted substrates and the insertion of buffer layers were used, which helps to reduce the lattice mismatch as well as the formation of defects, and hence to improve the crystal quality. An electron mobility of 24000 cm 2 /V s measured at 300 K, with an associated carrier concentration of 2.6.10 1 6 cm -3 is found for the best sample that was grown at 340 C with a 0.06 μm-thick GaSb/AlSb superlattice buffer layer. The smaller value of electron mobility (compared to the best GaAsbased sample) is related to a higher density of microtwins and stacking faults as well as threading dislocations in the near-interface region as shown by transmission electron microscopy. Deep level noise spectra indicate the existence of deep levels in both GaAs and Si-based samples. The samples grown on Si exhibit the lowest Hooge factor at 300 K, lower than the samples grown on GaAs. Taking the optimized growth conditions of InSb/GaAs, the diluted magnetic semiconductor In 1-x Mn x Sb/GaAs (001) is prepared by adding a few percent of Mn into the host material InSb during growth. I have

  19. Nitride surface passivation of GaAs nanowires: impact on surface state density.

    Science.gov (United States)

    Alekseev, Prokhor A; Dunaevskiy, Mikhail S; Ulin, Vladimir P; Lvova, Tatiana V; Filatov, Dmitriy O; Nezhdanov, Alexey V; Mashin, Aleksander I; Berkovits, Vladimir L

    2015-01-14

    Surface nitridation by hydrazine-sulfide solution, which is known to produce surface passivation of GaAs crystals, was applied to GaAs nanowires (NWs). We studied the effect of nitridation on conductivity and microphotoluminescence (μ-PL) of individual GaAs NWs using conductive atomic force microscopy (CAFM) and confocal luminescent microscopy (CLM), respectively. Nitridation is found to produce an essential increase in the NW conductivity and the μ-PL intensity as well evidence of surface passivation. Estimations show that the nitride passivation reduces the surface state density by a factor of 6, which is of the same order as that found for GaAs/AlGaAs nanowires. The effects of the nitride passivation are also stable under atmospheric ambient conditions for six months.

  20. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  1. Optical Properties of GaAs Quantum Dots Fabricated by Filling of Self-Assembled Nanoholes

    Directory of Open Access Journals (Sweden)

    Heyn Ch

    2009-01-01

    Full Text Available Abstract Experimental results of the local droplet etching technique for the self-assembled formation of nanoholes and quantum rings on semiconductor surfaces are discussed. Dependent on the sample design and the process parameters, filling of nanoholes in AlGaAs generates strain-free GaAs quantum dots with either broadband optical emission or sharp photoluminescence (PL lines. Broadband emission is found for samples with completely filled flat holes, which have a very broad depth distribution. On the other hand, partly filling of deep holes yield highly uniform quantum dots with very sharp PL lines.

  2. X-ray electron density distribution of GaAs

    International Nuclear Information System (INIS)

    Pietsch, U.

    1986-01-01

    Using ten X-ray structure amplitudes of strong reflections and nine weak reflections both, the valence electron and the difference electron density distribution of GaAs, are calculated. The experimental data are corrected for anomalous dispersion using a bond charge model. The calculated plots are compared with up to now published band structure-based and semiempirically calculated density plots. Taking into account the experimental data of germanium, measured on the same absolute scale, the difference density between GaAs and Ge is calculated. This exhibits the charge transfer between both the f.c.c.-sublattices as well as both, the shift and the decrease of the bond charge, quite closely connected to the theoretical results published by Baur et al. (author)

  3. Electrical properties of Ga ion beam implanted GaAs epilayer

    International Nuclear Information System (INIS)

    Hirayama, Yoshiro; Okamoto, Hiroshi

    1985-01-01

    Resistivity enhancement by 5 orders or more was realized by Ga focused ion beam implantation into n + and p + GaAs epilayers. For originally n + epilayers, this resistivity enhancement is maintained after annealing as high as 800 deg C. However this enhancement disappears after annealing at above 650 deg C for p + epilayer. This property makes GaAs high resistive only in a limited area whose minimum dimension is 0.1 μm or less, and is attractive for a device fabrication process to electrically isolate integrated elements. (author)

  4. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Berndt, P.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)]. E-mail: pearl.berndt@nmmu.ac.za; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Branch, M.S. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Kirmse, H. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Neumann, W. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Weber, J. [Institute for Applied Physics-Semiconductor Physics, University of Technology, Dresden (Germany)

    2007-05-31

    In this study, various CuGaS{sub 2} layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures.

  5. Photoluminescence dynamics of weakly confined excitons in GaAs thin films

    International Nuclear Information System (INIS)

    Kanno, Atsushi; Katouf, Redouane; Kojima, Osamu; Ishi-Hayase, Junko; Sasaki, Masahide; Tsuchiya, Masahiro; Isu, Toshiro

    2008-01-01

    We investigate the dynamics of weakly confined excitons in GaAs thin films measured by time-resolved photoluminescence (PL) technique. When excitation energy was above the resonant energy of the exciton, a long PL rise time of about 200 ps was observed. It is considered that an exciton formation process from excited continuum energy states to discrete energy states of the exciton in the thin film causes the slow PL rise. The observed PL decay time constant was about 14 ns due to high quality fabricated samples. The observed population dynamics can be surely ascribed to the specific features of weakly confined excitons

  6. Simulated and experimental spectroscopic performance of GaAs X-ray pixel detectors

    International Nuclear Information System (INIS)

    Bisogni, M.G.; Cola, A.; Fantacci, M.E.

    2001-01-01

    In pixel detectors, the electrode geometry affects the signal shape and therefore the spectroscopic performance of the device. This effect is enhanced in semiconductors where carrier trapping is relevant. In particular, semi insulating (SI) GaAs crystals present an incomplete charge collection due to a high concentration of deep traps in the bulk. In the last few years, SI GaAs pixel detectors have been developed as soft X-ray detectors for medical imaging applications. In this paper, we present a numerical method to evaluate the local charge collection properties of pixel detectors. A bi-dimensional description has been used to represent the detector geometry. According to recent models, the active region of a reverse biased SI GaAs detector is almost neutral. Therefore, the electrostatic potential inside a full active detector has been evaluated using the Laplace equation. A finite difference method with a fixed step orthogonal mesh has been adopted. The photon interaction point has been generated with a Monte Carlo method according to the attenuation length of a monochromatic X-ray beam in GaAs. The number of photogenerated carriers for each interaction has been extracted using a gaussian distribution. The induced signal on the collecting electrode has been calculated according to the Ramo's theorem and the trapping effect has been modeled introducing electron and hole lifetimes. The noise of the charge preamplifier have been also taken into account. A comparison between simulated and experimental X-ray spectra from a 241 Am source acquired with different GaAs pixel detectors has been carried out

  7. Effect of e-beam dose on the fractional density of Au-catalyzed GaAs nanowire growth

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jeung Hun, E-mail: jeunghunpark@gmail.com [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States); Gambin, Vincent [Northrop Grumman Aerospace Systems, Redondo Beach, CA 90278 (United States); Kodambaka, Suneel, E-mail: kodambaka@ucla.edu [Department of Materials Science and Engineering, University of California Los Angeles, Los Angeles, CA 90095 (United States)

    2016-05-31

    Using Au/GaAs as a model system, the effect of initial catalyst patterning conditions on the growth of nanowire was studied. Resulting morphologies and fractional surface densities are determined as a function of e-beam dose, dot size, and inter-dot spacing using scanning and transmission electron microscopies. The majority of resulting nanowires grow randomly oriented with respect to the substrate. The nanowires are tapered with narrow tops, wider bases, and catalysts at the wire tips — characteristics of vapor–liquid–solid process. The base diameters of the wires are larger than the dot size, which is likely due to the non-catalyzed vapor–solid deposition along the sidewalls. The higher dose rate used in pattering leads to the formation of higher aspect ratio nanowires with narrower bases. The fractional surface density is found to increase linearly with the clearing dose and the critical dose for nanowire growth increases with decreasing catalyst pattern size and spacing. At a given dose, the fractional density increases with increasing Au dot size and with decreasing inter-dot spacing. Our results may provide new insights into the role of catalyst preparing conditions on the high density, wafer-scale growth of nanowires. - Highlights: • Initial Au catalyst layers are prepared using electron beam lithography. • GaAs nanowires are grown on GaAs(111)B using molecular beam epitaxy. • Effect of dose, size and spacing of Au dots on morphology and density is studied. • Density of nanowires is controlled by changing exposed dose on Au catalyst.

  8. Direct observation of oxygen-vacancy-enhanced polarization in a SrTiO{sub 3}-buffered ferroelectric BaTiO{sub 3} film on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Qiao, Qiao [Department of Physics, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Zhang, Yuyang [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Contreras-Guerrero, Rocio; Droopad, Ravi [Ingram School of Engineering, Texas State University, San Marcos, Texas 78666 (United States); Pantelides, Sokrates T. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States); Materials Science and Technology Department, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Electrical Engineering and Computer Science, Vanderbilt University, Nashville, Tennessee 37240 (United States); Pennycook, Stephen J. [Department of Materials Science and Engineering, National University of Singapore, Singapore 117575 (Singapore); Ogut, Serdar; Klie, Robert F. [Department of Physics, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-11-16

    The integration of functional oxide thin-films on compound semiconductors can lead to a class of reconfigurable spin-based optoelectronic devices if defect-free, fully reversible active layers are stabilized. However, previous first-principles calculations predicted that SrTiO{sub 3} thin films grown on Si exhibit pinned ferroelectric behavior that is not switchable, due to the presence of interfacial vacancies. Meanwhile, piezoresponse force microscopy measurements have demonstrated ferroelectricity in BaTiO{sub 3} grown on semiconductor substrates. The presence of interfacial oxygen vacancies in such complex-oxide/semiconductor systems remains unexplored, and their effect on ferroelectricity is controversial. Here, we use a combination of aberration-corrected scanning transmission electron microscopy and first-principles density functional theory modeling to examine the role of interfacial oxygen vacancies on the ferroelectric polarization of a BaTiO{sub 3} thin film grown on GaAs. We demonstrate that interfacial oxygen vacancies enhance the polar discontinuity (and thus the single domain, out-of-plane polarization pinning in BaTiO{sub 3}), and propose that the presence of surface charge screening allows the formation of switchable domains.

  9. Towards low-dimensional hole systems in Be-doped GaAs nanowires

    DEFF Research Database (Denmark)

    Ullah, A. R.; Gluschke, J. G.; Jeppesen, Peter Krogstrup

    2017-01-01

    -gates produced using GaAs nanowires with three different Be-doping densities and various AuBe contact processing recipes. We show that contact annealing only brings small improvements for the moderately doped devices under conditions of lower anneal temperature and short anneal time. We only obtain good......GaAs was central to the development of quantum devices but is rarely used for nanowire-based quantum devices with InAs, InSb and SiGe instead taking the leading role. p-type GaAs nanowires offer a path to studying strongly confined 0D and 1D hole systems with strong spin–orbit effects, motivating...... our development of nanowire transistors featuring Be-doped p-type GaAs nanowires, AuBe alloy contacts and patterned local gate electrodes towards making nanowire-based quantum hole devices. We report on nanowire transistors with traditional substrate back-gates and EBL-defined metal/oxide top...

  10. High-efficiency, thin-film- and concentrator solar cells from GaAs. Final report; High-efficiency, Duennschicht- und Konzentrator-Solarzellen aus Galliumarsenid. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Wettling, W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Bett, A W [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Pilkuhn, M [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Scholz, F [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Baldus, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blieske, U [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Blug, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Duong, T [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Schetter, C [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Stollwerck, G [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Sulima, O [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Wegener, A [Fraunhofer-Institut fuer Solare Energiesysteme (ISE), Freiburg im Breisgau (Germany); Doernen, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Frankowsky, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Haase, D [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hahn, G [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Hangleiter, A [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Stauss, P [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Tsai, C Y [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4; Zieger, K [Stuttgart Univ. (Germany). Physikalisches Teilinstitut 4

    1996-10-01

    Main topic of the project was the manufacturing of highly efficient GaAs-solar cells and the fabrication of concentrator cells. During this process significant progress was made with the material preparation, the solar cell technology and the material and process characterisation. This succeeded in the following efficiencies: - GaAs solar cell made by MOVPE technology: 22.9% on 4 cm{sup 2} (AM1.5g) - GaAs solar cell made by LPE-ER process: 22.8% on 4 cm{sup 2} (AM1.5g) - GaAs concentrator solar cell made by LPE-ER process: 24.9% at C=100xAM1.5d - GaAs concentrator module with fresnel lenses: Module efficiency 20.1% (under irradiation of 793 W/m{sup 2}). Another main focus was the epitaxy of GaAs on Si substrate. Two different approaches were investigated. Together with the cooperation partner ASE, Heilbronn a selective growth technology was developed that led to a decreased crack formation. By a simultanous optimization of the other epitaxy and process parameters, the efficiency was increased up to 16.6% AM0 on 1 cm{sup 2} solar cells. Furthermore a hybrid epitaxy was investigated. A GaAs layer was deposited onto a Si substrate using MOVPE. The solar cell structure was grown with a low temperature LPE. Unexpected difficulties appeared with this process, so that fundamental experiments needed to be done with the LPE technology. So far, no solar cells could be manufactured with this method. In addition, work was performed on GaInP solar cells on GaAs substrate. An efficiency of 15.7% (AM0) was acchieved. (orig.) [Deutsch] Gegenstand des Projekts war die Herstellung hocheffizienter GaAs-Solarzellen und die Fertigung von Konzentratorsolarzellen. Dazu wurden wesentliche Fortschritte bei der Materialpraeparation, der Solarzellentechnologie und der Material- and Prozesscharakterisierung erzielt. Diese Erfolge druecken sich in den erzielten Wirkungsgraden aus: - GaAs-Solarzelle hergestellt mit MOVPE-Technologie: 22.9% auf 4 cm{sup 2} (AM1.5g) - GaAs-Solarzelle hergestellt

  11. Resistance Fluctuations in GaAs Nanowire Grids

    Directory of Open Access Journals (Sweden)

    Ivan Marasović

    2014-01-01

    Full Text Available We present a numerical study on resistance fluctuations in a series of nanowire-based grids. Each grid is made of GaAs nanowires arranged in parallel with metallic contacts crossing all nanowires perpendicularly. Electrical properties of GaAs nanowires known from previous experimental research are used as input parameters in the simulation procedure. Due to the nonhomogeneous doping, the resistivity changes along nanowire. Allowing two possible nanowire orientations (“upwards” or “downwards”, the resulting grid is partially disordered in vertical direction which causes resistance fluctuations. The system is modeled using a two-dimensional random resistor network. Transfer-matrix computation algorithm is used to calculate the total network resistance. It is found that probability density function (PDF of resistance fluctuations for a series of nanowire grids changes from Gaussian behavior towards the Bramwell-Holdsworth-Pinton distribution when both nanowire orientations are equally represented in the grid.

  12. A new structure for comparing surface passivation materials of GaAs solar cells

    Science.gov (United States)

    Desalvo, Gregory C.; Barnett, Allen M.

    1989-01-01

    The surface recombination velocity (S sub rec) for bare GaAs is typically as high as 10 to the 6th power to 10 to the 7th power cm/sec, which dramatically lowers the efficiency of GaAs solar cells. Early attempts to circumvent this problem by making an ultra thin junction (xj less than .1 micron) proved unsuccessful when compared to lowering S sub rec by surface passivation. Present day GaAs solar cells use an GaAlAs window layer to passivate the top surface. The advantages of GaAlAs in surface passivation are its high bandgap energy and lattice matching to GaAs. Although GaAlAs is successful in reducing the surface recombination velocity, it has other inherent problems of chemical instability (Al readily oxidizes) and ohmic contact formation. The search for new, more stable window layer materials requires a means to compare their surface passivation ability. Therefore, a device structure is needed to easily test the performance of different passivating candidates. Such a test device is described.

  13. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  14. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  15. Towards p-type ZnO using post-growth annealing

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K.; Roro, K.T.; Botha, J.R. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2008-01-15

    The optical properties of zinc oxide (ZnO) films grown by metalorganic chemical vapor deposition on GaAs substrate are investigated. Samples were annealed in two different ambients, namely nitrogen and oxygen, and studied by photoluminescence (PL). Samples annealed in oxygen at 600 C show arsenic acceptor-related signatures. The near-band-edge emission is dominated by an excitonic feature at 3.355 eV and compensation broadens the spectra. No such changes are observed when similar samples are annealed in nitrogen. The diffusion of arsenic from the GaAs substrate appears to be a source of acceptors. This effect is enhanced in an oxygen atmosphere. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Growth and electronic properties of two-dimensional systems on (110) oriented GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.

    2005-07-01

    As the only non-polar plane the (110) surface has a unique role in GaAs. Together with Silicon as a dopant it is an important substrate orientation for the growth of n-type or p-type heterostructures. As a consequence, this thesis will concentrate on growth and research on that surface. In the course of this work we were able to realize two-dimensional electron systems with the highest mobilities reported so far on this orientation. Therefore, we review the necessary growth conditions and the accompanying molecular process. The two-dimensional electron systems allowed the study of a new, intriguing transport anisotropy not explained by current theory. Moreover, we were the first growing a two-dimensional hole gas on (110) GaAs with Si as dopant. For this purpose we invented a new growth modulation technique necessary to retrieve high mobility systems. In addition, we discovered and studied the metal-insulator transition in thin bulk p-type layers on (110) GaAs. Besides we investigated the activation process related to the conduction in the valence band and a parallelly conducting hopping band. The new two-dimensional hole gases revealed interesting physics. We studied the zero B-field spin splitting in these systems and compared it with the known theory. Furthermore, we investigated the anisotropy of the mobility. As opposed to the expectations we observed a strong persistent photoconductivity in our samples. Landau levels for two dimensional hole systems are non-linear and can show anticrossings. For the first time we were able to resolve anticrossings in a transport experiment and study the corresponding activation process. Finally, we compared these striking results with theoretical calculations. (orig.)

  17. Measurement of electron beam polarization produced by photoemission from bulk GaAs using twisted light

    Science.gov (United States)

    Clayburn, Nathan; Dreiling, Joan; McCarter, James; Ryan, Dominic; Poelker, Matt; Gay, Timothy

    2012-06-01

    GaAs photocathodes produce spin polarized electron beams when illuminated with circularly polarized light with photon energy approximately equal to the bandgap energy [1, 2]. A typical polarization value obtained with bulk GaAs and conventional circularly polarized light is 35%. This study investigated the spin polarization of electron beams emitted from GaAs illuminated with ``twisted light,'' an expression that describes a beam of light having orbital angular momentum (OAM). In the experiment, 790nm laser light was focused to a near diffraction-limited spot size on the surface of the GaAs photocathode to determine if OAM might couple to valence band electron spin mediated by the GaAs lattice. Our polarization measurements using a compact retarding-field micro-Mott polarimeter [3] have established an upper bound on the polarization of the emitted electron beam of 2.5%. [4pt] [1] D.T. Pierce, F. Meier, P. Zurcher, Appl. Phys. Lett. 26 670 (1975).[0pt] [2] C.K. Sinclair, et al., PRSTAB 10 023501 (2007).[0pt] [3] J.L. McCarter, M.L. Stutzman, K.W. Trantham, T.G. Anderson, A.M. Cook, and T.J. Gay Nucl. Instrum. and Meth. A (2010).

  18. Photoluminescence study of the nitrogen content effect on GaAs/GaAs1-xNx/GaAs/AlGaAs: (Si) quantum well

    International Nuclear Information System (INIS)

    Hamdouni, A.; Bousbih, F.; Ben bouzid, S.; Aloulou, S.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    We study the effect of nitrogen content in modulation-doped GaAs/GaAs 1-x N x /GaAs/GaAlAs:(Si) quantum well using low-temperature photoluminescence spectroscopy. The samples were grown on GaAs (001) substrates by molecular-beam epitaxy with different nitrogen compositions. The variation of the nitrogen composition from 0.04% to 0.32% associated to the bi-dimensional electron gas gives a new interaction mode between the nitrogen localized states and the GaAs 1-x N x /GaAs energies levels. The red-shift observed in photoluminescence spectra as function of nitrogen content has been interpreted in the frame of the band anticrossing model

  19. Nanoscale footprints of self-running gallium droplets on GaAs surface.

    Directory of Open Access Journals (Sweden)

    Jiang Wu

    Full Text Available In this work, the nanoscale footprints of self-driven liquid gallium droplet movement on a GaAs (001 surface will be presented and analyzed. The nanoscale footprints of a primary droplet trail and ordered secondary droplets along primary droplet trails are observed on the GaAs surface. A well ordered nanoterrace from the trail is left behind by a running droplet. In addition, collision events between two running droplets are investigated. The exposed fresh surface after a collision demonstrates a superior evaporation property. Based on the observation of droplet evolution at different stages as well as nanoscale footprints, a schematic diagram of droplet evolution is outlined in an attempt to understand the phenomenon of stick-slip droplet motion on the GaAs surface. The present study adds another piece of work to obtain the physical picture of a stick-slip self-driven mechanism in nanoscale, bridging nano and micro systems.

  20. Polaron binding energy and effective mass in the GaAs film

    International Nuclear Information System (INIS)

    Wu Zhenhua; Yan Liangxing; Tian Qiang; Li Hua; Liu Bingcan

    2012-01-01

    The binding energy and effective mass of a polaron in a GaAs film deposited on the Al 0.3 Ga 0.7 As substrate are studied theoretically by using the fractional-dimensional space approach. Our calculations show that the polaron binding energy and mass shift decrease monotonously with increasing the film thickness. For the film thicknesses with L w ≤ 70Å and the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness influence the polaron binding energy and mass shift in the GaAs film. The polaron binding energy and mass shift increase monotonously with increasing the substrate thickness. For the film thickness with L w ≥ 70Å or the substrate thicknesses with L b ≤ 200Å, the different values of the substrate thickness have no significant influence on the polaron binding energy and mass shift in the GaAs film deposited on the Al 0.3 Ga 0.7 As substrate.

  1. Pulse GaAs field transistor amplifier with subnanosecond time transient

    International Nuclear Information System (INIS)

    Sidnev, A.N.

    1987-01-01

    Pulse amplifier on fast field effect GaAs transistors with Schottky barrier is described. The amplifier contains four cascades, the first three of which are made on combined transistors on the common-drain circuit. The last cascade is made on high-power field effect GaAs transistor for coordination with 50 ohm load. The amplifier operates within the range of input signals from 0.5 up to 100 mV with repetition frequency up to 16 Hz, The gain of the amplifier is ≅ 20 dB. The setting time at output pulses amplitude up to 1 V constitutes ∼ 0.2 ns

  2. Modeling and Design of Graphene GaAs Junction Solar Cell

    Directory of Open Access Journals (Sweden)

    Yawei Kuang

    2015-01-01

    Full Text Available Graphene based GaAs junction solar cell is modeled and investigated by Silvaco TCAD tools. The photovoltaic behaviors have been investigated considering structure and process parameters such as substrate thickness, dependence between graphene work function and transmittance, and n-type doping concentration in GaAs. The results show that the most effective region for photo photogenerated carriers locates very close to the interface under light illumination. Comprehensive technological design for junction yields a significant improvement of power conversion efficiency from 0.772% to 2.218%. These results are in good agreement with the reported experimental work.

  3. Novel optical and structural properties of porous GaAs formed by anodic etching of n±GaAs in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte: effect of etching time

    International Nuclear Information System (INIS)

    Naddaf, M.; Saad, M.

    2014-01-01

    Porous GaAs layers have been formed by anodic etching of n±type GaAs (10.0) substrates in a HF:C_2H_5OH:HCl:H_2O_2:H_2O electrolyte. A dramatic impact of etching time on the optical and structural properties of porous GaAs layer is demonstrated. The nano/micro-features of porous GaAs layers are revealed by scanning electron microscopy (SEM) imaging. Two-peak room temperature photoluminescence (PL), "blue-green"and "green-yellow", is obtained in all prepared porous GaAs samples. Proper adjustment of etching time is found to produce a white color layer, instead of the usual dark gray color of porous GaAs. This is found to cause vast enhancement in the intensity of the visible PL in porous GaAs layer. Chemical composition and structural characterization by means of X-ray photoelectron spectroscopic (XPS), X-ray diffraction (XRD), and micro-Raman spectroscopy, confirm that this layer is characterized with monoclinic β-Ga_2O_3 rich surface. Etching time induced-modification of structural and chemical properties of porous GaAs layer is discussed and correlated to its PL behavior. It is inferred that the "blue-green"PL in porous GaAs can be ascribed to different degrees of quantum confinement in GaAs nano crystallites, whereas, the "green-yellow"PL is highly influenced by the As_2O_3 and Ga_2O_3, content in the porous GaAs layer. In addition, the reflectance measurements reveal an anti-refection trend of behavior of porous GaAs layers in the spectral range (500-1,100 nm). (author)

  4. High-Performance GaAs Nanowire Solar Cells for Flexible and Transparent Photovoltaics.

    Science.gov (United States)

    Han, Ning; Yang, Zai-xing; Wang, Fengyun; Dong, Guofa; Yip, SenPo; Liang, Xiaoguang; Hung, Tak Fu; Chen, Yunfa; Ho, Johnny C

    2015-09-16

    Among many available photovoltaic technologies at present, gallium arsenide (GaAs) is one of the recognized leaders for performance and reliability; however, it is still a great challenge to achieve cost-effective GaAs solar cells for smart systems such as transparent and flexible photovoltaics. In this study, highly crystalline long GaAs nanowires (NWs) with minimal crystal defects are synthesized economically by chemical vapor deposition and configured into novel Schottky photovoltaic structures by simply using asymmetric Au-Al contacts. Without any doping profiles such as p-n junction and complicated coaxial junction structures, the single NW Schottky device shows a record high apparent energy conversion efficiency of 16% under air mass 1.5 global illumination by normalizing to the projection area of the NW. The corresponding photovoltaic output can be further enhanced by connecting individual cells in series and in parallel as well as by fabricating NW array solar cells via contact printing showing an overall efficiency of 1.6%. Importantly, these Schottky cells can be easily integrated on the glass and plastic substrates for transparent and flexible photovoltaics, which explicitly demonstrate the outstanding versatility and promising perspective of these GaAs NW Schottky photovoltaics for next-generation smart solar energy harvesting devices.

  5. Shock initiation experiments on ratchet grown PBX 9502

    Energy Technology Data Exchange (ETDEWEB)

    Gustavsen, Richard L [Los Alamos National Laboratory; Thompson, Darla G [Los Alamos National Laboratory; Olinger, Barton W [Los Alamos National Laboratory; Deluca, Racci [Los Alamos National Laboratory; Bartram, Brian D [Los Alamos National Laboratory; Pierce, Timothy H [Los Alamos National Laboratory; Sanchez, Nathaniel J [Los Alamos National Laboratory

    2010-01-01

    This study compares the shock initiation behavior of PBX 9502 pressed to less than nominal density (nominal density is 1.890 {+-} 0.005 g/cm{sup 3}) with PBX 9502 pressed to nominal density and then ''ratchet grown'' to low density. PBX 9502 is an insensitive plastic bonded explosive consisting of 95 weight % dry-aminated tri-amino-tri-nitro-benzene (TATB) and 5 weight % Kel-F 800 plastic binder. ''Ratchet growth'' - an irreversible increase in specific volume - occurs when an explosive based on TATB is temperature cycled. The design of our study is as follows: PBX 9502, all from the same lot, received the following four treatments. Samples in the first group were pressed to less than nominal density. These were not ratchet grown and used as a baseline. Samples in the second group were pressed to nominal density and then ratchet grown by temperature cycling 30 times between -54 C and +80 C. Samples in the final group were pressed to nominal density and cut into 100 mm by 25.4 mm diameter cylinders. During thermal cycling the cylinders were axially constrained by a 100 psi load. Samples for shock initiation experiments were cut perpendicular (disks) and parallel (slabs) to the axial load. The four sample groups can be summarized with the terms pressed low, ratchet grown/no load, axial load/disks, and axial load/slabs. All samples were shock initiated with nearly identical inputs in plate impact experiments carried out on a gas gun. Wave profiles were measured after propagation through 3, 4, 5, and 6 mm of explosive. Side by side comparison of wave profiles from different samples is used as a measure of relative sensitivity. All reduced density samples were more shock sensitive than nominal density PBX 9502. Differences in shock sensitivity between ratchet grown and pressed to low density PBX 9502 were small, but the low density pressings are slightly more sensitive than the ratchet grown samples.

  6. GaMnAs on patterned GaAs(001) substrates: Growth and magnetotransport

    Energy Technology Data Exchange (ETDEWEB)

    Daeubler, Joachim; Glunk, Michael; Hummel, Thomas; Schoch, Wladimir; Limmer, Wolfgang; Sauer, Rolf [Institut fuer Halbleiterphysik, Universitaet Ulm, 89069 Ulm (Germany)

    2007-07-01

    A new type of GaMnAs microstructures with laterally confined electronic and magnetic properties has been realized in a bottom-up procedure by growing GaMnAs films on [1 anti 10]-oriented ridge structures with (113)A sidewalls and (001) top layers prepared on GaAs(001) substrates. Previous studies on planar GaMnAs samples have revealed different incorporation of Mn and excess As in (001) and (113)A layers. Accordingly, temperature- and field-dependent magnetotransport measurements on the overgrown ridge structures clearly demonstrate the coexistence of electronic and magnetic properties specific for (001) and (113)A GaMnAs in one single sample. This introduces an additional degree of freedom in the development of new functional structures.

  7. Experimental studies of the charge limit phenomenon in NEA GaAs photocathodes

    International Nuclear Information System (INIS)

    Tang, H.; Alley, R.K.; Aoyagi, H.; Clendenin, J.E.; Frisch, J.C.; Mulhollan, G.A.; Saez, P.J.; Schultz, D.C.; Turner, J.L.

    1994-06-01

    Negative electron affinity GaAs photocathodes have been in continuous use at SLAC for generating polarized electron beams since early 1992. If the quantum efficiency of a GaAs cathode is below a critical value, the maximum photoemitted charge with photons of energies close to the band gap in a 2-ns pulse is found to be limited by the intrinsic properties of the cathode instead of by the space charge limit. We have studied this novel charge limit phenomenon in a variety of GaAs photocathodes of different structures and doping densities. We find that the charge limit is strongly dependent on the cathode's quantum efficiency and the extraction electric field, and to a lesser degree on the excitation laser wavelength. In addition, we show that the temporal behavior of the charge limit depends critically on the doping density

  8. Self-assisted GaAs nanowires with selectable number density on Silicon without oxide layer

    International Nuclear Information System (INIS)

    Bietti, S; Somaschini, C; Esposito, L; Sanguinetti, S; Frigeri, C; Fedorov, A; Geelhaar, L

    2014-01-01

    We present the growth of self-assisted GaAs nanowires (NWs) with selectable number density on bare Si(1 1 1), not covered by the silicon oxide. We determine the number density of the NWs by initially self-assembling GaAs islands on whose top a single NW is nucleated. The number density of the initial GaAs base islands can be tuned by droplet epitaxy and the same degree of control is then transferred to the NWs. This procedure is completely performed during a single growth in an ultra-high vacuum environment and requires neither an oxide layer covering the substrate, nor any pre-patterning technique. (paper)

  9. Low energy Ar ion bombardment damage of Si, GaAs, and InP surfaces

    International Nuclear Information System (INIS)

    Williams, R.S.

    1982-01-01

    Argon bombardment damage to (100) surfaces of Si, GaAs, and InP for sputter ion-gun potentials of 1, 2, and 3 kilovolts was studied using Rutherford backscattering. Initial damage rates and saturation damage levels were determined. Bombardment damage sensitivity increased for the sequence Si, GaAs, and InP. Saturation damage levels for Si and GaAs correspond reasonably to LSS projected range plus standard deviation estimates; damage to InP exceeded this level significantly. For an ion-gun potential of 3 keV, the initial sputter yield of P from an InP surface exceeded the sputter yield of In by four atoms per incident Ar projectile. (author)

  10. Anomalous diffusion of Ga and As from semi-insulating GaAs substrate into MOCVD grown ZnO films as a function of annealing temperature and its effect on charge compensation

    Directory of Open Access Journals (Sweden)

    Pranab Biswas

    2014-05-01

    Full Text Available The diffusion behavior of arsenic (As and gallium (Ga atoms from semi-insulating GaAs (SI-GaAs into ZnO films upon post-growth annealing vis-à-vis the resulting charge compensation was investigated with the help of x-ray photoelectron spectroscopy (XPS and secondary ion mass spectroscopy. The films, annealed at 600 ºC and 700 ºC showed p-type conductivity with a hole concentration of 1.1 × 1018 cm−3 and 2.8 × 1019 cm−3 respectively, whereas those annealed at 800 ºC showed n-type conductivity with a carrier concentration of 6.5 × 1016 cm−3. It is observed that at lower temperatures, large fraction of As atoms diffused from the SI-GaAs substrates into ZnO and formed acceptor related complex, (AsZn–2VZn, by substituting Zn atoms (AsZn and thereby creating two zinc vacancies (VZn. Thus as-grown ZnO which was supposed to be n-type due to nonstoichiometric nature showed p-type behavior. On further increasing the annealing temperature to 800 ºC, Ga atoms diffused more than As atoms and substitute Zn atoms thereby forming shallow donor complex, GaZn. Electrons from donor levels then compensate the p-type carriers and the material reverts back to n-type. Thus the conversion of carrier type took place due to charge compensation between the donors and acceptors in ZnO and this compensation is the possible origin of anomalous conduction in wide band gap materials.

  11. Direct investigation of (sub-) surface preparation artifacts in GaAs based materials by FIB sectioning

    Energy Technology Data Exchange (ETDEWEB)

    Belz, Jürgen; Beyer, Andreas; Torunski, Torsten; Stolz, Wolfgang; Volz, Kerstin

    2016-04-15

    The introduction of preparation artifacts is almost inevitable when producing samples for (scanning) transmission electron microscopy ((S)TEM). These artifacts can be divided in extrinsic artifacts like damage processes and intrinsic artifacts caused by the deviations from the volume strain state in thin elastically strained material systems. The reduction and estimation of those effects is of great importance for the quantitative analysis of (S)TEM images. Thus, optimized ion beam preparation conditions are investigated for high quality samples. Therefore, the surface topology is investigated directly with atomic force microscopy (AFM) on the actual TEM samples. Additionally, the sectioning of those samples by a focused ion beam (FIB) is used to investigate the damage depth profile directly in the TEM. The AFM measurements show good quantitative agreement of sample height modulation due to strain relaxation to finite elements simulations. Strong indications of (sub-) surface damage by ion beams are observed. Their influence on high angle annular dark field (HAADF) imaging is estimated with focus on thickness determination by absolute intensity methods. Data consolidation of AFM and TEM measurements reveals a 3.5 nm surface amorphization, negligible surface roughness on the scale of angstroms and a sub-surface damage profile in the range of up to 8.0 nm in crystalline gallium arsenide (GaAs) and GaAs-based ternary alloys. A correction scheme for thickness evaluation of absolute HAADF intensities is proposed and applied for GaAs based materials. - Highlights: • The damage by Ar-ion milling during TEM sample preparation is investigated directly. • After FIB sectioning damage and deep disorder of c-GaAs is seen in cross-section. • The influence of such disorder on conventional ADF measurements is estimated. • A correction for HAADF measurements is proposed with focus on thickness estimations.

  12. Structure, magnetism, and interface properties of epitactical thin Fe and FePt films on GaAs(001) substrates; Struktur, Magnetismus und Grenzflaecheneigenschaften epitaktischer duenner Fe- und FePt-Filme auf GaAs(001)-Substraten

    Energy Technology Data Exchange (ETDEWEB)

    Schuster, Ellen Ursula

    2007-12-17

    The research in this thesis is focused on the study of the Fe spin structure and interface magnetism of thin epitaxial Fe layers or epitaxial FePt alloy films with chemical L1{sub 0} order on GaAs(001) surfaces. The main method of investigation was isotope-specific conversion electron Moessbauer spectroscopy (CEMS) combined with the {sup 57}Fe probe-layer technique in the temperature range of 4.2-300 K. The film structure was studied using electron diffraction (RHEED) and X-ray diffraction (XRD). The chemical order parameter S determined by XRD was found to increase with rising growth temperature, T{sub S}, to a maximum value of 0.71, until long range order is destroyed at T{sub S}>350 C by alloying with the substrate. As an important result a linear correlation between short-range order (revealed by the relative spectral area of the L1{sub 0} phase) and long-range order S was observed. The observed perpendicular Fe spin texture, characterized by the mean tilting angle left angle {theta} right angle of the Fe spins (relative to the film normal direction), was found to correlate with the L1{sub 0} phase content and with S. Furthermore, epitaxial Fe(001) films on GaAs(001)-(4 x 6) and on GaAs(001)-LED surfaces were grown successfully. In the initial stage of Fe film growth non-monotonous behavior of the in-plane lattice parameter was observed by RHEED. The magnetic hyperfine field distributions P(B{sub hf}) at the Fe/GaAs interface extracted from CEMS spectra for T{sub S}=-140 C or room temperature (RT) were found to be very similar. The observed large mean hyperfine fields of left angle B{sub hf} right angle {approx}25-27 T at the interface indicate the presence of high average Fe moments of 1.7-1.8 {mu}{sub B}. Nonmagnetic interface layers either can be excluded (Fe/GaAs) or are very thin (0.5 ML,Fe/GaAs-LED). Owing to its island structure an ultrathin (1.9 ML thick) uncoated Fe(001) film on GaAs(001)-(4 x 6) shows superparamagnetism with a blocking temperature of

  13. Effect of AlSb quantum dots on efficiency of GaAs solar cell (Conference Presentation)

    Science.gov (United States)

    Mansoori, Ahmad; Addamane, Sadhvikas J.; Renteria, Emma J.; Shima, Darryl M.; Hains, Christopher P.; Balakrishnan, Ganesh

    2016-09-01

    Quantum Dots (QDs) have a broad applications in science and specifically in solar cell. Many research groups show that by adding QDs with lower bandgap respect to host material, the overall absorption of sun spectrum coverage will increase. Here, we propose using QDs with higher band gap respect to host material to improve efficiency of solar cell by improving quantum efficiency. GaAs solar cells have the highest efficiency in single junction solar cells. However, the absorption of GaAs is not good enough in wavelength lower than 550nm. AlSb can absorb shorter wavelength with higher absorption coefficient and also recombination rate should be lower because of higher bandgap of AlSb respect to GaAs. We embed AlSb QDs in GaAs solar cells and results show slight improvement in quantum efficiency and also in overall efficiency. Coverage of AlSb QDs has a direct impact on quality of AlSb QDs and efficiency of cell. In the higher coverage, intermixing between GaAs and AlSb causes to shift bandgap to lower value (having AlGaSb QDs instead of pure AlSb QDs). This intermixing decrease the Voc and overall efficiency of cell. In lower coverage, AlSb can survive from intermixing and overall performance of cell improves. Optimizing growth condition of AlSb QDs is a key point for this work. By using AlSb QDs, we can decrease the thickness of active layer of GaAs solar cells and have a thinner solar cell.

  14. Analysis of GAA/TTC DNA triplexes using nuclear magnetic resonance and electrospray ionization mass spectrometry.

    Science.gov (United States)

    Mariappan, S V Santhana; Cheng, Xun; van Breemen, Richard B; Silks, Louis A; Gupta, Goutam

    2004-11-15

    The formation of a GAA/TTC DNA triplex has been implicated in Friedreich's ataxia. The destabilization of GAA/TTC DNA triplexes either by pH or by binding to appropriate ligands was analyzed by nuclear magnetic resonance (NMR) and positive-ion electrospray mass spectrometry. The triplexes and duplexes were identified by changes in the NMR chemical shifts of H8, H1, H4, 15N7, and 15N4. The lowest pH at which the duplex is detectable depends upon the overall stability and the relative number of Hoogsteen C composite function G to T composite function A basepairs. A melting pH (pHm) of 7.6 was observed for the destabilization of the (GAA)2T4(TTC)2T4(CTT)2 triplex to the corresponding Watson-Crick duplex and the T4(CTT)2 overhang. The mass spectrometric analyses of (TTC)6.(GAA)6 composite function(TTC)6 triplex detected ions due to both triplex and single-stranded oligonucleotides under acidic conditions. The triplex ions disappeared completely at alkaline pH. Duplex and single strands were detectable only at neutral and alkaline pH values. Mass spectrometric analyses also showed that minor groove-binding ligands berenil, netropsin, and distamycin and the intercalating ligand acridine orange destabilize the (TTC)6.(GAA)6 composite function (TTC)6 triplex. These NMR and mass spectrometric methods may function as screening assays for the discovery of agents that destabilize GAA/TTC triplexes and as general methods for the characterization of structure, dynamics, and stability of DNA and DNA-ligand complexes.

  15. Structural and morphological TEM characterization of GaAs based nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Soda, Marcello

    2012-02-03

    The question of a structural and morphological characterization of GaAs based nanowires is the research interest of this thesis. For this purpose standard and analytical transmission electron microscopy techniques were employed. New investigation methodologies are introduced in order to obtain a reliable interpretation of the results. The principal motivation on developing a new investigation method is the necessity to relate the results of crystal structure and morphology characterizations to microscopic and NW-specific parameters and not to macroscopic and general growth parameters. This allows a reliable comparison of NW characteristics and enhances the comprehension of their growth mechanism.The analysis of the results on crystal structure investigations, assuming this new perspective, delivers the fundamental finding that the axial growth of Au-assisted GaAs NWs can change in a pseudo Ga-assisted growth due to a non steady-state regime of the Ga accumulation process in the liquid droplet. The attempt to associate the observed crystal structures to one of these two growth modes reveals that zinc blende segments are most probably generated when a pseudo Ga-assisted growth occurs. This experimental evidence is in accordance with investigations developed by Glas et al. and Spirkoska et al. and with the current understanding of the NW growth mechanism and unifies the interpretation of catalytic growth of GaAs NWs. A Mn doped GaAs shell deposited at low temperature on core GaAs NWs is characterized for the first time. The growth is found to be epitaxial and to confer the quality of the core crystal to the shell crystal. As a consequence a high stacking fault density of the core NW limits the temperature of the shell growth due to the formation of clusters. Cross sections of (Ga,Mn)As shells are investigated. Simple kinetic and thermodynamical considerations lead to the conclusion of morphological instability of the low temperature radial growth. Analytical

  16. Arsenic ambient conditions preventing surface degradation of GaAs during capless annealing at high temperatures

    Science.gov (United States)

    Kang, C. H.; Kondo, K.; Lagowski, J.; Gatos, H. C.

    1987-01-01

    Changes in surface morphology and composition caused by capless annealing of GaAs were studied as a function of annealing temperature, T(GaAs), and the ambient arsenic pressure controlled by the temperature, T(As), of an arsenic source in the annealing ampul. It was established that any degradation of the GaAs surface morphology could be completely prevented, providing that T(As) was more than about 0.315T(GaAs) + 227 C. This empirical relationship is valid up to the melting point temperature of GaAs (1238 C), and it may be useful in some device-processing steps.

  17. Characterization of a Ga-assisted GaAs nanowire array solar cell on si substrate

    DEFF Research Database (Denmark)

    Boulanger, J. P.; Chia, A. C. E.; Wood, B.

    2016-01-01

    A single-junction core-shell GaAs nanowire (NW) solar cell on Si (1 1 1) substrates is presented. A Ga-assisted vapor–liquid–solid growth mechanism was used for the formation of a patterned array of radial p-i-n GaAs NWs encapsulated in AlInP passivation. Novel device fabrication utilizing facet-...

  18. Radiation-induced effects in GaAs thin-film optical (10.6 μm) waveguides

    International Nuclear Information System (INIS)

    Share, S.; Epstein, A.S.; Monse, T.; Chang, W.S.C.; Chang, M.S.

    1976-01-01

    Two types of GaAs thin-film optical waveguide structures operating at 10.6 μm were examined before and after exposure to neutron and γ irradiation. The attenuation rate of the GaAs/n + -GaAs structure was particularly sensitive to neutron irradiation of 10 13 cm -2 and exhibited postirradiation annealing at 150 0 C. This is in contrast to the relative neutron irradiation insensitivity of a GaAs/GaAs 1 /sub -//subx/P/subx//n + -GaAs structure. The effect of γ radiation is less pronounced for both structures. The radiation-induced changes are discussed in terms of free-carrier absorption, index of refraction, scattering centers, and absorption by complexes

  19. Schottky barrier measurements on individual GaAs nanowires by X-ray photoemission microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Di Mario, Lorenzo [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Turchini, Stefano, E-mail: stefano.turchini@cnr.it [ISM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Zamborlini, Giovanni; Feyer, Vitaly [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Tian, Lin [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy); Schneider, Claus M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, 52425 Jülich (Germany); Fakultät für Physik and Center for Nanointegration Duisburg-Essen (CENIDE), Universität Duisburg-Essen, D-47048 Duisburg (Germany); Rubini, Silvia [IOM-CNR, TASC Laboratory, Basovizza 34149, Trieste (Italy); Martelli, Faustino, E-mail: faustino.martelli@cnr.it [IMM-CNR, via del Fosso del Cavaliere 100, 00133 Rome (Italy)

    2016-11-15

    Highlights: • The Schottky barrier at the interface between Cu and GaAs nanowires was measured. • Individual nanowires were investigated by X-ray Photoemission Microscopy. • The Schottky barrier at different positions along the nanowire was evaluated. - Abstract: We present measurements of the Schottky barrier height on individual GaAs nanowires by means of x-ray photoelectron emission microscopy (XPEEM). Values of 0.73 and 0.51 eV, averaged over the entire wires, were measured on Cu-covered n-doped and p-doped GaAs nanowires, respectively, in agreement with results obtained on bulk material. Our measurements show that XPEEM can become a feasible and reliable investigation tool of interface formation at the nanoscale and pave the way towards the study of size-dependent effects on semiconductor-based structures.

  20. Nitrogen lattice location in MOVPE grown Ga1-xInxNyAs1-y films using ion beam channeling

    International Nuclear Information System (INIS)

    Nebiki, Takuya; Narusawa, Tadashi; Kumagai, Akiko; Doi, Hideyuki; Saito, Tadashi; Takagishi, Shigenori

    2006-01-01

    We have investigated the nitrogen lattice location in MOVPE grown Ga 1-x In x N y As 1-y with x=0.07 and y=0.025 by means of ion beam channeling technique. In this system, the lattice constant of the Ga 1-x In x N y As 1-y film is equal to GaAs lattice. Therefore, we can grow apparently no strain, high quality and very thick GaInNAs film on GaAs substrate. The quality of the films as well as the lattice location of In and N were characterized by channeling Rutherford backscattering spectrometry and nuclear reaction analysis using 3.95 MeV He 2+ beam. The fraction of substitutional nitrogen in the film was measured using the 14 N(α,p) 17 O endothermic nuclear reaction. Our results indicate that more than 90% of In and N atoms are located the substitutional site, however, N atoms are slightly displaced by ∼0.2 A from the lattice site. We suggest that the GaInNAs film has a local strain or point defects around the N atoms. (author)

  1. Heat load of a GaAs photocathode in an SRF electron gun

    International Nuclear Information System (INIS)

    Wang Erdong; Zhao Kui; Jorg Kewisch; Ilan Ben-Zvi; Andrew Burrill; Trivini Rao; Wu Qiong; Animesh Jain; Ramesh Gupta; Doug Holmes

    2011-01-01

    A great deal of effort has been made over the last decades to develop a better polarized electron source for high energy physics. Several laboratories operate DC guns with a gallium arsenide photocathode, which yield a highly polarized electron beam. However, the beam's emittance might well be improved by using a superconducting radio frequency (SRF) electron gun, which delivers beams of a higher brightness than that from DC guns because the field gradient at the cathode is higher. SRF guns with metal and CsTe cathodes have been tested successfully. To produce polarized electrons, a Gallium-Arsenide photo-cathode must be used: an experiment to do so in a superconducting RF gun is under way at BNL. Since a bulk gallium arsenide (GaAs) photocathode is normal conducting, a problem arises from the heat load stemming from the cathode. We present our measurements of the electrical resistance of GaAs at cryogenic temperatures, a prediction of the heat load and verification by measuring the quality factor of the gun with and without the cathode at 2 K. We simulate heat generation and flow from the GaAs cathode using the ANSYS program. By following the findings with the heat load model, we designed and fabricated a new cathode holder (plug) to decrease the heat load from GaAs. (authors)

  2. Antisense Oligonucleotides Promote Exon Inclusion and Correct the Common c.-32-13T>G GAA Splicing Variant in Pompe Disease

    Directory of Open Access Journals (Sweden)

    Erik van der Wal

    2017-06-01

    Full Text Available The most common variant causing Pompe disease is c.-32-13T>G (IVS1 in the acid α-glucosidase (GAA gene, which weakens the splice acceptor of GAA exon 2 and induces partial and complete exon 2 skipping. It also allows a low level of leaky wild-type splicing, leading to a childhood/adult phenotype. We hypothesized that cis-acting splicing motifs may exist that could be blocked using antisense oligonucleotides (AONs to promote exon inclusion. To test this, a screen was performed in patient-derived primary fibroblasts using a tiling array of U7 small nuclear RNA (snRNA-based AONs. This resulted in the identification of a splicing regulatory element in GAA intron 1. We designed phosphorodiamidate morpholino oligomer-based AONs to this element, and these promoted exon 2 inclusion and enhanced GAA enzyme activity to levels above the disease threshold. These results indicate that the common IVS1 GAA splicing variant in Pompe disease is subject to negative regulation, and inhibition of a splicing regulatory element using AONs is able to restore canonical GAA splicing and endogenous GAA enzyme activity.

  3. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  4. Temperature dependence of stacking faults in catalyst-free GaAs nanopillars.

    Science.gov (United States)

    Shapiro, Joshua N; Lin, Andrew; Ratsch, Christian; Huffaker, D L

    2013-11-29

    Impressive opto-electronic devices and transistors have recently been fabricated from GaAs nanopillars grown by catalyst-free selective-area epitaxy, but this growth technique has always resulted in high densities of stacking faults. A stacking fault occurs when atoms on the growing (111) surface occupy the sites of a hexagonal-close-pack (hcp) lattice instead of the normal face-centered-cubic (fcc) lattice sites. When stacking faults occur consecutively, the crystal structure is locally wurtzite instead of zinc-blende, and the resulting band offsets are known to negatively impact device performance. Here we present experimental and theoretical evidence that indicate stacking fault formation is related to the size of the critical nucleus, which is temperature dependent. The difference in energy between the hcp and fcc orientation of small nuclei is computed using density-function theory. The minimum energy difference of 0.22 eV is calculated for a nucleus with 21 atoms, so the population of nuclei in the hcp orientation is expected to decrease as the nucleus grows larger. The experiment shows that stacking fault occurrence is dramatically reduced from 22% to 3% by raising the growth temperature from 730 to 790 ° C. These data are interpreted using classical nucleation theory which dictates a larger critical nucleus at higher growth temperature.

  5. GaAs nanowire array solar cells with axial p-i-n junctions.

    Science.gov (United States)

    Yao, Maoqing; Huang, Ningfeng; Cong, Sen; Chi, Chun-Yung; Seyedi, M Ashkan; Lin, Yen-Ting; Cao, Yu; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu

    2014-06-11

    Because of unique structural, optical, and electrical properties, solar cells based on semiconductor nanowires are a rapidly evolving scientific enterprise. Various approaches employing III-V nanowires have emerged, among which GaAs, especially, is under intense research and development. Most reported GaAs nanowire solar cells form p-n junctions in the radial direction; however, nanowires using axial junction may enable the attainment of high open circuit voltage (Voc) and integration into multijunction solar cells. Here, we report GaAs nanowire solar cells with axial p-i-n junctions that achieve 7.58% efficiency. Simulations show that axial junctions are more tolerant to doping variation than radial junctions and lead to higher Voc under certain conditions. We further study the effect of wire diameter and junction depth using electrical characterization and cathodoluminescence. The results show that large diameter and shallow junctions are essential for a high extraction efficiency. Our approach opens up great opportunity for future low-cost, high-efficiency photovoltaics.

  6. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  7. Growth and characterization of metamorphic InAs/GaSb tunnel heterojunction on GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jheng-Sin; Clavel, Michael B.; Hudait, Mantu K., E-mail: mantu.hudait@vt.edu [Advanced Devices and Sustainable Energy Laboratory (ADSEL), Bradley Department of Electrical and Computer Engineering, Virginia Tech, Blacksburg, Virginia 24061 (United States); Pandey, Rahul [Electrical Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Datta, Suman [Electrical Engineering, University of Notre Dame, Notre Dame, Indiana 46556 (United States); Meeker, Michael; Khodaparast, Giti A. [Department of Physics, Virginia Tech, Blacksburg, Virginia 24061 (United States)

    2016-06-28

    The structural, morphological, optical, and electrical transport characteristics of a metamorphic, broken-gap InAs/GaSb p-i-n tunnel diode structure, grown by molecular beam epitaxy on GaAs, were demonstrated. Precise shutter sequences were implemented for the strain-balanced InAs/GaSb active layer growth on GaAs, as corroborated by high-resolution X-ray analysis. Cross-sectional transmission electron microscopy and detailed micrograph analysis demonstrated strain relaxation primarily via the formation of 90° Lomer misfit dislocations (MDs) exhibiting a 5.6 nm spacing and intermittent 60° MDs at the GaSb/GaAs heterointerface, which was further supported by a minimal lattice tilt of 180 arc sec observed during X-ray analysis. Selective area diffraction and Fast Fourier Transform patterns confirmed the full relaxation of the GaSb buffer layer and quasi-ideal, strain-balanced InAs/GaSb heteroepitaxy. Temperature-dependent photoluminescence measurements demonstrated the optical band gap of the GaSb layer. Strong optical signal at room temperature from this structure supports a high-quality material synthesis. Current–voltage characteristics of fabricated InAs/GaSb p-i-n tunnel diodes measured at 77 K and 290 K demonstrated two bias-dependent transport mechanisms. The Shockley–Read–Hall generation–recombination mechanism at low bias and band-to-band tunneling transport at high bias confirmed the p-i-n tunnel diode operation. This elucidated the importance of defect control in metamorphic InAs/GaSb tunnel diodes for the implementation of low-voltage and high-performance tunnel field effect transistor applications.

  8. An ultra-high-speed direct digital frequency synthesizer implemented in GaAs HBT technology

    International Nuclear Information System (INIS)

    Chen Gaopeng; Wu Danyu; Jin Zhi; Liu Xinyu

    2010-01-01

    This paper presents a 10-GHz 8-bit direct digital synthesizer (DDS) microwave monolithic integrated circuit implemented in 1 μm GaAs HBT technology. The DDS takes a double-edge-trigger (DET) 8-stage pipeline accumulator with sine-weighted DAC-based ROM-less architecture, which can maximize the utilization ratio of the GaAs HBT's high-speed potential. With an output frequency up to 5 GHz, the DDS gives an average spurious free dynamic range of 23.24 dBc through the first Nyquist band, and consumes 2.4 W of DC power from a single -4.6 V DC supply. Using 1651 GaAs HBT transistors, the total area of the DDS chip is 2.4 x 2.0 mm 2 . (semiconductor integrated circuits)

  9. Electronic and optical properties of HEMT heterostructures with δ-Si doped GaAs/AlGaAs quantum rings — quantum well system

    Science.gov (United States)

    Sibirmovsky, Y. D.; Vasil'evskii, I. S.; Vinichenko, A. N.; Zhigunov, D. M.; Eremin, I. S.; Kolentsova, O. S.; Safonov, D. A.; Kargin, N. I.

    2017-11-01

    Samples of δ-Si doped AlGaAs/GaAs/AlGaAs HEMT heterostructures with GaAs quantum rings (QRs) on top of the quantum well (QW) were grown by molecular beam epitaxy and their properties were compared to the reference samples without QRs. The thickness of the QW was 6 - 10 nm for the samples with QRs and 20 nm for the reference samples. Photoluminescence measurements at low temperatures for all samples show at least two distinct lines in addition to the bulk GaAs line. The Hall effect and low temperature magnetotransport measurements at 4 - 320 K show that conductivity with and without illumination decreases significantly with QRs introduction, however the relative photoconductivity increases. Samples with 6 nm QW are insulating, which could be caused by the strong localization of the charge carriers in the QRs.

  10. On the evolution of InAs thin films grown by molecular beam epitaxy on the GaAs(001) surface

    International Nuclear Information System (INIS)

    Grabowski, Jan

    2010-01-01

    Semiconductor nanostructures are currently of high interest for a wide variety of electronic and optoelectronic applications. A large number of devices, in particular for the optical data transmission in the long-wavelength range, essential in modern communication, are based on InAs/GaAs quantum dot (QD) structures. Though the properties of the InAs/GaAs QDs have been extensively studied, only little is known about the formation and structure of the wetting layer (WL) yet. In the present work, the pathway of the InAs WL evolution is studied in detail. For this purpose, InAs thin films in the range of one monolayer (ML) are deposited on the GaAs(001) surface by molecular beam epitaxy (MBE) and studied by reflection high energy electron diffraction (RHEED) and in particular by scanning tunneling microscopy (STM). The InAs thin films are grown in both typical growth regimes, on the GaAs-c(4 x 4) and the GaAs-β2(2 x 4) reconstructed surface, in a variety of thicknesses starting from submonolayers with 0.09 ML of InAs up to 1.65 ML of InAs exceeding the critical thickness for QD growth. In principle, three growth stages are found. At low InAs coverages, the indium adsorbs in agglomerations of typically eight In atoms at energetically preferable surface sites. In the STM images, the signatures of these In agglomerations appear with a clear bright contrast. A structural model for the initial formation of these signatures is presented, and its electronic and strain related properties are discussed. At an InAs coverage of about 0.67ML the initial surface transforms into a (4 x 3) reconstructed In 2/3 Ga 1/3 As ML and the detailed structure and strain properties of this surface are unraveled. On top of the InGaAs ML further deposited InAs forms a second layer, characterized by a typical zig-zag alignment of (2 x 4) reconstructed unit cells, with an alternating α2/α2-m configuration. In contrast to the previous surface reconstructions, where structural strain is

  11. On the evolution of InAs thin films grown by molecular beam epitaxy on the GaAs(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Grabowski, Jan

    2010-12-14

    Semiconductor nanostructures are currently of high interest for a wide variety of electronic and optoelectronic applications. A large number of devices, in particular for the optical data transmission in the long-wavelength range, essential in modern communication, are based on InAs/GaAs quantum dot (QD) structures. Though the properties of the InAs/GaAs QDs have been extensively studied, only little is known about the formation and structure of the wetting layer (WL) yet. In the present work, the pathway of the InAs WL evolution is studied in detail. For this purpose, InAs thin films in the range of one monolayer (ML) are deposited on the GaAs(001) surface by molecular beam epitaxy (MBE) and studied by reflection high energy electron diffraction (RHEED) and in particular by scanning tunneling microscopy (STM). The InAs thin films are grown in both typical growth regimes, on the GaAs-c(4 x 4) and the GaAs-{beta}2(2 x 4) reconstructed surface, in a variety of thicknesses starting from submonolayers with 0.09 ML of InAs up to 1.65 ML of InAs exceeding the critical thickness for QD growth. In principle, three growth stages are found. At low InAs coverages, the indium adsorbs in agglomerations of typically eight In atoms at energetically preferable surface sites. In the STM images, the signatures of these In agglomerations appear with a clear bright contrast. A structural model for the initial formation of these signatures is presented, and its electronic and strain related properties are discussed. At an InAs coverage of about 0.67ML the initial surface transforms into a (4 x 3) reconstructed In{sub 2/3}Ga{sub 1/3}As ML and the detailed structure and strain properties of this surface are unraveled. On top of the InGaAs ML further deposited InAs forms a second layer, characterized by a typical zig-zag alignment of (2 x 4) reconstructed unit cells, with an alternating {alpha}2/{alpha}2-m configuration. In contrast to the previous surface reconstructions, where

  12. Characterization of individual stacking faults in a wurtzite GaAs nanowire by nanobeam X-ray diffraction.

    Science.gov (United States)

    Davtyan, Arman; Lehmann, Sebastian; Kriegner, Dominik; Zamani, Reza R; Dick, Kimberly A; Bahrami, Danial; Al-Hassan, Ali; Leake, Steven J; Pietsch, Ullrich; Holý, Václav

    2017-09-01

    Coherent X-ray diffraction was used to measure the type, quantity and the relative distances between stacking faults along the growth direction of two individual wurtzite GaAs nanowires grown by metalorganic vapour epitaxy. The presented approach is based on the general property of the Patterson function, which is the autocorrelation of the electron density as well as the Fourier transformation of the diffracted intensity distribution of an object. Partial Patterson functions were extracted from the diffracted intensity measured along the [000\\bar{1}] direction in the vicinity of the wurtzite 00\\bar{1}\\bar{5} Bragg peak. The maxima of the Patterson function encode both the distances between the fault planes and the type of the fault planes with the sensitivity of a single atomic bilayer. The positions of the fault planes are deduced from the positions and shapes of the maxima of the Patterson function and they are in excellent agreement with the positions found with transmission electron microscopy of the same nanowire.

  13. Printed assemblies of GaAs photoelectrodes with decoupled optical and reactive interfaces for unassisted solar water splitting

    Science.gov (United States)

    Kang, Dongseok; Young, James L.; Lim, Haneol; Klein, Walter E.; Chen, Huandong; Xi, Yuzhou; Gai, Boju; Deutsch, Todd G.; Yoon, Jongseung

    2017-03-01

    Despite their excellent photophysical properties and record-high solar-to-hydrogen conversion efficiency, the high cost and limited stability of III-V compound semiconductors prohibit their practical application in solar-driven photoelectrochemical water splitting. Here we present a strategy for III-V photocatalysis that can circumvent these difficulties via printed assemblies of epitaxially grown compound semiconductors. A thin film stack of GaAs-based epitaxial materials is released from the growth wafer and printed onto a non-native transparent substrate to form an integrated photocatalytic electrode for solar hydrogen generation. The heterogeneously integrated electrode configuration together with specialized epitaxial design serve to decouple the material interfaces for illumination and electrocatalysis. Subsequently, this allows independent control and optimization of light absorption, carrier transport, charge transfer, and material stability. Using this approach, we construct a series-connected wireless tandem system of GaAs photoelectrodes and demonstrate 13.1% solar-to-hydrogen conversion efficiency of unassisted-mode water splitting.

  14. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  15. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    Science.gov (United States)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  16. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  17. Picosecond relaxation of X-ray excited GaAs

    Czech Academy of Sciences Publication Activity Database

    Tkachenko, V.; Medvedev, Nikita; Lipp, V.; Ziaja, B.

    2017-01-01

    Roč. 24, Sep (2017), s. 15-21 ISSN 1574-1818 Institutional support: RVO:68378271 Keywords : GaAS * X-ray excitation * picosecond relaxation Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 0.908, year: 2016

  18. Base excision repair of chemotherapeutically-induced alkylated DNA damage predominantly causes contractions of expanded GAA repeats associated with Friedreich's ataxia.

    Directory of Open Access Journals (Sweden)

    Yanhao Lai

    Full Text Available Expansion of GAA·TTC repeats within the first intron of the frataxin gene is the cause of Friedreich's ataxia (FRDA, an autosomal recessive neurodegenerative disorder. However, no effective treatment for the disease has been developed as yet. In this study, we explored a possibility of shortening expanded GAA repeats associated with FRDA through chemotherapeutically-induced DNA base lesions and subsequent base excision repair (BER. We provide the first evidence that alkylated DNA damage induced by temozolomide, a chemotherapeutic DNA damaging agent can induce massive GAA repeat contractions/deletions, but only limited expansions in FRDA patient lymphoblasts. We showed that temozolomide-induced GAA repeat instability was mediated by BER. Further characterization of BER of an abasic site in the context of (GAA20 repeats indicates that the lesion mainly resulted in a large deletion of 8 repeats along with small expansions. This was because temozolomide-induced single-stranded breaks initially led to DNA slippage and the formation of a small GAA repeat loop in the upstream region of the damaged strand and a small TTC loop on the template strand. This allowed limited pol β DNA synthesis and the formation of a short 5'-GAA repeat flap that was cleaved by FEN1, thereby leading to small repeat expansions. At a later stage of BER, the small template loop expanded into a large template loop that resulted in the formation of a long 5'-GAA repeat flap. Pol β then performed limited DNA synthesis to bypass the loop, and FEN1 removed the long repeat flap ultimately causing a large repeat deletion. Our study indicates that chemotherapeutically-induced alkylated DNA damage can induce large contractions/deletions of expanded GAA repeats through BER in FRDA patient cells. This further suggests the potential of developing chemotherapeutic alkylating agents to shorten expanded GAA repeats for treatment of FRDA.

  19. Subnanosecond, high-voltage photoconductive switching in GaAs

    Science.gov (United States)

    Druce, Robert L.; Pocha, Michael D.; Griffin, Kenneth L.; O'Bannon, Jim

    1991-03-01

    We are conducting research on the switching properties of photoconductive materials to explore their potential for generating highpower microwaves (HPM) and for high reprate switching. We have investigated the performance of Gallium Arsenide (GaAs) in linear mode (the conductivity of the device follows the optical pulse) as well as an avalanchelike mode (the optical pulse only controls switch closing) . Operating in the unear mode we have observed switch closing times of less than 200 Ps with a 100 ps duration laser pulse and opening times of less than 400 ps at several kV/cm fields using neutron irradiated GaAs. In avalanche and lockon modes high fields are switched with lower laser pulse energies resulting in higher efficiencies but with measurable switching delay and jitter. We are currently investigating both large area (1 cm2) and small area 1 mm2) switches illuminated by AlGaAs laser diodes at 900 nm and Nd:YAG lasers at 1. 06 tim.

  20. Sn nanothreads in GaAs: experiment and simulation

    Science.gov (United States)

    Semenikhin, I.; Vyurkov, V.; Bugaev, A.; Khabibullin, R.; Ponomarev, D.; Yachmenev, A.; Maltsev, P.; Ryzhii, M.; Otsuji, T.; Ryzhii, V.

    2016-12-01

    The gated GaAs structures like the field-effect transistor with the array of the Sn nanothreads was fabricated via delta-doping of vicinal GaAs surface by Sn atoms with a subsequent regrowth. That results in the formation of the chains of Sn atoms at the terrace edges. Two device models were developed. The quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential, herewith the electron density distribution in nanothread arrays for different gate voltages is calculated. The classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. It turned out that qualitatively both models demonstrate similar behavior, nevertheless, the classical one is in better quantitative agreement with experimental data. Plausibly, the quantization could be ignored because Sn atoms are randomly placed along the thread axis. The terahertz hot-electron bolometers (HEBs) could be based on the structure under consideration.

  1. Characterization of potassium bromide crystals grown in the aqueous solution of picric acid

    Energy Technology Data Exchange (ETDEWEB)

    Maheswari, J. Uma, E-mail: umak.anand@gmail.com [Department of Physics, The M.D.T.Hindu College, Tirunelveli 627010, Tamilnadu (India); Krishnan, C. [Department of Physics, Arignar Anna College, Aralvoymoli 629301, Tamilnadu (India); Kalyanaraman, S. [Physics Research Centre, Sri Paramakalyani College, Alwarkurichi 627412, Tamilnadu (India); Selvarajan, P. [Department of Physics, Aditanar College of Arts and Science, Tiruchendur 628216, Tamilnadu (India)

    2016-12-01

    Potassium bromide crystals were grown in the aqueous solution of picric acid by slow evaporation technique at room temperature. X-ray Diffraction (XRD) analysis ensures that the grown sample is in Fm3m space group and FCC structure. Energy Dispersive X-ray Spectroscopy (EDX) reveals the presence of elements in the title compound. UV–Vis-NIR spectrum reveals that the grown sample is a promising nonlinear optical (NLO) material. FTIR analysis confirms the functional groups present in the sample. The thermogravimetric (TG) and differential thermogravimetric (DTA) analyses ensure that the sample material is thermally stable up to 160 °C. The second harmonic efficiency of the sample is 1.3 times greater than that of standard KDP. The mechanical strength of the grown sample is estimated by Vickers microhardness tester. The electrical properties were investigated by impedance analysis and the results of various studies of the grown crystals are discussed.

  2. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the Lock-On'' phenomena could occur in the device.

  3. Modeling the effect of deep impurity ionization on GaAs photoconductive switches

    Energy Technology Data Exchange (ETDEWEB)

    Yee, J.H.; Khanaka, G.H.; Druce, R.L.; Pocha, M.D.

    1992-01-01

    The ionization coefficient of deep traps in GaAs is determined from a gas breakdown model together with the recent experimental data obtained at LLNL (Lawrence Livermore National Laboratory) and Boeing. Using this coefficient in our nonlinear device transport code, we have investigated theoretically the nonlinear switching phenomena in GaAs devices. The results obtained from our investigations show that if we take into consideration the effect of the field ionization of the deep traps, we can show how the ``Lock-On`` phenomena could occur in the device.

  4. GaAs thin film solar cells. Final report; Duennschicht-Solarzellen aus Galliumarsenid; Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bett, A.; Bronner, W.; Cardona, S.; Ehrhardt, A.; Habermann, G.; Habich, A.; Lanyi, P.; Lutz, F.; Nguyen, T.; Schetter, C.; Sulima, O.; Welter, H.; Yavas, O.

    1992-11-01

    This R and D project focused on the development of materials and technologies for the production of GaAs solar cells on GaAs and other substrates. Three subjects were gone into on particular: Material preparation (epitaxy), solar cell technology, characterisation of materials and processes. (orig.) [Deutsch] Das vorliegende Forschungsvorhaben hatte die Material- und Technologieentwickung fuer die Herstellung von GaAs-Solarzellen auf Eigen- und Fremdsubstrat zum Gegenstand. Drei Hauptaufgabenbereiche waren: Materialpraeparation (Epitaxie), Solarzellentechnologie, sowie Material- und Prozesscharakterisierung. (orig.)

  5. GaAs monolayer: Excellent SHG responses and semi metallic to metallic transition modulated by vacancy effect

    Science.gov (United States)

    Rozahun, Ilmira; Bahti, Tohtiaji; He, Guijie; Ghupur, Yasenjan; Ablat, Abduleziz; Mamat, Mamatrishat

    2018-05-01

    Monolayer materials are considered as a promising candidate for novel applications due to their attractive magnetic, electronic and optical properties. Investigation on nonlinear optical (NLO) properties and effect of vacancy on monolayer materials are vital to property modulations of monolayers and extending their applications. In this work, with the aid of first-principles calculations, the crystal structure, electronic, magnetic, and optical properties of GaAs monolayers with the vacancy were investigated. The result shows gallium arsenic (GaAs) monolayer produces a strong second harmonic generation (SHG) response. Meanwhile, the vacancy strongly affects structural, electronic, magnetic and optical properties of GaAs monolayers. Furthermore, arsenic vacancy (VAs) brings semi metallic to metallic transition, while gallium vacancy (VGa) causes nonmagnetic to magnetic conversion. Our result reveals that GaAs monolayer possesses application potentials in Nano-amplifying modulator and Nano-optoelectronic devices, and may provide useful guidance in designing new generation of Nano-electronic devices.

  6. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  7. Demonstration of GaAsSb/InAs nanowire backward diodes grown using position-controlled vapor-liquid-solid method

    Science.gov (United States)

    Kawaguchi, Kenichi; Takahashi, Tsuyoshi; Okamoto, Naoya; Sato, Masaru

    2018-02-01

    p-GaAsSb/n-InAs type-II nanowire (NW) diodes were fabricated using the position-controlled vapor-liquid-solid growth method. InAs and GaAsSb NW segments were grown vertically on GaAs(111)B substrates with the assistance of Au catalysts. Transmission electron microscopy-energy-dispersive X-ray spectroscopy analysis revealed that the GaAsSb segments have an Sb content of 40%, which is sufficient to form a tunnel heterostructure. Scanning capacitance microscope images clearly indicated the formation of a p-n junction in the NWs. Backward diode characteristics, that is, current flow toward negative bias originating from a tunnel current and current suppression toward positive bias by a heterobarrier, were demonstrated.

  8. Evidence for possible quantum dot interdiffusion induced by cap layer growth

    International Nuclear Information System (INIS)

    Jasinski, J.; Czeczott, M.; Gladysz, A.; Babinski, A.; Kozubowski, J.

    1999-01-01

    Self-organised InGaAs quantum dots were grown on (001) GaAs substrates and covered with two different types of cap layers grown at significantly different temperatures. In order to determine quantum dot emission energy and dot size distribution, photoluminescence and transmission electron microscopy studies were carried out on such samples. Simple theoretical model neglecting effect of interdiffusion allowed for correlation between quantum dot size and photoluminescence emission energy only in the case of dots covered by cap layers grown at the lower temperature. For dots covered by layers grown at the higher temperature such correlation was possible only when strong interdiffusion was assumed. (author)

  9. Removal of NO {sub x} by microwave reactor with ammonium bicarbonate and Ga-A zeolites at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Z.S. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)]. E-mail: weizaishan98@163.com; Du, Z.Y. [School of Light Industry and Chemical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Lin, Z.H. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); He, H.M. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Qiu, R.L. [School of Environmental Science and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2007-08-15

    Microwave reactor with the mixture of ammonium bicarbonate (NH{sub 4}HCO{sub 3}) and Ga-A zeolites was set up to study the removal of nitrogen oxides (NO {sub x} ) from waste gas with excess oxygen concentration (14-19%) at low temperature (80-120 deg. C). The results showed that the microwave reactor filled with NH{sub 4}HCO{sub 3} and Ga-A zeolites could reduce NO {sub x} to nitrogen with the best purifying efficiency of 95.45% and the best denitrification amount of 89.28 mg h{sup -1}. The optimal microwave power and residence time (RT) on denitrification was 259-280 W and 0.259 s, respectively. Microwave denitrification effect of the experiment using ammonium bicarbonate and Ga-A zeolites was much higher than that using ammonium bicarbonate or Ga-A zeolites only. The mechanism for microwave-induced NO {sub x} reduction can be explained as the microwave-induced catalytic reaction between NO {sub x} and ammonium bicarbonate with Ga-A zeolites being the catalyst and microwave absorbent.

  10. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  11. The lower yield point of InP and GaAs

    International Nuclear Information System (INIS)

    Siethoff, H.

    1987-01-01

    A study of the strain-rate and temperature dependence of the lower yield stress (τ ly ) in undoped InP and of the strain-rate dependence of τ ly in undoped and Zn-doped GaAs is reported. The deformation along (123) orientation was carried out in compression at constant strain rates ranging from 10 -5 to 10 -2 s -1 . The temperature range extended from 540 to 780 0 C. The activation energy and stress exponent of the dislocation velocity were calculated. Experiments have shown that τ ly of InP depends on temperature and strain rate in a manner similar to other semiconductors like Si and InSb, whereas τ ly of GaAs shows an unusual strain-rate dependence

  12. Electrons, holes, and excitons in GaAs polytype quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Climente, Juan I.; Segarra, Carlos; Rajadell, Fernando; Planelles, Josep, E-mail: josep.planelles@uji.es [Departament de Química Física i Analítica, Universitat Jaume I, E-12080 Castelló (Spain)

    2016-03-28

    Single and multi-band k⋅p Hamiltonians for GaAs crystal phase quantum dots are used to assess ongoing experimental activity on the role of such factors as quantum confinement, spontaneous polarization, valence band mixing, and exciton Coulomb interaction. Spontaneous polarization is found to be a dominating term. Together with the control of dot thickness [Vainorius et al., Nano Lett. 15, 2652 (2015)], it enables wide exciton wavelength and lifetime tunability. Several new phenomena are predicted for small diameter dots [Loitsch et al., Adv. Mater. 27, 2195 (2015)], including non-heavy hole ground state, strong hole spin admixture, and a type-II to type-I exciton transition, which can be used to improve the absorption strength and reduce the radiative lifetime of GaAs polytypes.

  13. Effects of In-situ UV Irradiation on the Uniformity and Optical Properties of GaAsBi Epi-layers Grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, Daniel A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Steger, Mark [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Christian, Theresa [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mascarenhas, Angelo J [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-12-14

    In-situ UV illumination influences the incorporation dynamics of bismuth adatom in GaAs. Here we use the inherent variation of the fluence across the sample to explore the role of the incident irradiation. With illumination it is found that steady state growth processes are achieved more quickly resulting in more abrupt interfaces, as well as uniform GaAs1-xGaAs1-xBixBix epi-layers. Comparisons of low temperature photoluminescence spectra show an increasing density of clusters of incorporated bismuth atoms with decreasing incident fluence.

  14. The GAAS Metagenomic Tool and Its Estimations of Viral and Microbial Average Genome Size in Four Major Biomes

    OpenAIRE

    Angly, Florent E.; Willner, Dana; Prieto-Dav?, Alejandra; Edwards, Robert A.; Schmieder, Robert; Vega-Thurber, Rebecca; Antonopoulos, Dionysios A.; Barott, Katie; Cottrell, Matthew T.; Desnues, Christelle; Dinsdale, Elizabeth A.; Furlan, Mike; Haynes, Matthew; Henn, Matthew R.; Hu, Yongfei

    2009-01-01

    Metagenomic studies characterize both the composition and diversity of uncultured viral and microbial communities. BLAST-based comparisons have typically been used for such analyses; however, sampling biases, high percentages of unknown sequences, and the use of arbitrary thresholds to find significant similarities can decrease the accuracy and validity of estimates. Here, we present Genome relative Abundance and Average Size (GAAS), a complete software package that provides improved estimate...

  15. Directional Secondary Emission of a Semiconductor Microcavity

    DEFF Research Database (Denmark)

    Langbein, Wolfgang; Jensen, Jacob Riis; Hvam, Jørn Märcher

    2000-01-01

    We investigate the time-resolved secondary emission of a homogeneously broadened microcavity after resonant excitation. The sample consists of a 25nm GaAs single quantum well (QW) in the center of a wedged ¥ë cavity with AlAs/AlGaAs Bragg reflectors, grown by molecular beam epitaxy. At zero detun...

  16. Dilute nitride based double-barrier quantum-well infrared photodetector operating in the near infrared

    International Nuclear Information System (INIS)

    Luna, E.; Hopkinson, M.; Ulloa, J. M.; Guzman, A.; Munoz, E.

    2003-01-01

    Near-infrared detection is reported for a double-barrier quantum-well infrared photodetector based on a 30-A GaAs 1-y N y (y≅0.01) quantum well. The growth procedure using plasma-assisted molecular-beam epitaxy is described. The as-grown sample exhibits a detection wavelength of 1.64 μm at 25 K. The detection peak strengthens and redshifts to 1.67 μm following rapid thermal annealing at 850 deg. C for 30 s. The detection peak position is consistent with the calculated band structure based on the band-anticrossing model for nitrogen incorporation into GaAs

  17. Point defects in GaAs and other semiconductors

    International Nuclear Information System (INIS)

    Ehrhart, P.; Karsten, K.; Pillukat, A.

    1993-01-01

    In order to understand the properties of intrinsic point defects and their interactions at high defect concentrations GaAs wafers were irradiated at 4.5 K with 3 MeV electrons up to a dose of 4 · 10 19 e - /cm 2 . The irradiated samples were investigated by X-ray Diffraction and optical absorption spectroscopy. The defect production increases linearly with irradiation dose and characteristic differences are observed for the two sublattices. The Ga-Frenkel pairs are strongly correlated and are characterized by much larger lattice relaxations (V rel = 2--3 atomic volumes) as compared to the As-Frenkel pairs (V rel ∼1 at. vol.). The dominating annealing stage around 300 K is attributed to the mobility of the Ga interstitial atoms whereas the As-interstitial atoms can recombine with their vacancies only around 500 K. These results are compared to those for InP, ZnSe and Ge. Implications for the understanding of the damage after ion irradiation and implantation are discussed

  18. The role of proximity caps during the annealing of UV-ozone oxidized GaAs

    International Nuclear Information System (INIS)

    Ghosh, S. C.; Biesinger, M. C.; LaPierre, R. R.; Kruse, P.

    2007-01-01

    This study provides a deeper insight into the chemistry and physics of the common engineering practice of using a proximity cap, while annealing compound semiconductors such as GaAs. We have studied the cases of a GaAs proximity cap, a Si proximity cap, and no proximity cap. Using x-ray photoelectron spectroscopy, it has been found that annealing increases the gallium to arsenic ratio in the oxide layer in all cases. During the annealing of UV-ozone oxidized GaAs, it has been observed that GaAs proximity caps also serve as a sacrificial layer to accelerate the desorption of oxide species. In all cases surface deterioration due to pit formation has been observed, and the depth of pits is found to depend on the effective role played by the capping material. Energy dispersive x-ray analysis provides additional evidence that pits mainly consist of elemental As and gallium oxide, with most of the elemental As situated at the pit-substrate interface. Deposition of a thin layer of gold and subsequent annealing to 500 deg. C for 300 s under different capping conditions shows the use of a proximate cap to be practically insignificant in annealing Au deposited films

  19. Anisotropic Pauli Spin Blockade of Holes in a GaAs Double Quantum Dot

    Science.gov (United States)

    Wang, Qingwen; Klochan, Oleh; Hung, Jo-Tzu; Culcer, Dimitrie; Farrer, Ian; Ritchie, David; Hamilton, Alex

    Electrically defined semiconductor quantum dots are appealing systems for spin manipulation and quantum information processing. Thanks to the weak hyperfine interaction and the strong spin-orbit interaction, heavy-holes in GaAs are promising candidates for all-electrical spin manipulation. However, making stable quantum dots in GaAs has only become possible recently, mainly because of difficulties in device fabrication and device stability. Here we present electrical transport measurements of heavy-holes in a lateral double quantum dot based on a GaAs /AlxGa1 - x As heterostructure. We observe clear Pauli spin blockade and show that the lifting of the spin blockade by an external magnetic field is extremely anisotropic. Numerical calculations of heavy-hole transport through a double quantum dot in the presence of strong spin-orbit interaction demonstrate quantitative agreement with experimental results, which indicates that the observed anisotropy can be explained by the anisotropic hole g-factor and the surface Dresselhaus spin-orbit coupling.

  20. Performance of Series Connected GaAs Photovoltaic Converters under Multimode Optical Fiber Illumination

    Directory of Open Access Journals (Sweden)

    Tiqiang Shan

    2014-01-01

    Full Text Available In many military and industrial applications, GaAs photovoltaic (PV converters are connected in series in order to generate the required voltage compatible with most common electronics. Multimode optical fibers are usually used to carry high-intensity laser and illuminate the series connected GaAs PV converters in real time. However, multimode optical fiber illumination has a speckled intensity pattern. The series connected PV array is extremely sensitive to nonuniform illumination; its performance is limited severely by the converter that is illuminated the least. This paper quantifies the effects of multimode optical fiber illumination on the performance of series connected GaAs PV converters, analyzes the loss mechanisms due to speckles, and discusses the maximum illumination efficiency. In order to describe the illumination dependent behavior detailedly, modeling of the series connected PV array is accomplished based on the equivalent circuit for PV cells. Finally, a series of experiments are carried out to demonstrate the theory analysis.