WorldWideScience

Sample records for freestanding gan grown

  1. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  2. Photoelectrochemical liftoff of LEDs grown on freestanding c-plane GaN substrates

    KAUST Repository

    Hwang, David

    2016-09-23

    We demonstrate a thin-film flip-chip (TFFC) process for LEDs grown on freestanding c-plane GaN substrates. LEDs are transferred from a bulk GaN substrate to a sapphire submount via a photoelectrochemical (PEC) undercut etch. This PEC liftoff method allows for substrate reuse and exposes the N-face of the LEDs for additional roughening. The LEDs emitted at a wavelength of 432 nm with a turn on voltage of ~3 V. Etching the LEDs in heated KOH after transferring them to a sapphire submount increased the peak external quantum efficiency (EQE) by 42.5% from 9.9% (unintentionally roughened) to 14.1% (intentionally roughened).

  3. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  4. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  5. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  6. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  7. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  8. Characterization of 380nm UV-LEDs grown on free-standing GaN by atmospheric-pressure metal-organic chemical vapor deposition

    Science.gov (United States)

    Shieh, C. Y.; Li, Z. Y.; Kuo, H. C.; Chang, J. Y.; Chi, G. C.

    2014-03-01

    We reported the defects and optical characterizations of the ultraviolet light-emitting diodes grown on free-standing GaN substrate (FS-GaN) and sapphire. Cross-sectional transmission electron microscopy (TEM) images showed that the total defect densities of grown UV LEDs on FS-GaN and sapphire including edge, screw and mixed type were 3.6×106 cm-2 and 5.5×108 cm-2. When substrate of UV LEDs was changed from sapphire to FS-GaN, it can be clearly found that the crystallography of GaN epilayers was drastically different from that GaN epilayers on sapphire. Besides, the microstructures or indium clustering can be not observed at UV LEDs on FS-GaN from TEM measurement. The internal quantum efficiency of UVLEDs on FS-GaN and sapphire were 34.8 % and 39.4 % respectively, which attributed to indium clustering in multi-layers quantum wells (MQWs) of UV LEDs on sapphire. The relationship between indiumclustering and efficiency droop were investigated by temperature-dependent electroluminescence (TDEL) measurements.

  9. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  10. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  11. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  12. Study on the structural, optical, and electrical properties of the yellow light-emitting diode grown on free-standing (0001) GaN substrate

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, GaN-based yellow light-emitting diodes (LEDs) were homoepitaxially grown on free-standing (0001) GaN substrates by metal-organic chemical vapor deposition. X-ray diffraction (XRD), photoluminescence (PL), and electroluminescence (EL) measurements were conducted to investigate the structural, optical, and electrical properties of the yellow LED. The XRD measurement results showed that the InGaN/GaN multiple quantum wells (MQWs) in the LED structure have good periodicity because the distinct MQWs related higher order satellite peaks can be clearly observed from the profile of 2θ-ω XRD scan. The low temperature (10 K) and room temperature PL measurement results yield an internal quantum efficiency of 16% for the yellow LED. The EL spectra of the yellow LED present well Gaussian distribution with relatively low linewidth (47-55 nm), indicating the homogeneous In-content in the InGaN quantum well layers in the yellow LED structure. It is believed that this work will aid in the future development of GaN on GaN LEDs with long emission wavelength.

  13. As-grown deep-level defects in n-GaN grown by metal-organic chemical vapor deposition on freestanding GaN

    International Nuclear Information System (INIS)

    Chen Shang; Ishikawa, Kenji; Hori, Masaru; Honda, Unhi; Shibata, Tatsunari; Matsumura, Toshiya; Tokuda, Yutaka; Ueda, Hiroyuki; Uesugi, Tsutomu; Kachi, Tetsu

    2012-01-01

    Traps of energy levels E c -0.26 and E c -0.61 eV have been identified as as-grown traps in n-GaN grown by metal-organic chemical vapor deposition by using deep level transient spectroscopy of the Schottky contacts fabricated by resistive evaporation. The additional traps of E c -0.13 and E c -0.65 eV have been observed in samples whose contacts are deposited by electron-beam evaporation. An increase in concentration of the E c -0.13 and E c -0.65 eV traps when approaching the interface between the contact and the GaN film supports our argument that these traps are induced by electron-beam irradiation. Conversely, the depth profiles of as-grown traps show different profiles between several samples with increased or uniform distribution in the near surface below 50 nm. Similar profiles are observed in GaN grown on a sapphire substrate. We conclude that the growth process causes these large concentrations of as-grown traps in the near-surface region. It is speculated that the finishing step in the growth process should be an essential issue in the investigation of the surface state of GaN.

  14. AlGaN/GaN high electron mobility transistors with a low sub-threshold swing on free-standing GaN wafer

    Directory of Open Access Journals (Sweden)

    Xinke Liu

    2017-09-01

    Full Text Available This paper reported AlGaN/GaN high electron mobility transistors (HEMTs with low sub-threshold swing SS on free-standing GaN wafer. High quality AlGaN/GaN epi-layer has been grown by metal-organic chemical vapor deposition (MOCVD on free-standing GaN, small full-width hall maximum (FWHM of 42.9 arcsec for (0002 GaN XRD peaks and ultralow dislocation density (∼104-105 cm-2 were obtained. Due to these extremely high quality material properties, the fabricated AlGaN/GaN HEMTs achieve a low SS (∼60 mV/decade, low hysteresis of 54 mV, and high peak electron mobility μeff of ∼1456 cm2V-1s-1. Systematic study of materials properties and device characteristics exhibits that GaN-on-GaN AlGaN/GaN HEMTs are promising candidate for next generation high power device applications.

  15. Free-standing GaN grating couplers and rib waveguide for planar photonics at telecommunication wavelength

    Science.gov (United States)

    Liu, Qifa; Wang, Wei

    2018-01-01

    Gallium Nitride (GaN) free-standing planar photonic device at telecommunication wavelength based on GaN-on-silicon platform was presented. The free-standing structure was realized by particular double-side fabrication process, which combining GaN front patterning, Si substrate back releasing and GaN slab etching. The actual device parameters were identified via the physical characterizations employing scanning electron microscope (SEM), atomic force microscope (AFM) and reflectance spectra testing. High coupling efficiency and good light confinement properties of the gratings and rib waveguide at telecommunication wavelength range were verified by finite element method (FEM) simulation. This work illustrates the potential of new GaN photonic structure which will enable new functions for planar photonics in communication and sensing applications, and is favorable for the realization of integrated optical circuit.

  16. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  17. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  18. Nanoporous distributed Bragg reflectors on free-standing nonpolar m-plane GaN

    Science.gov (United States)

    Mishkat-Ul-Masabih, Saadat; Luk, Ting Shan; Rishinaramangalam, Ashwin; Monavarian, Morteza; Nami, Mohsen; Feezell, Daniel

    2018-01-01

    We report the fabrication of m-plane nanoporous distributed Bragg reflectors (DBRs) on free-standing GaN substrates. The DBRs consist of 15 pairs of alternating undoped and highly doped n-type ([Si] = ˜3.7 × 1019 cm-3) GaN. Electrochemical (EC) etching was performed to convert the highly doped regions into a porous material, consequently reducing the effective refractive index of the layers. We demonstrate a DBR with peak reflectance greater than 98% at 450 nm with a stopband width of ˜72 nm. The polarization ratio of an incident polarized light source remains identical after reflection from the DBR, verifying that there is no drop in the polarization ratio due to the interfaces between the porous layers. We also quantify the porosity under various EC bias conditions for layers with different doping concentrations. The bias voltage controls the average pore diameter, while the pore density is primarily determined by the doping concentration. The results show that nanoporous DBRs on nonpolar free-standing GaN are promising candidates for high-reflectance, lattice-matched DBR mirrors for GaN-based resonant cavity devices.

  19. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  20. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  1. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  2. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  3. Design and fabrication of single-crystal GaN nano-bridge on homogeneous substrate for nanoindentation

    Science.gov (United States)

    Hung, Shang-Chao

    2014-12-01

    This study reports a simple method to design and fabricate a freestanding GaN nano-bridge over a homogeneous short column as supporting leg. Test samples were fabricated from MOCVD-grown single-crystal GaN films over sapphire substrate using a FIB milling to leave freestanding short spans. We also investigated the nanoindentation characteristics and the corresponding nanoscopic mechanism of the GaN nano-bridge and its short column with a conical indenter inside transmission electron microscopy. The stress-strain mechanical properties and Young's modulus have also been examined and calculated as 108 GPa ± 4.8 % by the strain energy method. The significant slope switch of the L- D curve corresponds to the transition from the single-point bending indentation to the surface stretching indentation and has been interpreted with the evolution of TEM images. This freestanding fabrication and test have key advantages to characterize nanoscale behavior of one-dimensional bridge structure and greater ease of sample preparation over other micro-fabrication techniques.

  4. Influence of Si-doping on heteroepitaxially grown a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Bastek, Barbara; Noltemeyer, Martin; Hempel, Thomas; Rohrbeck, Antje; Witte, Hartmut; Veit, Peter; Blaesing, Juergen; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-Universitaet Magdeburg, FNW/IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2011-07-01

    Si-doped a-plane GaN samples with nominal doping levels up to 10{sup 20} cm{sup -3} were grown on r-plane sapphire by metal organic vapor phase epitaxy. Silane flow rates higher than 59 nmol/min lead to three dimensional grown crystallites as revealed by scanning electron microscopy. High resolution X-ray diffraction, photoluminescence and cathodoluminescence suggest considerably reduced defect densities in the large micrometer-sized GaN crystallites. Especially, transmission electron microscopy images verify a very low density of basal plane stacking faults less than 10{sup 4} cm{sup -1} in these crystallites consisting of heteroepitaxially grown a-plane GaN. In our presentation the influence of the Si doping on the basal plane stacking faults will be discussed.

  5. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  6. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  7. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  8. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  10. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  11. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  12. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  13. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  14. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  15. Magnetic resonance studies of the Mg acceptor in thick free-standing and thin-film GaN

    Science.gov (United States)

    Zvanut, Mary Ellen

    Mg, the only effective p-type dopant for the nitrides, substitutes for Ga and forms an acceptor with a defect level of about 0.16 eV. The magnetic resonance of such a center should be highly anisotropic, yet early work employing both optically detected magnetic resonance (ODMR) and electron paramagnetic resonance (EPR) spectroscopies revealed a defect with a nearly isotropic g-tensor. The results were attributed to crystal fields caused by compensation and/or strain typical of the heteroepitaxially grown films. The theory was supported by observation of the expected highly anisotropic ODMR signature in homoepitaxially grown films in which dislocation-induced non-uniform strain and compensation are reduced. The talk will review EPR measurements of thin films and describe new work which takes advantage of the recently available thick free-standing GaN:Mg substrates grown by hydride vapor phase epitaxy (HVPE) and high nitrogen pressure solution growth (HNPS). Interestingly, the films and HVPE substrates exhibit characteristically different types of EPR signals, and no EPR response could be induced in the HNPS substrates, with or without illumination. In the heteroepitaxial films, a curious angular dependent line-shape is observed in addition to the nearly isotropic g-tensor characteristic of the Mg-related acceptor. On the other hand, the free-standing HVPE crystals reveal a clear signature of a highly anisotropic shallow acceptor center. Comparison with SIMS measurements implies a direct relation to the Mg impurity, and frequency-dependent EPR studies demonstrate the influence of the anisotropic crystal fields. Overall, the measurements of the thick free-standing crystals show that the Mg acceptor is strongly affected by the local environment. The ODMR was performed by Evan Glaser, NRL and the free-standing Mg-doped HVPE crystals were grown by Jacob Leach, Kyma Tech. The work at UAB is supported by NSF Grant No. DMR-1308446.

  16. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  17. Low dislocation density InAlN/AlN/GaN heterostructures grown on GaN substrates and the effects on gate leakage characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Kotani, Junji, E-mail: kotani.junji-01@jp.fujitsu.com; Yamada, Atsushi; Ishiguro, Tetsuro; Tomabechi, Shuichi; Nakamura, Norikazu [Fujitsu Laboratories Ltd., 10-1 Morinosato-Wakamiya, Atsugi, Kanagawa 243-0197 (Japan)

    2016-04-11

    This paper reports on the electrical characterization of Ni/Au Schottky diodes fabricated on InAlN high-electron-mobility transistor (HEMT) structures grown on low dislocation density free-standing GaN substrates. InAlN HEMT structures were grown on sapphire and GaN substrates by metal-organic vapor phase epitaxy, and the effects of threading dislocation density on the leakage characteristics of Ni/Au Schottky diodes were investigated. Threading dislocation densities were determined to be 1.8 × 10{sup 4 }cm{sup −2} and 1.2 × 10{sup 9 }cm{sup −2} by the cathodoluminescence measurement for the HEMT structures grown on GaN and sapphire substrates, respectively. Leakage characteristics of Ni/Au Schottky diodes were compared between the two samples, and a reduction of the leakage current of about three to four orders of magnitude was observed in the forward bias region. For the high reverse bias region, however, no significant improvement was confirmed. We believe that the leakage current in the low bias region is governed by a dislocation-related Frenkel–Poole emission, and the leakage current in the high reverse bias region originates from field emission due to the large internal electric field in the InAlN barrier layer. Our results demonstrated that the reduction of dislocation density is effective in reducing leakage current in the low bias region. At the same time, it was also revealed that another approach will be needed, for instance, band modulation by impurity doping and insertion of insulating layers beneath the gate electrodes for a substantial reduction of the gate leakage current.

  18. Defect attributed variations of the photoconductivity and photoluminescence in the HVPE and MOCVD as-grown and irradiated GaN structures

    International Nuclear Information System (INIS)

    Gaubas, E.; Pobedinskas, P.; Vaitkus, J.; Uleckas, A.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.; Aujol, E.; Beaumont, B.; Faurie, J.-P.; Gibart, P.

    2005-01-01

    The effect of native and radiation induced defects on the photoconductivity transients and photoluminescence spectra have been examined in GaN epitaxial layers of 2.5 and 12μm thickness grown on bulk n-GaN/sapphire substrates by metal-organic chemical vapor deposition (MOCVD). For comparison, free-standing GaN as-grown samples of 500μm thickness, fabricated by hydride vapor phase epitaxy (HVPE), were investigated. Manifestation of defects induced by 10-keV X-ray irradiation with the dose of 600Mrad and 100-keV neutrons with the fluences of 5x10 14 and 10 16 cm -2 as well as of 24GeV/c protons with fluence 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the native and radiation defects density. Synchronous decrease of the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively, with density of radiation-induced defects is observed. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime in the photoconductivity transients, which is due to excess-carrier multi-trapping. The decay fits the stretched exponent approximation exp[-(t/τ) α ] with the different factors α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The fracton dimension d s of disordered structure changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, and it implies the percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiations

  19. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  20. Microfabrication in free-standing gallium nitride using UV laser micromachining

    International Nuclear Information System (INIS)

    Gu, E.; Howard, H.; Conneely, A.; O'Connor, G.M.; Illy, E.K.; Knowles, M.R.H.; Edwards, P.R.; Martin, R.W.; Watson, I.M.; Dawson, M.D.

    2006-01-01

    Gallium nitride (GaN) and related alloys are important semiconductor materials for fabricating novel photonic devices such as ultraviolet (UV) light-emitting diodes (LEDs) and vertical cavity surface-emitting lasers (VCSELs). Recent technical advances have made free-standing GaN substrates available and affordable. However, these materials are strongly resistant to wet chemical etching and also, low etch rates restrict the use of dry etching. Thus, to develop alternative high-resolution processing for these materials is increasingly important. In this paper, we report the fabrication of microstructures in free-standing GaN using pulsed UV lasers. An effective method was first developed to remove the re-deposited materials due to the laser machining. In order to achieve controllable machining and high resolution in GaN, machining parameters were carefully optimised. Under the optimised conditions, precision features such as holes (through holes, blind or tapered holes) on a tens of micrometer length scale have been machined. To fabricate micro-trenches in GaN with vertical sidewalls and a flat bottom, different process strategies of laser machining were tested and optimised. Using this technique, we have successfully fabricated high-quality micro-trenches in free-standing GaN with various widths and depths. The approach combining UV laser micromachining and other processes is also discussed. Our results demonstrate that the pulsed UV laser is a powerful tool for fabricating precision microstructures and devices in gallium nitride

  1. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  2. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  3. Stress engineering in GaN structures grown on Si(111) substrates by SiN masking layer application

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wrocaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Drzik, Milan [International Laser Center, Ilkovicova 3, 841-04 Bratislava 4 (Slovakia)

    2015-07-15

    GaN layers without and with an in-situ SiN mask were grown by using metal organic vapor phase epitaxy for three different approaches used in GaN on silicon(111) growth, and the physical and optical properties of the GaN layers were studied. For each approach applied, GaN layers of 1.4 μm total thickness were grown, using silan SiH{sub 4} as Si source in order to grow Si{sub x}N{sub x} masking layer. The optical micrographs, scanning electron microscope images, and atomic force microscope images of the grown samples revealed cracks for samples without SiN mask, and micropits, which were characteristic for the samples grown with SiN mask. In situ reflectance signal traces were studied showing a decrease of layer coalescence time and higher degree of 3D growth mode for samples with SiN masking layer. Stress measurements were conducted by two methods—by recording micro-Raman spectra and ex-situ curvature radius measurement—additionally PLs spectra were obtained revealing blueshift of PL peak positions with increasing stress. The authors have shown that a SiN mask significantly improves physical and optical properties of GaN multilayer systems reducing stress in comparison to samples grown applying the same approaches but without SiN masking layer.

  4. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  6. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  7. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  8. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  9. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  10. Analysis of the AlGaN/GaN vertical bulk current on Si, sapphire, and free-standing GaN substrates

    International Nuclear Information System (INIS)

    Pérez-Tomás, A.; Fontserè, A.; Llobet, J.; Placidi, M.; Rennesson, S.; Chenot, S.; Moreno, J. C.; Cordier, Y.; Baron, N.

    2013-01-01

    The vertical bulk (drain-bulk) current (I db ) properties of analogous AlGaN/GaN hetero-structures molecular beam epitaxially grown on silicon, sapphire, and free-standing GaN (FS-GaN) have been evaluated in this paper. The experimental I db (25–300 °C) have been well reproduced with physical models based on a combination of Poole-Frenkel (trap assisted) and hopping (resistive) conduction mechanisms. The thermal activation energies (E a ), the (soft or destructive) vertical breakdown voltage (V B ), and the effect of inverting the drain-bulk polarity have also been comparatively investigated. GaN-on-FS-GaN appears to adhere to the resistive mechanism (E a = 0.35 eV at T = 25–300 °C; V B = 840 V), GaN-on-sapphire follows the trap assisted mechanism (E a = 2.5 eV at T > 265 °C; V B > 1100 V), and the GaN-on-Si is well reproduced with a combination of the two mechanisms (E a = 0.35 eV at T > 150 °C; V B = 420 V). Finally, the relationship between the vertical bulk current and the lateral AlGaN/GaN transistor leakage current is explored.

  11. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  12. Carrier confinement effects of InxGa1-xN/GaN multi quantum disks with GaN surface barriers grown in GaN nanorods

    Science.gov (United States)

    Park, Youngsin; Chan, Christopher C. S.; Taylor, Robert A.; Kim, Nammee; Jo, Yongcheol; Lee, Seung W.; Yang, Woochul; Im, Hyunsik

    2018-04-01

    Structural and optical properties of InxGa1-xN/GaN multi quantum disks (QDisks) grown on GaN nanorods by molecular beam epitaxy have been investigated by transmission electron microscopy and micro-photoluminescence (PL) spectroscopy. Two types of InGaN QDisks were grown: a pseudo-3D confined InGaN pillar-type QDisks embedded in GaN nanorods; and QDisks in flanged cone type GaN nanorods. The PL emission peak and excitation dependent PL behavior of the pillar-type Qdisks differ greatly from those of the flanged cone type QDisks. Time resolved PL was carried out to probe the differences in charge carrier dynamics. The results suggest that by constraining the formation of InGaN QDisks within the centre of the nanorod, carriers are restricted from migrating to the surface, decreasing the surface recombination at high carrier densities.

  13. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  14. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  15. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  16. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. White emission from non-planar InGaN/GaN MQW LEDs grown on GaN template with truncated hexagonal pyramids.

    Science.gov (United States)

    Lee, Ming-Lun; Yeh, Yu-Hsiang; Tu, Shang-Ju; Chen, P C; Lai, Wei-Chih; Sheu, Jinn-Kong

    2015-04-06

    Non-planar InGaN/GaN multiple quantum well (MQW) structures are grown on a GaN template with truncated hexagonal pyramids (THPs) featuring c-plane and r-plane surfaces. The THP array is formed by the regrowth of the GaN layer on a selective-area Si-implanted GaN template. Transmission electron microscopy shows that the InGaN/GaN epitaxial layers regrown on the THPs exhibit different growth rates and indium compositions of the InGaN layer between the c-plane and r-plane surfaces. Consequently, InGaN/GaN MQW light-emitting diodes grown on the GaN THP array emit multiple wavelengths approaching near white light.

  20. Correlation between dislocations and leakage current of p-n diodes on a free-standing GaN substrate

    Science.gov (United States)

    Usami, Shigeyoshi; Ando, Yuto; Tanaka, Atsushi; Nagamatsu, Kentaro; Deki, Manato; Kushimoto, Maki; Nitta, Shugo; Honda, Yoshio; Amano, Hiroshi; Sugawara, Yoshihiro; Yao, Yong-Zhao; Ishikawa, Yukari

    2018-04-01

    Dislocations that cause a reverse leakage current in vertical p-n diodes on a GaN free-standing substrate were investigated. Under a high reverse bias, dot-like leakage spots were observed using an emission microscope. Subsequent cathodoluminescence (CL) observations revealed that the leakage spots coincided with part of the CL dark spots, indicating that some types of dislocation cause reverse leakage. When etch pits were formed on the dislocations by KOH etching, three sizes of etch pits were obtained (large, medium, and small). Among these etch pits, only the medium pits coincided with leakage spots. Additionally, transmission electron microscopy observations revealed that pure screw dislocations are present under the leakage spots. The results revealed that 1c pure screw dislocations are related to the reverse leakage in vertical p-n diodes.

  1. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  2. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  3. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  4. Photoluminescence and photoluminescence excitation studies in 80 MeV Ni ion irradiated MOCVD grown GaN

    Energy Technology Data Exchange (ETDEWEB)

    Devaraju, G. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Pathak, A.P., E-mail: appsp@uohyd.ernet.in [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Srinivasa Rao, N.; Saikiran, V. [School of Physics, University of Hyderabad, Central University P.O., Hyderabad 500 046 (India); Enrichi, Francesco [Coordinamento Interuniversitario Veneto per le Nanotecnologie (CIVEN), via delle Industrie 5, Marghera, I-30175Venice (Italy); Trave, Enrico [Dipartimento di Chimica Fisica, Universita Ca' Foscari Venezia, Dorsoduro 2137, I-30123 Venice (Italy)

    2011-09-01

    Highlights: {yields} MOCVD grown GaN samples are irradiated with 80 MeV Ni ions at room temperature. {yields} PL and PLE studies have been carried out for band to band, BL and YL emissions. {yields} Ni ions irradiated GaN shows BL band at 450 nm besides YL band. {yields} Radiation annealed Ga vacancies have quenching effect on YL intensity. {yields} We speculated that BL and YL are associated with N and Ga vacancies, respectively. - Abstract: We report damage creation and annihilation under energetic ion bombardment at a fixed fluence. MOCVD grown GaN thin films were irradiated with 80 MeV Ni ions at a fluence of 1 x 10{sup 13} ions/cm{sup 2}. Irradiated GaN thin films were subjected to rapid thermal annealing for 60 s in nitrogen atmosphere to anneal out the defects. The effects of defects on luminescence were explored with photoluminescence measurements. Room temperature photoluminescence spectra from pristine sample revealed presence of band to band transition besides unwanted yellow luminescence. Irradiated GaN does not show any band to band transition but there is a strong peak at 450 nm which is attributed to ion induced defect blue luminescence. However, irradiated and subsequently annealed samples show improved band to band transitions and a significant decrease in yellow luminescence intensity due to annihilation of defects which were created during irradiation. Irradiation induced effects on yellow and blue emissions are discussed.

  5. Investigation of GaN LED with Be-implanted Mg-doped GaN layer

    International Nuclear Information System (INIS)

    Huang, H.-W.; Kao, C.C.; Chu, J.T.; Kuo, H.C.; Wang, S.C.; Yu, C.C.; Lin, C.F.

    2004-01-01

    We report the electrical and optical characteristics of GaN light emitting diode (LED) with beryllium (Be) implanted Mg-doped GaN layer. The p-type layer of Be-implanted GaN LED showed a higher hole carrier concentration of 2.3 x 10 18 cm -3 and low specific contact resistance value of 2.0 x 10 -4 Ωcm 2 than as-grown p-GaN LED samples without Be-implantation. The Be-implanted GaN LEDs with InGaN/GaN MQW show slightly lower light output (about 10%) than the as-grown GaN LEDs, caused by the high RTA temperature annealing process

  6. Optical excitation of Er centers in GaN epilayers grown by MOCVD

    Science.gov (United States)

    George, D. K.; Hawkins, M. D.; Jiang, H. X.; Lin, J. Y.; Zavada, J. M.; Vinh, N. Q.

    2016-02-01

    In this paper we present results of photoluminescence (PL), photoluminescence excitation (PLE), and time resolved PL spectroscopy of the 4I13/2 → 4I15/2 transition in Er optical centers in GaN epilayers grown by metal-organic chemical vapor deposition. Under resonance excitation via the higher-lying inner 4f shell transitions and band-to-band excitation of the semiconductor host, the PL and PLE spectra reveal an existence of two types of Er optical centers from isolated and the defect-related Er centers in GaN epilayers. These centers have different PL spectra, local defect environments, decay dynamics, and excitation cross-sections. The isolated Er optical center, which can be excited by either excitation mechanism, has the same decay dynamics, but possesses a much higher cross-section under band-to-band excitation. In contrast, the defect-related Er center can only be observed through band-to-band excitation but has the largest crosssection. Our results indicate pathways for efficient optical excitation of Er-doped GaN semiconductors.

  7. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  8. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  9. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  10. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  11. Conductivity based on selective etch for GaN devices and applications thereof

    Science.gov (United States)

    Zhang, Yu; Sun, Qian; Han, Jung

    2015-12-08

    This invention relates to methods of generating NP gallium nitride (GaN) across large areas (>1 cm.sup.2) with controlled pore diameters, pore density, and porosity. Also disclosed are methods of generating novel optoelectronic devices based on porous GaN. Additionally a layer transfer scheme to separate and create free-standing crystalline GaN thin layers is disclosed that enables a new device manufacturing paradigm involving substrate recycling. Other disclosed embodiments of this invention relate to fabrication of GaN based nanocrystals and the use of NP GaN electrodes for electrolysis, water splitting, or photosynthetic process applications.

  12. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  13. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  14. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  15. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  16. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Ploch, Simon [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Hoffmann, Veit; Knauer, Arne; Weyers, Markus [Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany); Kneissl, Michael [Institute of Solid State Physics, Technische Universitaet Berlin, Hardenbergstr. 36, 10623 Berlin (Germany); Ferdinand-Braun-Institut, Leibniz Institut fuer Hoechstfrequenztechnik, Gustav-Kirchhoff-Str. 4, 12489 Berlin (Germany)

    2011-03-15

    GaN layers on bulk m-plane, (11 anti 22), (10 anti 12) and (10 anti 11) GaN substrates were grown by metal organic vapor phase epitaxy. XRD rocking curves have a FWHM of less than 150'', indicating excellent crystalline quality. However in many cases surface morphology exhibits hillocks with a height of 1-2 {mu}m and a lateral extension of 50-200 {mu}m whereas a smooth surface would be desirable for optoelectronic devices. The influence of growth parameters on the surface morphology was studied. The goal was, to constrain the material redistribution, that is necessary to form large hillocks. This was achieved by lowering the adatom diffusion length by a reduction of temperature and an increased reactor pressure. In the case of the (10 anti 11) and (10 anti 12) semipolar planes a reduction of the adatom diffusion length leads to a reduction of hillock density, hillock size and a smoother surface between hillocks. However, the m-plane surface does not react to a reduction of adatom mobility. Even at 890 C and 400 mbar rectangular pyramids cover the surface. In contrast to the other planes, the (11 anti 22) becomes instable, when the adatom diffusion length is reduced. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Wafer bowing control of free-standing heteroepitaxial diamond (100) films grown on Ir(100) substrates via patterned nucleation growth

    International Nuclear Information System (INIS)

    Yoshikawa, Taro; Kodama, Hideyuki; Kono, Shozo; Suzuki, Kazuhiro; Sawabe, Atsuhito

    2015-01-01

    The potential of patterned nucleation growth (PNG) technique to control the wafer bowing of free-standing heteroepitaxial diamond films was investigated. The heteroepitaxial diamond (100) films were grown on an Ir(100) substrate via PNG technique with different patterns of nucleation regions (NRs), which were dot-arrays with 8 or 13 μm pitch aligned to < 100 > or < 110 > direction of the Ir(100) substrate. The wafer bows and the local stress distributions of the free-standing films were measured using a confocal micro-Raman spectrometer. For each NR pattern, the stress evolutions within the early stage of diamond growth were also studied together with a scanning electron microscopic observation of the coalescing diamond particles. These investigations revealed that the NR pattern, in terms of pitch and direction of dot-array, strongly affects the compressive stress on the nucleation side of the diamond film and dominantly contributes to the elastic deformation of the free-standing film. This indicates that the PNG technique with an appropriate NR pattern is a promising solution to fabricate free-standing heteroepitaxial diamond films with extremely small bows. - Highlights: • Wafer bowing control of free-standing heteroepitaxial diamond (100) films • Effect of patterned nucleation and growth (PNG) technique on wafer bowing reduction • Influence of nucleation region patterns of PNG on wafer bowing • Internal stress analysis of PNG films via confocal micro-Raman spectroscopy

  18. Photoluminescence studies of cubic phase GaN grown by molecular beam epitaxy on (001) silicon covered with SiC layer

    International Nuclear Information System (INIS)

    Godlewski, M.; Ivanov, V.Yu.; Bergman, J.P.; Monemar, B.; Barski, A.; Langer, R.

    1997-01-01

    In this work we evaluate optical properties of cubic phase GaN epilayers grown on top of (001) silicon substrate prepared by new process. Prior to the growth Si substrate was annealed at 1300-1400 o C in propane. The so-prepared substrate is covered within a thin (∼ 4 nm) SiC wafer, which allowed a successful growth of good morphological quality cubic phase GaN epilayers. The present results confirm recent suggestion on smaller ionization energies of acceptors in cubic phase GaN epilayers. (author)

  19. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  20. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  1. Structural and luminescence properties of GaN nanowires grown using cobalt phthalocyanine as catalyst

    Science.gov (United States)

    Yadav, Shivesh; Rodríguez-Fernández, Carlos; de Lima, Mauricio M.; Cantarero, Andres; Dhar, Subhabrata

    2015-12-01

    Catalyst free methods have usually been employed to avoid any catalyst induced contamination for the synthesis of GaN nanowires with better transport and optical properties. Here, we have used a catalytic route to grow GaN nanowires, which show good optical quality. Structural and luminescence properties of GaN nanowires grown by vapor-liquid-solid technique using cobalt phthalocyanine as catalyst are systematically investigated as a function of various growth parameters such as the growth temperature and III/V ratio. The study reveals that most of the nanowires, which are several tens of microns long, grow along [ 10 1 ¯ 0 ] direction. Interestingly, the average wire diameter has been found to decrease with the increase in III/V ratio. It has also been observed that in these samples, defect related broad luminescence features, which are often present in GaN, are completely suppressed. At all temperatures, photoluminescence spectrum is found to be dominated only by a band edge feature, which comprises of free and bound excitonic transitions. Our study furthermore reveals that the bound excitonic feature is associated with excitons trapped in certain deep level defects, which result from the deficiency of nitrogen during growth. This transition has a strong coupling with the localized vibrational modes of the defects.

  2. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  3. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  4. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  5. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  6. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  7. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  8. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  9. Study of electrical properties of single GaN nanowires grown by MOCVD with a Ti mask

    International Nuclear Information System (INIS)

    Vasiliev, A A; Mozharov, A M; Mukhin, I S; Rozhavskaya, M M; Lundin, V V

    2016-01-01

    We researched electrical characteristics of GaN nanowires (NWs) grown by MOCVD through solid titanium film. The technology of creating the ohmic contacts and MESFET structure on single NWs has been developed. The optimal annealing temperature of contacts has been found and conductivity structure, the free carrier concentration and mobility has been evaluated. (paper)

  10. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  11. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  12. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  13. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  14. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  15. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  16. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Probing defect states in polycrystalline GaN grown on Si(111) by sub-bandgap laser-excited scanning tunneling spectroscopy

    Science.gov (United States)

    Hsiao, F.-M.; Schnedler, M.; Portz, V.; Huang, Y.-C.; Huang, B.-C.; Shih, M.-C.; Chang, C.-W.; Tu, L.-W.; Eisele, H.; Dunin-Borkowski, R. E.; Ebert, Ph.; Chiu, Y.-P.

    2017-01-01

    We demonstrate the potential of sub-bandgap laser-excited cross-sectional scanning tunneling microscopy and spectroscopy to investigate the presence of defect states in semiconductors. The characterization method is illustrated on GaN layers grown on Si(111) substrates without intentional buffer layers. According to high-resolution transmission electron microscopy and cathodoluminescence spectroscopy, the GaN layers consist of nanoscale wurtzite and zincblende crystallites with varying crystal orientations and hence contain high defect state densities. In order to discriminate between band-to-band excitation and defect state excitations, we use sub-bandgap laser excitation. We probe a clear increase in the tunnel current at positive sample voltages during sub-bandgap laser illumination for the GaN layer with high defect density, but no effect is found for high quality GaN epitaxial layers. This demonstrates the excitation of free charge carriers at defect states. Thus, sub-bandgap laser-excited scanning tunneling spectroscopy is a powerful complimentary characterization tool for defect states.

  18. Submicron beam X-ray diffraction of nanoheteroepitaxily grown GaN: Experimental challenges and calibration procedures

    Energy Technology Data Exchange (ETDEWEB)

    Bonanno, P.L., E-mail: PeterBonanno@gatech.ed [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Gautier, S. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, New York 14853 (United States); Cai, Z.-H. [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States); Goh, W.H. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France); Martin, J. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Martinez, A. [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Moudakir, T. [Laboratoire Materiaux Optiques, Photonique et Micro-Nano Systemes, UMR CNRS 7132, Universite de Metz et SUPELEC, 2 rue E. Belin, 57070 Metz (France); Maloufi, N. [Laboratoire d' Etude des Textures et Application aux Materiaux, UMR CNRS 7078, Ile du Saulcy, 57045 Metz Cedex 1 (France); Assouar, M.B. [Laboratoire de Physique des Milieux Ionises et Applications, Nancy University, CNRS, BP 239, F-54506 Vandoeuvre-les-Nancy Cedex (France); Ramdane, A.; Gratiet, L. Le [Laboratoire de Photonique et de Nanostructures, UPR CNRS 20, Route de Nozay, 91460 Marcoussis (France); Ougazzaden, A. [Georgia Institute of Technology/GTL, UMI 2958 Georgia Tech-CNRS, 57070 Metz (France)

    2010-02-15

    Highly relaxed GaN nanodots and submicron ridges have been selectively grown in the NSAG regime using MOVPE on lattice mismatched 6H-SiC and AlN substrates. 2D real space and 3D reciprocal space mapping was performed with a CCD detector using 10.4 keV synchrotron X-ray radiation at the 2-ID-D micro-diffraction beamline at Advanced Photon Source (APS). Calibration procedures have been developed to overcome the unique challenges of analyzing NSAG structures grown on highly mismatched substrates. We studied crystallographic planar bending on the submicron scale and found its correlation with strain relaxation in the NSAG ridges.

  19. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  20. Optical and magnetic resonance studies of Mg-doped GaN homoepitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Glaser, E.R.; Murthy, M.; Freitas, J.A.; Storm, D.F.; Zhou, L.; Smith, D.J.

    2007-01-01

    Low-temperature photoluminescence (PL) and optically detected magnetic resonance (ODMR) at 24 GHz have been performed on a series of MBE-grown Mg-doped (10 17 -10 20 cm -3 ) GaN homoepitaxial layers. High-resolution PL at 5 K revealed intense bandedge emission with narrow linewidths (0.2-0.4 meV) attributed to annihilation of excitons bound to shallow Mg acceptors. In contrast to many previous reports for GaN heteroepitaxial layers doped with [Mg]>3x10 18 cm -3 , the only visible PL observed was strong shallow donor-shallow acceptor recombination with zero phonon line at 3.27 eV. Most notably, ODMR on this emission from a sample doped with [Mg] of 1x10 17 cm -3 revealed the first evidence for the highly anisotropic g-tensor (g parallel ∼2.19, g perpendicular ∼0) expected for Mg shallow acceptors in wurtzite GaN. This result is attributed to the much reduced dislocation densities (≤5x10 6 cm -3 ) and Mg impurity concentrations compared to those characteristic of the more conventional investigated Mg-doped GaN heteroepitaxial layers

  1. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  2. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  3. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  4. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  5. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  6. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  7. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  8. Optical properties of Mg doped p-type GaN nanowires

    Science.gov (United States)

    Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.

    2015-06-01

    Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.

  9. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Effects of GaN capping on the structural and the optical properties of InN nanostructures grown by using MOCVD

    International Nuclear Information System (INIS)

    Sun, Yuanping; Cho, Yonghoon; Wang, Hui; Wang, Lili; Zhang, Shuming; Yang, Hui

    2010-01-01

    InN nanostructures with and without GaN capping layers were grown by using metal-organic chemical vapor deposition. Morphological, structural, and optical properties were systematically studied by using atomic force microscopy, X-ray diffraction (XRD) and temperature-dependent photoluminescence (PL). XRD results show that an InGaN structure is formed for the sample with a GaN capping layer, which will reduce the quality and the IR PL emission of the InN. The lower emission peak at ∼0.7 eV was theoretically fitted and assigned as the band edge emission of InN. Temperature-dependent PL shows a good quantum efficiency for the sample without a GaN capping layers; this corresponds to a lower density of dislocations and a small activation energy.

  11. Spatially and spectrally resolved photoluminescence of InGaN MQWs grown on highly Si doped a-plane GaN buffer

    Energy Technology Data Exchange (ETDEWEB)

    Thunert, Martin; Wieneke, Matthias; Dempewolf, Anja; Bertram, Frank; Dadgar, Armin; Krost, Alois; Christen, Juergen [Institute of Experimental Physics, Otto-von-Guericke-University Magdeburg (Germany)

    2011-07-01

    A set of InGaN multi quantum well (MQW) samples grown by MOVPE on highly Si doped a-plane GaN on r-plane sapphire templates has been investigated using spatially resolved photoluminescence spectroscopy ({mu}-PL). The Si doping level of nominal about 10{sup 20} cm{sup -3} leads to three dimensionally grown crystallites mostly terminated by m-facets. The MQW thickness has been systematically varied from nominally 2.1 to 4.2 nm, as well as the InGaN growth temperature, which was varied from 760 C to 700 C. The growth of a-plane GaN based devices leads to a non-polar growth direction avoiding the polarization field affected Quantum-Confined-Stark-Effect. Spatially resolved PL studies show for all samples low near band edge (NBE) GaN emission intensity over the whole area under investigation accompanied by highly intense InGaN MQW emission for single crystallites. The MQW luminescence shows a systematic blueshift with increasing InGaN growth temperature due to lower In incorporation as well as a systematic redshift with increasing MQW thickness. Excitation power dependent spectra at 4 K as well as temperature dependent PL spectra will be presented.

  12. Effect of Si doping on the thermal conductivity of bulk GaN at elevated temperatures – theory and experiment

    Directory of Open Access Journals (Sweden)

    P. P. Paskov

    2017-09-01

    Full Text Available The effect of Si doping on the thermal conductivity of bulk GaN was studied both theoretically and experimentally. The thermal conductivity of samples grown by Hydride Phase Vapor Epitaxy (HVPE with Si concentration ranging from 1.6×1016 to 7×1018 cm-3 was measured at room temperature and above using the 3ω method. The room temperature thermal conductivity was found to decrease with increasing Si concentration. The highest value of 245±5 W/m.K measured for the undoped sample was consistent with the previously reported data for free-standing HVPE grown GaN. In all samples, the thermal conductivity decreased with increasing temperature. In our previous study, we found that the slope of the temperature dependence of the thermal conductivity gradually decreased with increasing Si doping. Additionally, at temperatures above 350 K the thermal conductivity in the highest doped sample (7×1018 cm-3 was higher than that of lower doped samples. In this work, a modified Callaway model adopted for n-type GaN at high temperatures was developed in order to explain such unusual behavior. The experimental data was analyzed with examination of the contributions of all relevant phonon scattering processes. A reasonable match between the measured and theoretically predicted thermal conductivity was obtained. It was found that in n-type GaN with low dislocation densities the phonon-free-electron scattering becomes an important resistive process at higher temperatures. At the highest free electron concentrations, the electronic thermal conductivity was suggested to play a role in addition to the lattice thermal conductivity and compete with the effect of the phonon-point-defect and phonon-free-electron scattering.

  13. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  14. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  15. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. The nanorod approach: GaN NanoLEDs for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Waag, Andreas; Wang, Xue; Fuendling, Soenke; Ledig, Johannes; Erenburg, Milena; Neumann, Richard; Al Suleiman, Mohamed; Merzsch, Stephan; Wei, Jiandong; Li, Shunfeng; Wehmann, Hergo H. [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Bergbauer, Werner; Strassburg, Martin [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Trampert, Achim; Jahn, Uwe; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2011-07-15

    Vertically aligned GaN nanorods have recently obtained substantial interest as a new approach to solid state lighting. In comparison to conventional planar LEDs, 3D NanoLEDs are expected to offer substantial advantageous: very low defect density, quasi free-standing, no strain due to mismatch of thermal expansion coefficients, no substrate bending even when grown on large area silicon. Core-shell strategies are another very interesting aspect. The active LED surface per wafer could be increased by more than one order of magnitude. However, most of these advantages have not yet been proven in real devices, which would include a quantitative comparison of light emission. Related to the 3D character, there are also technological risks. In the following we will discuss the main developments which have paved the way up to this point, including a detailed discussion of possible benefits and risks connected with the NanoLED approach (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  18. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  19. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  20. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  1. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  2. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  3. A comparative study on MOVPE InN grown on Ga- and N-polarity bulk GaN

    International Nuclear Information System (INIS)

    Wang, W.J.; Miwa, H.; Hashimoto, A.; Yamamoto, A.

    2006-01-01

    The influence of substrate polarity on the growth of InN film by MOVPE was investigated using bulk GaN as a substrate. Single-crystalline In- and N-polarity InN films were obtained on Ga- and N-polarity GaN substrate, respectively. Significant difference of the morphologies between the In- and N-polarity InN films was found. For the In-polarity InN film, the morphology was similar to that grown on sapphire substrate. The film surface was consisted of grains with small facets. In contrast, for the N-polarity InN film, the surface was consisted of large hexagonal shape crystal grains with flat surface. The grain size was about 2 μm in diameter on the average, and two-dimensional growth was enhanced obviously for each crystal grain. The influence of the growth temperature on the morphology, polarity, and optical property was also investigated. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  5. Defect structure in m-plane GaN grown on LiAlO{sub 2} using metalorganic and hydride vapour phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Richter, Eberhard; Knauer, Arne; Brunner, Frank; Weyers, Markus [FBH Berlin (Germany); Mogliatenko, Anna; Neumann, Wolfgang [AG Kristallographie, Institut fuer Physik, HU Berlin (Germany); Kneissl, Michael [FBH Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2008-07-01

    The FWHM of symmetric (10 anti 10) XRD rocking curves of m-plane GaN grown on LiAlO{sub 2} is anisotropic. By investigating the microstructure with transmission electron microscopy (TEM) we identified basal plane stacking faults (BSF) and stacking mismatch boundaries (SMB) in the GaN layers. BSFs are aligned in-plane along the a-direction and therefore cause an anisotropic broadening of the FWHM{sub (10 anti 10)} with incidence along [0001]. SMBs have no preferential direction and hence result in an isotropic broadening of the FWHM{sub (10 anti 10)}. We observed that this anisotropy can be reduced by lowering the MOVPE growth temperature. We propose that the lowering of the growth temperature leads to a reduction of BSFs which is accompanied by an increase in SMBs. The MOVPE grown layers were used as templates for the growth of 200 {mu}m thick m-plane GaN layers by HVPE. During HVPE growth the LiAlO{sub 2} substrate thermally decomposed and peeled off after cool-down. On the surface a network of cracks not being aligned to crystallographic directions was found. The layers were not transparent probably due to metallic Ga inclusions and exhibited an asymmetric bow according to the lattice anisotropy of the (100) LiAlO{sub 2} surface.

  6. GaN への Mg イオン注入によるp 型層形成の検討

    OpenAIRE

    西城, 祐亮

    2016-01-01

    A selective area doping technology is required for making high performance GaN devices. Usually,ion implantation is used as a method of the selective area doping, but formation of the p-type conductive layer by ion implantation has been difficult for GaN. Mg-ion implanted layers in n--GaN on a high quality free-standing GaN substrate show p-type conduction after high temperature annealing at 1230°C,but Implanted layer consisted of uniform p-type crystalline area and localized crystal defect...

  7. Direct Evidence of Mg Incorporation Pathway in Vapor-Liquid-Solid Grown p-type Nonpolar GaN Nanowires

    OpenAIRE

    Patsha, Avinash; Amirthapandian, S.; Pandian, Ramanathaswamy; Bera, S.; Bhattacharya, Anirban; Dhara, Sandip

    2015-01-01

    Doping of III-nitride based compound semiconductor nanowires is still a challenging issue to have a control over the dopant distribution in precise locations of the nanowire optoelectronic devices. Knowledge of the dopant incorporation and its pathways in nanowires for such devices is limited by the growth methods. We report the direct evidence of incorporation pathway for Mg dopants in p-type nonpolar GaN nanowires grown via vapour-liquid-solid (VLS) method in a chemical vapour deposition te...

  8. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Electronic and Optical Properties of Two-Dimensional GaN from First-Principles.

    Science.gov (United States)

    Sanders, Nocona; Bayerl, Dylan; Shi, Guangsha; Mengle, Kelsey A; Kioupakis, Emmanouil

    2017-12-13

    Gallium nitride (GaN) is an important commercial semiconductor for solid-state lighting applications. Atomically thin GaN, a recently synthesized two-dimensional material, is of particular interest because the extreme quantum confinement enables additional control of its light-emitting properties. We performed first-principles calculations based on density functional and many-body perturbation theory to investigate the electronic, optical, and excitonic properties of monolayer and bilayer two-dimensional (2D) GaN as a function of strain. Our results demonstrate that light emission from monolayer 2D GaN is blueshifted into the deep ultraviolet range, which is promising for sterilization and water-purification applications. Light emission from bilayer 2D GaN occurs at a similar wavelength to its bulk counterpart due to the cancellation of the effect of quantum confinement on the optical gap by the quantum-confined Stark shift. Polarized light emission at room temperature is possible via uniaxial in-plane strain, which is desirable for energy-efficient display applications. We compare the electronic and optical properties of freestanding two-dimensional GaN to atomically thin GaN wells embedded within AlN barriers in order to understand how the functional properties are influenced by the presence of barriers. Our results provide microscopic understanding of the electronic and optical characteristics of GaN at the few-layer regime.

  11. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  12. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  13. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  14. Electrical properties of MBE grown Si{sub 3}N{sub 4}-cubic GaN MIS structures

    Energy Technology Data Exchange (ETDEWEB)

    Zado, A.; Lischka, K.; As, D.J. [University of Paderborn, Faculty of Science, Department of Physics, Warburger Str. 100, 33098 Paderborn (Germany)

    2012-03-15

    In this work we report on the electrical characterization of non-polar cubic GaN metal-insulator-semiconductor (MIS) structures. Si{sub 3}N{sub 4} layers were deposited in-situ on top of cubic GaN grown on 3C-SiC (001) substrates. The electric characteristics of the MIS structures are measured by capacitance and admittance spectroscopy techniques. From the hysteresis in the capacitance-voltage curves and the peak height of the conductance G{sub p} -{omega} frequency curves the interface state densities are calculated. We find interface traps about 0.3 eV below the conduction band. The density of these traps is D{sub it} = 2.5x10{sup 11} cm{sup -2}eV{sup -1}. This is one order of magnitude lower than in MIS structures with a Si{sub 3}N{sub 4} insulator produced by plasma enhanced vapour deposition and two orders of magnitude lower than in MIS structures on c-GaN with SiO{sub 2} as insulator (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  16. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed; Najar, Adel; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation

  17. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  18. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  19. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. High surface hole concentration p-type GaN using Mg implantation

    International Nuclear Information System (INIS)

    Long Tao; Yang Zhijian; Zhang Guoyi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  1. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  2. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  3. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  4. Optical properties of metastable shallow acceptors in Mg-doped GaN layers grown by metal-organic vapor phase epitaxy

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.; Monemar, Bo

    2010-01-01

    GaN layers doped by Mg show a metastable behavior of the near-band-gap luminescence caused by electron irradiation or UV excitation. At low temperatures < 30 K the changes in luminescence are permanent. Heating to room temperature recovers the initial low temperature spectrum shape completely. Two acceptors are involved in the recombination process as confirmed by transient PL. In as-grown samples a possible candidate for the metastable acceptor is C-N, while after annealing a second m...

  5. High surface hole concentration p-type GaN using Mg implantation

    CERN Document Server

    Long Tao; Zhang Guo Yi

    2001-01-01

    Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration

  6. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  7. Optical properties of m-plane GaN grown on patterned Si(112) substrates by MOCVD using a two-step approach

    Science.gov (United States)

    Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.

    2014-03-01

    Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.

  8. Characterization of as-grown and heavily irradiated GaN epitaxial structures by photoconductivity and photoluminescence

    International Nuclear Information System (INIS)

    Gaubas, E.; Jurs e-dot nas, S.; Tomasiunas, R.; Vaitkus, J.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.

    2005-01-01

    The influence of radiation defects on photoconductivity transients and photoluminescence (PL) spectra have been examined in semi-insulating GaN epitaxial layers grown on bulk n-GaN/sapphire substrates. Defects induced by 10-keV X-ray irradiation with a dose of 600Mrad and 100-keV neutrons with fluences of 5x10 14 and 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the radiation defect density. A simultaneous decrease with radiation-induced defect density is also observed in the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime, which is due to excess carrier multi-trapping. The decay can be described by the stretched exponential approximation exp[-(t/τ) α ] with different values of α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The value of the fracton dimension d s of the disordered structure, evaluated as d s =2α/(1-α), changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, implying percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiation

  9. Study of optical properties of bulk GaN crystals grown by HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Hong; Ren, Guoqiang; Zhou, Taofei; Tian, Feifei; Xu, Yu; Zhang, Yumin; Wang, Mingyue; Zhang, Zhiqiang [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Cai, Demin [Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China); Wang, Jianfeng [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China); Xu, Ke, E-mail: kxu2006@sinano.ac.cn [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215123 (China); Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China)

    2016-07-25

    We investigated the optical properties of a series of GaN samples sliced from the same bulk crystal grown using hydride vapor phase epitaxy. The high crystalline quality of the samples was evaluated using cathodoluminescence measurements, and the dislocation density ranged from 2.4 × 10{sup 6} to 2.3 × 10{sup 5} cm{sup −2}. The impurity concentration was determined using secondary-ion mass spectroscopy, and photoluminescence (PL) measurements were conducted in the range of 3–300 K. We did not find a correlation between the O or C impurities and the weak yellow luminescence (YL) band. As the dislocation density decreased, the intensity of the band edge emission increased and that of the YL band decreased. A competition between the two-electron satellite lines correlated to Si and the YL band was also observed in the low-temperature PL spectra, which demonstrated that the Si impurity also plays an important role in the weak YL band of these GaN samples. These results indicate that the Si donors around the dislocations, as reasonable sources of shallow donors, will recombine with possible deep acceptors and finally respond with the YL. - Highlights: • The investigated samples were sliced from the same bulk crystal. • No correlation between the O or C impurities and the weak YL band is observed. • A well-regulated relationship between the YL band and the dislocations is found. • A competition between the TES-Si lines and the YL band is discussed. • The dislocations trapping Si impurity is suggested to be responsible for YL band.

  10. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  11. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    Science.gov (United States)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  12. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  14. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  15. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  16. Identification of deep levels in GaN associated with dislocations

    International Nuclear Information System (INIS)

    Soh, C B; Chua, S J; Lim, H F; Chi, D Z; Liu, W; Tripathy, S

    2004-01-01

    To establish a correlation between dislocations and deep levels in GaN, a deep-level transient spectroscopy study has been carried out on GaN samples grown by metalorganic chemical vapour deposition. In addition to typical undoped and Si-doped GaN samples, high-quality crack-free undoped GaN film grown intentionally on heavily doped cracked Si-doped GaN and cracked AlGaN templates are also chosen for this study. The purpose of growth of such continuous GaN layers on top of the cracked templates is to reduce the screw dislocation density by an order of magnitude. Deep levels in these layers have been characterized and compared with emphasis on their thermal stabilities and capture kinetics. Three electron traps at E c -E T ∼0.10-0.11, 0.24-0.27 and 0.59-0.63 eV are detected common to all the samples while additional levels at E c -E T ∼0.18 and 0.37-0.40 eV are also observed in the Si-doped GaN. The trap levels exhibit considerably different stabilities under rapid thermal annealing. Based on the observations, the trap levels at E c -E T ∼0.18 and 0.24-0.27 eV can be associated with screw dislocations, whereas the level at E c -E T ∼0.59-0.63 eV can be associated with edge dislocations. This is also in agreement with the transmission electron microscopy measurements conducted on the GaN samples

  17. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  18. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  19. Barrier characteristics of Pt/Ru Schottky contacts on n-type GaN ...

    Indian Academy of Sciences (India)

    Pt/Ru Schottky rectifiers; n-type GaN; temperature–dependent electrical properties; inhomogeneous barrier heights .... a 2 μm thick Si-doped GaN films which were grown by .... ted values of ap using (9) for two Gaussian distributions of bar-.

  20. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  1. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  2. Fabrication and electrochemical properties of free-standing single-walled carbon nanotube film electrodes

    International Nuclear Information System (INIS)

    Niu Zhi-Qiang; Ma Wen-Jun; Dong Hai-Bo; Li Jin-Zhu; Zhou Wei-Ya

    2011-01-01

    An easily manipulative approach was presented to fabricate electrodes using free-standing single-walled carbon nanotube (SWCNT) films grown directly by chemical vapor deposition. Electrochemical properties of the electrodes were investigated. In comparison with the post-deposited SWCNT papers, the directly grown SWCNT film electrodes manifested enhanced electrochemical properties and sensitivity of sensors as well as excellent electrocatalytic activities. A transition from macroelectrode to nanoelectrode behaviours was observed with the increase of scan rate. The heat treatment of the SWCNT film electrodes increased the current signals of electrochemical analyser and background current, because the heat-treatment of the SWCNTs in air could create more oxide defects on the walls of the SWCNTs and make the surfaces of SWCNTs more hydrophilic. The excellent electrochemical properties of the directly grown and heat-treated free-standing SWCNT film electrodes show the potentials in biological and electrocatalytic applications. (cross-disciplinary physics and related areas of science and technology)

  3. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Optical and Structural Characterizations of GaN Nano structures

    International Nuclear Information System (INIS)

    Shekari, L.; Abu Hassan, H.; Thahab, S.M.

    2011-01-01

    We have grown wurtzite GaN nano wires (NWs) on polished silicon (Si) either with or without Au as catalyst, using commercial GaN powder by thermal evaporation in an atmosphere of argon (Ar) gas. Structural and optical characterizations were performed using high resolution X-ray diffraction (HR-XRD), scanning electron microscopy (SEM), photoluminescence (PL) and energy-dispersive X-ray spectroscopy (EDX) spectroscopy. Results indicate that the nano wires are of single-crystal hexagonal GaN and the nano wires on Si with Au catalyst are more oriented than those without Au catalyst; and using catalyst make the NWs grow much faster and quite well-ordered. The compositional quality of the grown nano wires on the substrates are mostly same, however the nano wires on the Au coated silicon are of low density, while the nano wires on the Si are of high density. (author)

  5. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  6. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.

    Science.gov (United States)

    Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi

    2017-05-02

    The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.

  8. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation of compressive strain by 0.41 ± 0.04 GPa. The strain relief promises a marked reduction in threading dislocation for subsequent epitaxial growth.

  9. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    Science.gov (United States)

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  10. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  11. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  12. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  13. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  14. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  15. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  16. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  17. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  18. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  19. Understanding the Room Temperature Ferromagnetism in GaN Nanowires with Pd Doping

    International Nuclear Information System (INIS)

    Manna, S; De, S K

    2011-01-01

    We report the first synthesis and characterization of 4d transition metal palladium-doped GaN nanowires (NWs). Room temperature ferromagnetism has been observed in high quality Vapor Liquid Solid (VLS) epitaxy grown undoped n-type GaN nanowires. It was proposed that this type of magnetism is due to defects which are not observed in Bulk GaN because of large formation energy of defects in bulk GaN. Here we have successfully doped 4d transition metal Pd in GaN NWs. We find fairly strong and long-range ferromagnetic coupling between Pd substituted for Ga in GaN . The results suggest that 4d metals such as Pd may also be considered as candidates for ferromagnetic dopants in semiconductors.

  20. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates

    Science.gov (United States)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-01

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  1. White light emission of monolithic InGaN/GaN grown on morphology-controlled, nanostructured GaN templates.

    Science.gov (United States)

    Song, Keun Man; Kim, Do-Hyun; Kim, Jong-Min; Cho, Chu-Young; Choi, Jehyuk; Kim, Kahee; Park, Jinsup; Kim, Hogyoug

    2017-06-02

    We demonstrated an InGaN/GaN-based, monolithic, white light-emitting diode (LED) without phosphors by using morphology-controlled active layers formed on multi-facet GaN templates containing polar and semipolar surfaces. The nanostructured surface morphology was controlled by changing the growth time, and distinct multiple photoluminescence peaks were observed at 360, 460, and 560 nm; these features were caused by InGaN/GaN-based multiple quantum wells (MQWs) on the nanostructured facets. The origin of each multi-peak was related to the different indium (In) compositions in the different planes of the quantum wells grown on the nanostructured GaN. The emitting units of MQWs in the LED structures were continuously connected, which is different from other GaN-based nanorod or nanowire LEDs. Therefore, the suggested structure had a larger active area. From the electroluminescence spectrum of the fabricated LED, monolithic white light emission with CIE color coordinates of x = 0.306 and y = 0.333 was achieved via multi-facet control combined with morphology control of the metal organic chemical vapor deposition-selective area growth of InGaN/GaN MQWs.

  2. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Science.gov (United States)

    Sancho-Juan, O.; Cantarero, A.; Garro, N.; Cros, A.; Martínez-Criado, G.; Salomé, M.; Susini, J.; Olguín, D.; Dhar, S.

    2009-07-01

    By means of x-ray absorption near-edge structure (XANES) several Ga1-xMnxN (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding \\mathrm {t_{2}}\\uparrow band localized in the gap region, and the corresponding anti-bonding state \\mathrm {t_{2}}\\downarrow , which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  3. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  4. Beryllium doped p-type GaN grown by metal-organic chemical vapor depostion

    International Nuclear Information System (INIS)

    Al-Tahtamouni, T.M.; Sedhain, A.; Lin, J.Y.; Jiang, H.X.

    2010-01-01

    The authors report on the growth of Be-doped p-type GaN epilayers by metal-organic chmical vapor deposition (MOCVD). The electrical and optical properties of the Be-doped GaN epilayers were studied by Hall-effect measurements and photoluminescence (PL) spectroscopy. The PL spectra of Be-doped GaN epilayers ethibited two emission lines at 3.36 and 2.71 eV, which were obsent in undoped epilayers. The transition at 3.36 eV was at 3.36 and 2.71eV, which were absent in undoped epilayers. The transition at 3.36 eV was assigned to the transition of free electrons to the neutral Be acceptor Be d eg.. The transition at 2.71 eV was assigned to the transition of electrons bound to deep level donors to the Be d eg. acceptors. Three independent measurements: (a) resistivity vs. temperature, (b) PL peak positions between Be doped and undoped GaN and (c) activation energy of 2.71 eV transition all indicate that the Be energy level is between 120 and 140 meV above the valence band. This is about 20-40 meV shallower than the Mg energy level (160 meV) in GaN. It is thus concluded that Be could be an excellent acceptor dopant in nitride materials. (authors).

  5. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  6. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    Science.gov (United States)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  7. Microstructure of nitrides grown on inclined c-plane sapphire and SiC substrate

    International Nuclear Information System (INIS)

    Imura, M.; Honshio, A.; Miyake, Y.; Nakano, K.; Tsuchiya, N.; Tsuda, M.; Okadome, Y.; Balakrishnan, K.; Iwaya, M.; Kamiyama, S.; Amano, H.; Akasaki, I.

    2006-01-01

    High-quality (112-bar 0) GaN layers with atomically flat surface have been grown on a precisely offset-angle-controlled (11-bar 02) sapphire substrate by metal-organic vapor phase epitaxy (MOVPE). Insertion of AlGaN layer between underlying AlN layer and GaN was found to improve crystalline quality of upper GaN layer. In addition, a combination of high growth condition followed and epitaxial lateral overgrowth has been employed for the growth of GaN and this helped in reducing the dislocation density in the resultant layers. GaN and AlN were grown on (303-bar 8) SiC substrates by MOVPE and sublimation methods, respectively. The crystal orientation of GaN and AlN could be just aligned to that of the substrate. Microstructure analysis of the layers was also carried out by transmission electron microscopy

  8. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  9. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  10. Structural and optical properties of Si-doped GaN

    OpenAIRE

    Cremades Rodríguez, Ana Isabel; Gorgens, L.; Ambacher, O.; Stutzmann, M.; Scholz, F.

    2000-01-01

    Structural and optical properties of Si-doped GaN thin films grown by metal-organic chemical vapor deposition have been studied by means of high resolution x-ray diffraction (XRD), atomic force microscopy, photoluminescence, photothermal deflection spectroscopy, and optical transmission measurements. The incorporation of silicon in the GaN films leads to pronounced tensile stress. The energy position of the neutral donor bound excitonic emission correlates with the measured stress. The stress...

  11. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  12. Analysis of reaction between c+a and -c+a dislocations in GaN layer grown on 4-inch Si(111) substrate with AlGaN/AlN strained layer superlattice by transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Sugawara, Yoshihiro; Ishikawa, Yukari, E-mail: yukari@jfcc.or.jp [Japan Fine Ceramics Center, Atsuta, Nagoya, 456-8587 (Japan); Watanabe, Arata [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Miyoshi, Makoto; Egawa, Takashi [Research Center for Nano Devices and Advanced Materials, Nagoya Institute of Technology, Nagoya, 466-8555 (Japan); Innovation Center for Multi-Business of Nitride Semiconductors, Nagoya Institute of Technoloy, Nagoya, 466-8555 (Japan)

    2016-04-15

    The behavior of dislocations in a GaN layer grown on a 4-inch Si(111) substrate with an AlGaN/AlN strained layer superlattice using horizontal metal-organic chemical vapor deposition was observed by transmission electron microscopy. Cross-sectional observation indicated that a drastic decrease in the dislocation density occurred in the GaN layer. The reaction of a dislocation (b=1/3[-211-3]) and anothor dislocation (b =1/3[-2113]) to form one dislocation (b =2/3[-2110]) in the GaN layer was clarified by plan-view observation using weak-beam dark-field and large-angle convergent-beam diffraction methods.

  13. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  14. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  15. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  16. Deep levels in as-grown and electron-irradiated n-type GaN studied by deep level transient spectroscopy and minority carrier transient spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Duc, Tran Thien [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-581 83 Linköping (Sweden); School of Engineering Physics, Hanoi University of Science and Technology, 1 Dai Co Viet Road, Hanoi (Viet Nam); Pozina, Galia; Son, Nguyen Tien; Kordina, Olof; Janzén, Erik; Hemmingsson, Carl [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-581 83 Linköping (Sweden); Ohshima, Takeshi [Japan Atomic Energy Agency (JAEA), Takasaki, Gunma 370-1292 (Japan)

    2016-03-07

    Development of high performance GaN-based devices is strongly dependent on the possibility to control and understand defects in material. Important information about deep level defects is obtained by deep level transient spectroscopy and minority carrier transient spectroscopy on as-grown and electron irradiated n-type bulk GaN with low threading dislocation density produced by halide vapor phase epitaxy. One hole trap labelled H1 (E{sub V} + 0.34 eV) has been detected on as-grown GaN sample. After 2 MeV electron irradiation, the concentration of H1 increases and at fluences higher than 5 × 10{sup 14 }cm{sup −2}, a second hole trap labelled H2 is observed. Simultaneously, the concentration of two electron traps, labelled T1 (E{sub C} – 0.12 eV) and T2 (E{sub C} – 0.23 eV), increases. By studying the increase of the defect concentration versus electron irradiation fluence, the introduction rate of T1 and T2 using 2 MeV- electrons was determined to be 7 × 10{sup −3 }cm{sup −1} and 0.9 cm{sup −1}, respectively. Due to the low introduction rate of T1, it is suggested that the defect is associated with a complex. The high introduction rate of trap H1 and T2 suggests that the defects are associated with primary intrinsic defects or complexes. Some deep levels previously observed in irradiated GaN layers with higher threading dislocation densities are not detected in present investigation. It is therefore suggested that the absent traps may be related to primary defects segregated around dislocations.

  17. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.; Muhammad, Mufasila M.; Sivan, Madhavi; Bonca, Spencer; Roqan, Iman S.; Dolgaleva, Ksenia

    2017-01-01

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  18. Fabrication and optical characterization of GaN waveguides on (−201)-oriented β-Ga_2O_3

    KAUST Repository

    Awan, Kashif M.

    2017-12-19

    Gallium nitride (GaN), a wide-bandgap III-V semiconductor material with a bandgap wavelength λ = 366 nm (for Wurtzite GaN) and transparency window covering the visible spectrum, has a large number of applications for photonics and optoelectronics. However, the optical quality of this material suffers from growth imperfections due to the lack of a suitable substrate. Recent studies have shown that GaN grown on (-201) β - GaO (gallium oxide) has better lattice matching and hence superior optical quality as compared to GaN grown traditionally on AlO (sapphire). In this work, we report on the fabrication of GaN waveguides on GaO substrate, followed by a wet-etch process aimed at the reduction of waveguide surface roughness and improvement of side-wall verticality in these waveguides. The propagation loss in the resulting waveguides has been experimentally determined to be 7.5 dB/cm.

  19. Effects of thin heavily Mg-doped GaN capping layer on ohmic contact formation of p-type GaN

    International Nuclear Information System (INIS)

    Wu, L L; Zhao, D G; Jiang, D S; Chen, P; Le, L C; Li, L; Liu, Z S; Zhang, S M; Zhu, J J; Wang, H; Zhang, B S; Yang, H

    2013-01-01

    The growth condition of thin heavily Mg-doped GaN capping layer and its effect on ohmic contact formation of p-type GaN were investigated. It is confirmed that the excessive Mg doping can effectively enhance the Ni/Au contact to p-GaN after annealing at 550 °C. When the flow rate ratio between Mg and Ga gas sources is 6.4% and the layer width is 25 nm, the capping layer grown at 850 °C exhibits the best ohmic contact properties with respect to the specific contact resistivity (ρ c ). This temperature is much lower than the conventional growth temperature of Mg-doped GaN, suggesting that the deep-level-defect induced band may play an important role in the conduction of capping layer. (paper)

  20. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  1. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  2. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  3. Theoretical Predictions of Freestanding Honeycomb Sheets of Cadmium Chalcogenides

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jia [ORNL; Huang, Jingsong [ORNL; Sumpter, Bobby G [ORNL; Kent, Paul R [ORNL; Xie, Yu [ORNL; Terrones Maldonado, Humberto [ORNL; Smith, Sean C [ORNL

    2014-01-01

    Two-dimensional (2D) nanocrystals of CdX (X = S, Se, Te) typically grown by colloidal synthesis are coated with organic ligands. Recent experimental work on ZnSe showed that the organic ligands can be removed at elevated temperature, giving a freestanding 2D sheet of ZnSe. In this theoretical work, freestanding single- to few-layer sheets of CdX, each possessing a pseudo honeycomb lattice, are considered by cutting along all possible lattice planes of the bulk zinc blende (ZB) and wurtzite (WZ) phases. Using density functional theory, we have systematically studied their geometric structures, energetics, and electronic properties. A strong surface distortion is found to occur for all of the layered sheets, and yet all of the pseudo honeycomb lattices are preserved, giving unique types of surface corrugations and different electronic properties. The energetics, in combination with phonon mode calculations and molecular dynamics simulations, indicate that the syntheses of these freestanding 2D sheets could be selective, with the single- to few-layer WZ110, WZ100, and ZB110 sheets being favored. Through the GW approximation, it is found that all single-layer sheets have large band gaps falling into the ultraviolet range, while thicker sheets in general have reduced band gaps in the visible and ultraviolet range. On the basis of the present work and the experimental studies on freestanding double-layer sheets of ZnSe, we envision that the freestanding 2D layered sheets of CdX predicted herein are potential synthesis targets, which may offer tunable band gaps depending on their structural features including surface corrugations, stacking motifs, and number of layers.

  4. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  5. X-ray absorption near-edge structure of GaN with high Mn concentration grown on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Sancho-Juan, O; Cantarero, A; Garro, N; Cros, A [Materials Science Institute, University of Valencia, PO Box 22085, E46071 Valencia (Spain); Martinez-Criado, G; Salome, M; Susini, J [European Synchrotron Radiation Facility, 6 rue Jules Horowitz, 38043 Grenoble (France); Olguin, D [Dept. de Fisica, CINVESTAV-IPN, 07300 Mexico D F (Mexico); Dhar, S [Experimentalphysik, Universitaet Duisburg-Essen, Lotharstrasse 1, 47057 Duisburg (Germany)

    2009-07-22

    By means of x-ray absorption near-edge structure (XANES) several Ga{sub 1-x}Mn{sub x}N (0.03GaN samples consisted of different epilayers grown by molecular beam epitaxy on [0001] SiC substrates. The low mismatch between GaN and SiC allows for a good quality and homogeneity of the material. The measurements were performed in fluorescence mode around both the Ga and Mn K edges. All samples studied present a similar Mn ionization state, very close to 2+, and tetrahedral coordination. In order to interpret the near-edge structure, we have performed ab initio calculations using the full potential linear augmented plane wave method as implemented in the Wien2k code. The calculations show the appearance of a Mn bonding t{sub 2}arrow up band localized in the gap region, and the corresponding anti-bonding state t{sub 2}arrow down, which seem to be responsible for the double structure which appears at the pre-edge absorption region. The shoulders and main absorption peak of the XANES spectra are attributed to transitions from the Mn(1s) band to the conduction bands, which are partially dipole allowed because of the Mn(4p) contribution to these bands.

  6. Stress relaxed nanoepitaxy GaN for growth of phosphor-free indium-rich nanostructures incorporated in apple-white LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Soh, C.B.; Liu, W.; Ang, N.S.S.; Yong, A.M.; Lai, S.C.; Teng, J.H. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Chua, S.J. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Singapore-MIT Alliance, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2010-06-15

    Phosphor-free apple-white light emitting diodes (LEDs) have been fabricated using dual stacked InGaN/GaN multiple quantum wells (MQWs) comprising a lower set of long wavelength emitting indium rich nanostructures incorporated in MQWs with an upper set of cyan-green emitting MQWs. The LEDs were grown on nano-epitaxial lateral overgrown (ELO) GaN template formed by regrowth of GaN over SiO{sub 2} film patterned using an anodic alumina oxide mask with holes of {proportional_to}125 nm diameter and a period of 250 nm. The MQWs grown on the nano-ELO GaN templates show stronger photoluminescence intensity and a higher activation energy for their peak emission. A minimal shift in the electroluminescence (EL) spectra with higher injection current applied for LEDs grown on ELO-GaN compared to conventional GaN template, suggests a reduction in strain of the quantum well layers on the nano-ELO GaN template. An enhancement in the light extraction efficiency is also achieved with multiple scattering via the embedded SiO{sub 2} mask. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  7. Effects of material growth technique and Mg doping on Er3+ photoluminescence in Er-implanted GaN

    International Nuclear Information System (INIS)

    Kim, S.; Henry, R. L.; Wickenden, A. E.; Koleske, D. D.; Rhee, S. J.; White, J. O.; Myoung, J. M.; Kim, K.; Li, X.; Coleman, J. J.

    2001-01-01

    Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies have been carried out at 6 K on the ∼1540 nm 4 I 13/2 - 4 I 15/2 emissions of Er 3+ in Er-implanted and annealed GaN. These studies revealed the existence of multiple Er 3+ centers and associated PL spectra in Er-implanted GaN films grown by metalorganic chemical vapor deposition, hydride vapor phase epitaxy, and molecular beam epitaxy. The results demonstrate that the multiple Er 3+ PL centers and below-gap defect-related absorption bands by which they are selectively excited are universal features of Er-implanted GaN grown by different techniques. It is suggested that implantation-induced defects common to all the GaN samples are responsible for the Er site distortions that give rise to the distinctive, selectively excited Er 3+ PL spectra. The investigations of selectively excited Er 3+ PL and PLE spectra have also been extended to Er-implanted samples of Mg-doped GaN grown by various techniques. In each of these samples, the so-called violet-pumped Er 3+ PL band and its associated broad violet PLE band are significantly enhanced relative to the PL and PLE of the other selectively excited Er 3+ PL centers. More importantly, the violet-pumped Er 3+ PL spectrum dominates the above-gap excited Er 3+ PL spectrum of Er-implanted Mg-doped GaN, whereas it was unobservable under above-gap excitation in Er-implanted undoped GaN. These results confirm the hypothesis that appropriate codopants can increase the efficiency of trap-mediated above-gap excitation of Er 3+ emission in Er-implanted GaN. [copyright] 2001 American Institute of Physics

  8. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  9. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  11. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  12. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  13. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  14. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  15. Amphoteric arsenic in GaN

    CERN Document Server

    Wahl, U; Araújo, J P; Rita, E; Soares, JC

    2007-01-01

    We have determined the lattice location of implanted arsenic in GaN by means of conversion electron emission channeling from radioactive $^{73}$As. We give direct evidence that As is an amphoteric impurity, thus settling the long-standing question as to whether it prefers cation or anion sites in GaN. The amphoteric character of As and the fact that As$\\scriptstyle_{Ga}\\,$ " anti-sites ” are not minority defects provide additional aspects to be taken into account for an explanantion of the so-called “ miscibility gap ” in ternary GaAs$\\scriptstyle_{1-x}$N$\\scriptstyle_{x}$ compounds, which cannot be grown with a single phase for values of $x$ in the range 0.1<${x}$< 0.99.

  16. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.; Yonkee, Benjamin P.; Wu, Feng; Saifaddin, Burhan K.; Cohen, Daniel A.; DenBaars, Steve P.; Nakamura, Shuji; Speck, James S.

    2015-01-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  17. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.

    2015-09-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  18. Above room-temperature ferromagnetism of Mn delta-doped GaN nanorods

    International Nuclear Information System (INIS)

    Lin, Y. T.; Wadekar, P. V.; Kao, H. S.; Chen, T. H.; Chen, Q. Y.; Tu, L. W.; Huang, H. C.; Ho, N. J.

    2014-01-01

    One-dimensional nitride based diluted magnetic semiconductors were grown by plasma-assisted molecular beam epitaxy. Delta-doping technique was adopted to dope GaN nanorods with Mn. The structural and magnetic properties were investigated. The GaMnN nanorods with a single crystalline structure and with Ga sites substituted by Mn atoms were verified by high-resolution x-ray diffraction and Raman scattering, respectively. Secondary phases were not observed by high-resolution x-ray diffraction and high-resolution transmission electron microscopy. In addition, the magnetic hysteresis curves show that the Mn delta-doped GaN nanorods are ferromagnetic above room temperature. The magnetization with magnetic field perpendicular to GaN c-axis saturates easier than the one with field parallel to GaN c-axis

  19. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  20. Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Z.; Arehart, A. R.; Hurni, C. A.; Speck, J. S.; Ringel, S. A.

    2012-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) were utilized to investigate the behavior of deep states in m-plane, n-type GaN grown by ammonia-based molecular beam epitaxy (NH 3 -MBE) as a function of systematically varied V/III growth flux ratios. Levels were detected at E C - 0.14 eV, E C - 0.21 eV, E C - 0.26 eV, E C - 0.62 eV, E C - 0.67 eV, E C - 2.65 eV, and E C - 3.31 eV, with the concentrations of several traps exhibiting systematic dependencies on V/III ratio. The DLTS spectra are dominated by traps at E C - 0.14 eV and E C - 0.67 eV, whose concentrations decreased monotonically with increasing V/III ratio and decreasing oxygen impurity concentration, and by a trap at E C - 0.21 eV that revealed no dependence of its concentration on growth conditions, suggestive of different physical origins. Higher concentrations of deeper trap states detected by DLOS with activation energies of E C - 2.65 eV and E C - 3.31 eV in each sample did not display measureable sensitivity to the intentionally varied V/III ratio, necessitating further study on reducing these deep traps through growth optimization for maximizing material quality of NH 3 -MBE grown m-plane GaN.

  1. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  2. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  3. In-situ measurement of the strain relaxation of GaN nanograins during X-ray irradiation

    International Nuclear Information System (INIS)

    Choe, Hyeokmin; Lee, Sanghwa; Sohn, Yuri; Kim, Chinkyo

    2008-01-01

    GaN nanograins were grown on a c-plane sapphire substrate and their strain relaxation due to X-ray irradiation was investigated in-situ by utilizing synchrotron xray scattering. The GaN nanograins were constantly exposed to the synchrotron X-ray and θ-2θ scans through the (002) Bragg peak of GaN were repeatedly carried out during the irradiation. The Bragg peak of the compressively strained GaN nanograins gradually shifted toward higher angle, which implies that the GaN nanograins in compressive strain experienced strain relaxation during X-ray irradiation. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  5. Structural characterization of bulk GaN crystals grown under high hydrostatic pressure

    Science.gov (United States)

    Liliental-Weber, Zuzanna; Kisielowski, C.; Ruvimov, S.; Chen, Y.; Washburn, J.; Grzegory, I.; Bockowski, M.; Jun, J.; Porowski, S.

    1996-09-01

    This paper describes TEM characterization of bulk GaN crystals grown at 1500-1800Kin the form of plates from a solution of atomic nitrogen in liquid gallium under high nitrogen pressure (up to 20 kbars). The x-ray rocking curves for these crystals were in the range of 20-30 arc-sec. The plate thickness along the c axis was about 100 times smaller than the nonpolar growth directions. A substantial difference in material quality was observed on the opposite sides of the plates normal to the c direction. On one side the surface was atomically flat, while on the other side the surface was rough, with pyramidal features up to 100 nm high. The polarity of the crystals was determined using convergent-beam electron diffraction. The results showed that, regarding the long bond between Ga and N along the c-axis, Ga atoms were found to be closer to the flat side of the crystal, while N atoms were found to be closer to the rough side. Near the rough side, within 1/10 to 1/4 of the plate thickness, there was a high density of planar defects (stacking faults and dislocation loops decorated by Ga/void precipitates). A model explaining the defect formation is proposed.

  6. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  7. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  8. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  9. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  10. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  11. Transmission electron microscopy of defects and internal fields in GaN structures

    Energy Technology Data Exchange (ETDEWEB)

    Mokhtari, H

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10{sup 9}/cm{sup 2}. The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In{sub 0.1}Ga{sub 0.9}N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  12. Transmission electron microscopy of defects and internal fields in GaN structures

    International Nuclear Information System (INIS)

    Mokhtari, H.

    2001-07-01

    The main aim of this study was to understand the microstructure of GaN and InGaN/GaN and to examine electric fields around the defects, and across the quantum wells by electron holography. For this reason different types of GaN and InGaN/GaN samples have been prepared and studied. Conventional transmission electron microscopy has been used for structural study of two MBE grown GaN/GaAs samples, grown at room temperature and at 340 deg C. The structure of the samples were found to be hexagonal polycrystalline in an amorphous GaN matrix, and textured hexagonal polycrystalline material respectively. The experimental results indicate that the higher growth temperature results in a more crystalline material with a higher density of bigger grain sizes. Different types of undoped and Si doped GaN/Sapphire samples were studied, with respect to the defect structure in GaN films. GaN was found to be a highly defective material with a dislocation density of 10 9 /cm 2 . The majority of the dislocations are edge dislocations. It has been found that nanopipes are open core screw dislocations, and the population and size of the nanopipes is proportional to the Si doping concentration. Dislocation structures were found to depend on the Si doping level in the material, with higher Si doping giving a lower density of dislocations with a more random distribution. In addition some EELS, EDX and HRTEM have been performed on the nanopipes and dislocations in order to investigate Si segregation in the defects. In MBE grown GaN/In 0.1 Ga 0.9 N/GaN SQWs and MQWs, V shaped defects were found to be present in the InGaN regions, which locally reduced the width of the InGaN layers. (author)

  13. Characteristics of Mg-doped and In-Mg co-doped p-type GaN epitaxial layers grown by metal organic chemical vapour deposition

    International Nuclear Information System (INIS)

    Chung, S J; Lee, Y S; Suh, E-K; Senthil Kumar, M; An, M H

    2010-01-01

    Mg-doped and In-Mg co-doped p-type GaN epilayers were grown using the metal organic chemical vapour deposition technique. The effect of In co-doping on the physical properties of p-GaN layer was examined by high resolution x-ray diffraction (HRXRD), transmission electron microscopy (TEM), Hall effect, photoluminescence (PL) and persistent photoconductivity (PPC) at room temperature. An improved crystalline quality and a reduction in threading dislocation density are evidenced upon In doping in p-GaN from HRXRD and TEM images. Hole conductivity, mobility and carrier density also significantly improved by In co-doping. PL studies of the In-Mg co-doped sample revealed that the peak position is blue shifted to 3.2 eV from 2.95 eV of conventional p-GaN and the PL intensity is increased by about 25%. In addition, In co-doping significantly reduced the PPC effect in p-type GaN layers. The improved electrical and optical properties are believed to be associated with the active participation of isolated Mg impurities.

  14. Thermal quenching of the yellow luminescence in GaN

    Science.gov (United States)

    Reshchikov, M. A.; Albarakati, N. M.; Monavarian, M.; Avrutin, V.; Morkoç, H.

    2018-04-01

    We observed varying thermal quenching behavior of the yellow luminescence band near 2.2 eV in different GaN samples. In spite of the different behavior, the yellow band in all the samples is caused by the same defect—the YL1 center. In conductive n-type GaN, the YL1 band quenches with exponential law, and the Arrhenius plot reveals an ionization energy of ˜0.9 eV for the YL1 center. In semi-insulating GaN, an abrupt and tunable quenching of the YL1 band is observed, where the apparent activation energy in the Arrhenius plot is not related to the ionization energy of the defect. In this case, the ionization energy can be found by analyzing the shift of the characteristic temperature of PL quenching with excitation intensity. We conclude that only one defect, namely, the YL1 center, is responsible for the yellow band in undoped and doped GaN samples grown by different techniques.

  15. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  16. Free-Standing β-Ga2O3 Thin Diaphragms

    Science.gov (United States)

    Zheng, Xu-Qian; Lee, Jaesung; Rafique, Subrina; Han, Lu; Zorman, Christian A.; Zhao, Hongping; Feng, Philip X.-L.

    2018-02-01

    Free-standing, very thin, single-crystal β-gallium oxide (β-Ga2O3) diaphragms have been constructed and their dynamical mechanical properties characterized by noncontact, noninvasive optical measurements harnessing the multimode nanomechanical resonances of these suspended nanostructures. We synthesized single-crystal β-Ga2O3 using low-pressure chemical vapor deposition (LPCVD) on a 3C-SiC epilayer grown on Si substrate at temperature of 950°C for 1.5 h. The synthesized single-crystal nanoflakes had widths of ˜ 2 μm to 30 μm and thicknesses of ˜ 20 nm to 140 nm, from which we fabricated free-standing circular drumhead β-Ga2O3 diaphragms with thicknesses of ˜ 23 nm to 73 nm and diameters of ˜ 3.2 μm and ˜ 5.2 μm using a dry stamp-transfer technique. Based on measurements of multiple flexural-mode mechanical resonances using ultrasensitive laser interferometric detection and performing thermal annealing at 250°C for 1.5 h, we quantified the effects of annealing and adsorption of atmospheric gas molecules on the resonant characteristics of the diaphragms. Furthermore, we studied the effects of structural nonidealities on these free-standing β-Ga2O3 nanoscale diaphragms. We present extensive characterization of the mechanical and optical properties of free-standing β-Ga2O3 diaphragms, paving the way for realization of resonant transducers using such nanomechanical structures for use in applications including gas sensing and ultraviolet radiation detection.

  17. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Step-flow growth mode instability of N-polar GaN under N-excess

    International Nuclear Information System (INIS)

    Chèze, C.; Sawicka, M.; Siekacz, M.; Łucznik, B.; Boćkowski, M.; Skierbiszewski, C.; Turski, H.; Cywiński, G.; Smalc-Koziorowska, J.; Weyher, J. L.; Kryśko, M.

    2013-01-01

    GaN layers were grown on N-polar GaN substrates by plasma-assisted molecular beam epitaxy under different III/V ratios. Ga-rich conditions assure step-flow growth with atomically flat surface covered by doubly-bunched steps, as for Ga-polar GaN. Growth under N-excess however leads to an unstable step-flow morphology. Particularly, for substrates slightly miscut towards , interlacing fingers are covered by atomic steps pinned on both sides by small hexagonal pits. In contrast, a three-dimensional island morphology is observed on the Ga-polar equivalent sample. We attribute this result to lower diffusion barriers on N-polar compared to Ga-polar GaN under N-rich conditions

  19. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  20. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  1. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  2. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  3. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  4. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  5. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  6. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  7. Strain management of AlGaN-based distributed Bragg reflectors with GaN interlayer grown by metalorganic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yuh-Shiuan; Kao, Tsung-Ting; Mehta, Karan; Jia, Xiao Jia; Shen, Shyh-Chiang; Yoder, P. Douglas; Detchprohm, Theeradetch; Dupuis, Russell D., E-mail: dupuis@gatech.edu [Center for Compound Semiconductors and School of Electrical and Computer Engineering, Georgia Institute of Technology, 777 Atlantic Dr. NW, Atlanta, Georgia 30332-0250 (United States); Wang, Shuo; Xie, Hongen; Ponce, Fernando A. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States)

    2016-08-22

    We report the crack-free growth of a 45-pair Al{sub 0.30}Ga{sub 0.70}N/Al{sub 0.04}Ga{sub 0.96}N distributed Bragg reflector (DBR) on 2 in. diameter AlN/sapphire template by metalorganic chemical vapor deposition. To mitigate the cracking issue originating from the tensile strain of Al{sub 0.30}Ga{sub 0.70}N on GaN, an AlN template was employed in this work. On the other hand, strong compressive strain experienced by Al{sub 0.04}Ga{sub 0.96}N favors 3D island growth, which is undesired. We found that inserting an 11 nm thick GaN interlayer upon the completion of AlN template layer properly managed the strain such that the Al{sub 0.30}Ga{sub 0.70}N/Al{sub 0.04}Ga{sub 0.96}N DBR was able to be grown with an atomically smooth surface morphology. Smooth surfaces and sharp interfaces were observed throughout the structure using high-angle annular dark-field imaging in the STEM. The 45-pair AlGaN-based DBR provided a peak reflectivity of 95.4% at λ = 368 nm with a bandwidth of 15 nm.

  8. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  9. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  10. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  11. Polarity Control of Heteroepitaxial GaN Nanowires on Diamond.

    Science.gov (United States)

    Hetzl, Martin; Kraut, Max; Hoffmann, Theresa; Stutzmann, Martin

    2017-06-14

    Group III-nitride materials such as GaN nanowires are characterized by a spontaneous polarization within the crystal. The sign of the resulting sheet charge at the top and bottom facet of a GaN nanowire is determined by the orientation of the wurtzite bilayer of the different atomic species, called N and Ga polarity. We investigate the polarity distribution of heteroepitaxial GaN nanowires on different substrates and demonstrate polarity control of GaN nanowires on diamond. Kelvin Probe Force Microscopy is used to determine the polarity of individual selective area-grown and self-assembled nanowires over a large scale. At standard growth conditions, mixed polarity occurs for selective GaN nanowires on various substrates, namely on silicon, on sapphire and on diamond. To obtain control over the growth orientation on diamond, the substrate surface is modified by nitrogen and oxygen plasma exposure prior to growth, and the growth parameters are adjusted simultaneously. We find that the surface chemistry and the substrate temperature are the decisive factors for obtaining control of up to 93% for both polarity types, whereas the growth mode, namely selective area or self-assembled growth, does not influence the polarity distribution significantly. The experimental results are discussed by a model based on the interfacial bonds between the GaN nanowires, the termination layer, and the substrate.

  12. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  13. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  14. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  15. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  16. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    Science.gov (United States)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  17. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R., E-mail: roslan@usm.my [Nano-Optoelectronics Research and Technology Laboratory (NOR), School of Physics, Universiti Sains Malaysia, Penang 11800 (Malaysia)

    2013-05-15

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH{sub 3} gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N{sub 2} ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h{sup −1} at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V{sub Ga}–O{sub N}-complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission.

  19. Self-catalyst growth of novel GaN nanowire flowers on Si (111) using thermal evaporation technique

    International Nuclear Information System (INIS)

    Saron, K.M.A.; Hashim, M.R.

    2013-01-01

    We investigated the effect of substrate temperature on nanowire (NW) flower GaN epitaxial layers grown on catalyst-free Si (111) through physical vapor deposition via the thermal evaporation of GaN powder at 1150 °C in the absence of NH 3 gas. The NW flowers were grown at various substrate temperatures from 1000 °C to 1100 °C for 60 min in N 2 ambient. The surface morphology as well as the structural and optical properties of GaN NW flowers were examined by scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy, X-ray diffraction, and photoluminescence (PL). The results showed that the increase in substrate temperature resulted in a variation in crystal quality and surface morphology. SEM showed that the substrate temperature has a stronger effect on NW density and growth rate with respect to time. The average length of GaN flowers is estimated to be longer than 300 μm after 1 h at 1100 °C, which corresponds to a fast growth rate of more than 200 μm h −1 at all substrate temperatures. The PL measurements showed strong near-band-edge (NBE) emission with a weak deep level emission. The green-yellow emission (GYE) can be attributed to N vacancies or to the V Ga –O N -complexes. The NBE peak exhibited a redshift with increasing substrate temperature, which results from the increase in strain level. The growth mechanism of the polycrystalline GaN NWs was also discussed. - Highlights: ► GaN nanowired flowers were grown on free-catalysts Si (111) using PVD. ► A higher temperature, higher uniformity, larger lengths and diameters of the NW flowers. ► As substrate temperature increases the diameters and growth rate of NWs increases. ► A lower temperature resulted in a high density and good crystal quality of GaN NWs. ► The increase in substrate temperature increased the redshift in UV band emission

  20. Cracking of GaN on sapphire from etch-process-induced nonuniformity in residual thermal stress

    International Nuclear Information System (INIS)

    Lacroix, Yves; Chung, Sung-Hoon; Sakai, Shiro

    2001-01-01

    An experiment was performed to explain the appearance of cracks along mesa structures during the processing of GaN device layers grown on sapphire substrates. Micro-Raman spectroscopy was used to measure the position-dependent stress in the GaN layer. We show evidence that the stress at the interface with the substrate may be larger along the mesa structures than that of the as-grown layer, and that this increase in stress can be enough to induce cracks along mesa structures during processing. We report on the formation of cracks that propagate guided by the nonuniformity of the stress induced by the formation of mesa structures in the GaN layer, independent of crystal direction. The understanding of cracking mechanisms has implications in GaN-based device structures that require heteroepitaxial growth of layers with different lattice size and thermal expansion coefficients. [copyright] 2001 American Institute of Physics

  1. Suppression of concentration quenching of Er-related luminescence in Er-doped GaN

    International Nuclear Information System (INIS)

    Chen Shaoqiang; Tomita, Shigeo; Kudo, Hiroshi; Akimoto, Katsuhiro; Dierre, Benjamin; Lee, Woong; Sekiguchi, Takashi

    2010-01-01

    Erbium-doped GaN with different doping concentrations were grown by ammonia-source molecular beam epitaxy. The intra-4f-shell transitions related green luminescence were observed by both photoluminescence (PL) and cathodoluminescence (CL) measurements. It was found that concentration quenching of Er-related luminescence was observed in PL measurements while not in CL measurements. The different excitation and relaxation processes are suggested as the cause of the concentration quenching characteristics between PL and CL. The strong Er-related CL intensity in highly doped GaN demonstrates that high energy excitation is a promising approach to suppress the concentration quenching in Er-doped GaN.

  2. Enhanced Properties of Porous GaN Prepared by UV Assisted Electrochemical Etching

    International Nuclear Information System (INIS)

    Ainorkhilah Mahmood; Ainorkhilah Mahmood; Siang, C.L.

    2011-01-01

    The structural and optical properties of porous GaN films on sapphire (0001) prepared by UV assisted electrochemical etching were reported in this study. SEM micrographs indicated that the shapes of the pores for both porous samples are nearly hexagonal. XRD revealed that the broadening in spectrum is due to the small size crystallites. As compared to the as grown GaN films, porous layers exhibit a substantial photoluminescence (PL) intensity enhancement with red-shifted band-edge PL peaks associated with the relaxation of compressive stress. The shift of E2(high) to the lower frequency in Raman spectra of the porous GaN films further confirms such a stress relaxation. (author)

  3. Large lattice relaxation deep levels in neutron-irradiated GaN

    International Nuclear Information System (INIS)

    Li, S.; Zhang, J.D.; Beling, C.D.; Wang, K.; Wang, R.X.; Gong, M.; Sarkar, C.K.

    2005-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) measurements have been carried out in neutron-irradiated n-type hydride-vapor-phase-epitaxy-grown GaN. A defect center characterized by a DLTS line, labeled as N1, is observed at E C -E T =0.17 eV. Another line, labeled as N2, at E C -E T =0.23 eV, seems to be induced at the same rate as N1 under irradiation and may be identified with E1. Other defects native to wurtzite GaN such as the C and E2 lines appear to enhance under neutron irradiation. The DLOS results show that the defects N1 and N2 have large Frank-Condon shifts of 0.64 and 0.67 eV, respectively, and hence large lattice relaxations. The as-grown and neutron-irradiated samples all exhibit the persistent photoconductivity effect commonly seen in GaN that may be attributed to DX centers. The concentration of the DX centers increases significantly with neutron dosage and is helpful in sustaining sample conductivity at low temperatures, thus making possible DLTS measurements on N1 an N2 in the radiation-induced deep-donor defect compensated material which otherwise are prevented by carrier freeze-out

  4. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  6. Laser-induced local activation of Mg-doped GaN with a high lateral resolution for high power vertical devices

    Science.gov (United States)

    Kurose, Noriko; Matsumoto, Kota; Yamada, Fumihiko; Roffi, Teuku Muhammad; Kamiya, Itaru; Iwata, Naotaka; Aoyagi, Yoshinobu

    2018-01-01

    A method for laser-induced local p-type activation of an as-grown Mg-doped GaN sample with a high lateral resolution is developed for realizing high power vertical devices for the first time. As-grown Mg-doped GaN is converted to p-type GaN in a confined local area. The transition from an insulating to a p-type area is realized to take place within about 1-2 μm fine resolution. The results show that the technique can be applied in fabricating the devices such as vertical field effect transistors, vertical bipolar transistors and vertical Schottkey diode so on with a current confinement region using a p-type carrier-blocking layer formed by this technique.

  7. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  8. Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Ji-Hyeon Park

    2014-01-01

    Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.

  9. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  10. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  11. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  12. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  13. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  14. An evidence of defect gettering in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan); State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: akbar@qau.edu.pk; Zhu, J.J.; Wang, Y.T.; Yang, H. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China)

    2008-07-01

    The effect of Neon ion implantation on the structural and optical properties of MOCVD grown GaN was studied. X-ray diffraction and low temperature photoluminescence measurements were carried out on the implanted samples annealed at 900 deg. C. The peak at 3.41 eV exhibited an interesting behavior in as-grown and the implanted samples. Annealing has enhanced the intensity of this peak in as-grown samples, but suppressed in all the implanted samples. Capturing of defects by cavities during gettering process is interpreted as the reason for the observed behavior of this luminescence peak. Implantation dose of 5x10{sup 15} ions/cm{sup 2} caused the complete quenching of yellow band luminescence.

  15. An evidence of defect gettering in GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar; Zhu, J.J.; Wang, Y.T.; Yang, H.

    2008-01-01

    The effect of Neon ion implantation on the structural and optical properties of MOCVD grown GaN was studied. X-ray diffraction and low temperature photoluminescence measurements were carried out on the implanted samples annealed at 900 deg. C. The peak at 3.41 eV exhibited an interesting behavior in as-grown and the implanted samples. Annealing has enhanced the intensity of this peak in as-grown samples, but suppressed in all the implanted samples. Capturing of defects by cavities during gettering process is interpreted as the reason for the observed behavior of this luminescence peak. Implantation dose of 5x10 15 ions/cm 2 caused the complete quenching of yellow band luminescence

  16. Growth and properties of Al-rich InxAl1-xN ternary alloy grown on GaN template by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Oh, Tae Su; Suh, Eun-Kyung; Kim, Jong Ock; Jeong, Hyun; Lee, Yong Seok; Nagarajan, S; Lim, Kee Young; Hong, Chang-Hee

    2008-01-01

    An Al-rich In x Al 1-x N ternary alloy was grown on a GaN template by metal-organic chemical vapour deposition (MOCVD). The GaN template was fabricated on a c-plane sapphire with a low temperature GaN nucleation layer. The growth of the 300 nm thick In x Al 1-x N layer was carried out under various growth temperatures and pressures. The surface morphology and the InN molar fraction of the In x Al 1-x N layer were assessed by using atomic force microscopy (AFM) and high resolution x-ray diffraction, respectively. The AFM surface images of the In x Al 1-x N ternary alloy exhibited quantum dot-like grains caused by the 3D island growth mode. The grains, however, disappeared rapidly by increasing diffusion length and mobility of the Al adatoms with increasing growth temperature and the full width at half maximum value of ternary peaks in HR-XRD decreased with decreasing growth pressure. The MOCVD growth condition with the increased growth temperature and decreased growth pressure would be effective to grow the In x Al 1-x N ternary alloy with a smooth surface and improved quality. The optical band edge of In x Al 1-x N ternary alloys was estimated by optical absorbance and, based on the results of HR-XRD and optical absorbance measurements, we obtained the bowing parameter of the In x Al 1-x N ternary alloy at b = 5.3 eV, which was slightly larger than that of previous reports

  17. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  18. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  19. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  20. Piezo-generator integrating a vertical array of GaN nanowires.

    Science.gov (United States)

    Jamond, N; Chrétien, P; Houzé, F; Lu, L; Largeau, L; Maugain, O; Travers, L; Harmand, J C; Glas, F; Lefeuvre, E; Tchernycheva, M; Gogneau, N

    2016-08-12

    We demonstrate the first piezo-generator integrating a vertical array of GaN nanowires (NWs). We perform a systematic multi-scale analysis, going from single wire properties to macroscopic device fabrication and characterization, which allows us to establish for GaN NWs the relationship between the material properties and the piezo-generation, and to propose an efficient piezo-generator design. The piezo-conversion of individual MBE-grown p-doped GaN NWs in a dense array is assessed by atomic force microscopy (AFM) equipped with a Resiscope module yielding an average output voltage of 228 ± 120 mV and a maximum value of 350 mV generated per NW. In the case of p-doped GaN NWs, the piezo-generation is achieved when a positive piezo-potential is created inside the nanostructures, i.e. when the NWs are submitted to compressive deformation. The understanding of the piezo-generation mechanism in our GaN NWs, gained from AFM analyses, is applied to design a piezo-generator operated under compressive strain. The device consists of NW arrays of several square millimeters in size embedded into spin-on glass with a Schottky contact for rectification and collection of piezo-generated carriers. The generator delivers a maximum power density of ∼12.7 mW cm(-3). This value sets the new state of the art for piezo-generators based on GaN NWs and more generally on nitride NWs, and offers promising prospects for the use of GaN NWs as high-efficiency ultra-compact energy harvesters.

  1. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  2. Transmission electron microscopy of GaN based, doped semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pretorius, A.

    2006-07-01

    This thesis addresses the analysis of GaN based heterostructures with transmission electron microscopy (TEM). Basic properties of the material of interest are introduced in chapter 2. These include the structural and optical properties as well as an introduction to the growth methods used for the samples analysed in this work. In chapter 3 a brief theoretical treatment of TEM is given. As one main topic of this work is the determination of the In concentration in InGaN islands using strain state analysis, a detailed description of the method is given. Chapter 4 describes the results obtained for pyramidal defects present in metalorganic vapour phase epitaxy grown GaN:Mg with high dopant concentration. Based on the experimental results and the well established knowledge that GaN of inverted polarity is present inside the pyramidal defects, a variety of basal plane inversion domain boundary models was set up. From these models, HRTEM images were simulated using the multislice approach, followed by a quantitative comparison to experimentally obtained HRTEM images. Another focus of this work is the analysis of In{sub x}Ga{sub 1-x}N islands grown on GaN presented in chapter 5. Following a literature survey which describes different methods used to obtain In{sub x}Ga{sub 1-x}N islands, the first topic is the distinction of In{sub x}Ga{sub 1-x}N islands and metal droplets, which can form during growth. This is followed by the experimental results of molecular beam epitaxy and metalorganic vapour phase epitaxy grown In{sub x}Ga{sub 1-x}N island and quantum dot samples. (orig.)

  3. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  4. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  5. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  6. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  7. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  8. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  9. Self-regulating and diameter-selective growth of GaN nanowires

    International Nuclear Information System (INIS)

    Kuo, C-K; Hsu, C-W; Wu, C-T; Lan, Z-H; Mou, C-Y; Chen, C-C; Yang, Y-J; Chen, L-C; Chen, K-H

    2006-01-01

    We report diameter-selective growth of GaN nanowires (NWs) by using mono-dispersed Au nanoparticles (NPs) on a ligand-modified Si substrate. The thiol-terminal silane was found to be effective in producing well-dispersed Au NPs in low density on Si substrates so that the agglomeration of Au NPs during growth could be avoided. The resultant GaN NWs exhibited a narrow diameter distribution and their mean diameter was always larger than, while keeping a deterministic relation with, the size of the Au NPs from which they were grown. A self-regulating steady growth model is proposed to account for the size-control process

  10. The dependence of Raman scattering on Mg concentration in Mg-doped GaN grown by MBE

    International Nuclear Information System (INIS)

    Flynn, Chris; Lee, William

    2014-01-01

    Magnesium-doped GaN (GaN:Mg) films having Mg concentrations in the range 5 × 10 18 –5 × 10 20 cm −3 were fabricated by molecular beam epitaxy. Raman spectroscopy was employed to study the effects of Mg incorporation on the positions of the E 2 and A 1 (LO) lines identifiable in the Raman spectra. For Mg concentrations in excess of 2 × 10 19 cm −3 , increases in the Mg concentration shift both lines to higher wave numbers. The shifts of the Raman lines reveal a trend towards compressive stress induced by incorporation of Mg into the GaN films. The observed correlation between the Mg concentration and the Raman line positions establish Raman spectroscopy as a useful tool for optimizing growth of Mg-doped GaN. (papers)

  11. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  12. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  13. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  14. P-type conduction in Mg-doped GaN treated with low-energy electron beam irradiation (LEEBI)

    International Nuclear Information System (INIS)

    Amano, Hiroshi; Kito, Masahiro; Hiramatsu, Kazumasa

    1989-01-01

    Distinct p-type conduction is realized with Mg-doped GaN by the low-energy electron-beam irradiation (LEEBI) treatment, and the properties of the GaN p-n junction LED are reported for the first time. It was found that the LEEBI treatment drastically lowers the resistivity and remarkably enhances the PL efficiency of MOVPE-grown Mg-doped GaN. The Hall effect measurement of this Mg-doped GaN treated with LEEBI at room temperature showed that the hole concentration is ∼2·10 16 cm -3 , the hole mobility is ∼8 cm 2 /V·s and the resistivity is ∼35Ω· cm. The p-n junction LED using Mg-doped GaN treated with LEEBI as the p-type material showed strong near-band-edge emission due to the hole injection from the p-layer to the n-layer at room temperature. (author)

  15. Nanoscratch Characterization of GaN Epilayers on c- and a-Axis Sapphire Substrates

    Directory of Open Access Journals (Sweden)

    Wen Hua-Chiang

    2010-01-01

    Full Text Available Abstract In this study, we used metal organic chemical vapor deposition to form gallium nitride (GaN epilayers on c- and a-axis sapphire substrates and then used the nanoscratch technique and atomic force microscopy (AFM to determine the nanotribological behavior and deformation characteristics of the GaN epilayers, respectively. The AFM morphological studies revealed that pile-up phenomena occurred on both sides of the scratches formed on the GaN epilayers. It is suggested that cracking dominates in the case of GaN epilayers while ploughing during the process of scratching; the appearances of the scratched surfaces were significantly different for the GaN epilayers on the c- and a-axis sapphire substrates. In addition, compared to the c-axis substrate, we obtained higher values of the coefficient of friction (μ and deeper penetration of the scratches on the GaN a-axis sapphire sample when we set the ramped force at 4,000 μN. This discrepancy suggests that GaN epilayers grown on c-axis sapphire have higher shear resistances than those formed on a-axis sapphire. The occurrence of pile-up events indicates that the generation and motion of individual dislocation, which we measured under the sites of critical brittle transitions of the scratch track, resulted in ductile and/or brittle properties as a result of the deformed and strain-hardened lattice structure.

  16. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  17. Study of GaN nanorods converted from β-Ga2O3

    Science.gov (United States)

    Li, Yuewen; Xiong, Zening; Zhang, Dongdong; Xiu, Xiangqian; Liu, Duo; Wang, Shuang; Hua, Xuemei; Xie, Zili; Tao, Tao; Liu, Bin; Chen, Peng; Zhang, Rong; Zheng, Youdou

    2018-05-01

    We report here high-quality β-Ga2O3 nanorods (NRs) grown on sapphire substrates by hydrothermal method. Ammoniating the β-Ga2O3 NRs results in strain-free wurtzite gallium nitride (GaN) NRs. It was shown by XRD and Raman spectroscopy that β-Ga2O3 was partially converted to GaN/β-Ga2O3 at 1000 °C and then completely converted to GaN NRs at 1050 °C, as confirmed by high-resolution transmission electron microscopy (HRTEM). There is no band-edge emission of β-Ga2O3 in the cathodoluminescence spectrum, and only a deep-level broad emission observed at 3.68-3.73 eV. The band edge emission (3.39 eV) of GaN NRs converted from β-Ga2O3 can also be observed.

  18. Effect of diffusion from a lateral surface on the rate of GaN nanowire growth

    International Nuclear Information System (INIS)

    Sibirev, N. V.; Tchernycheva, M.; Cirlin, G. E.; Patriarche, G.; Harmand, J. C.; Dubrovskii, V. G.

    2012-01-01

    The kinetics of the growth of GaN crystalline nanowires on a Si (111) surface with no catalyst is studied experimentally and theoretically. Noncatalytic GaN nanowires were grown by molecular-beam epitaxy with AlN inserts, which makes it possible to determine the rate of the vertical growth of nanowires. A model for the formation of GaN nanowires is developed, and an expression for their rate of growth is derived. It is shown that, in the general case, the dependence of the rate of growth on the nanowire diameter has a minimum. The diameter corresponding to the experimentally observed minimum of the rate of growth steadily increases with increasing diffusion flux from the lateral surface.

  19. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    Science.gov (United States)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  20. The effect of a slight mis-orientation angle of c-plane sapphire substrate on surface and crystal quality of MOCVD grown GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2004-09-01

    The effect of a slight mis-orientation of c-plane sapphire substrate on the surface morphology and crystal quality of GaN thin films grown by MOCVD has been investigated. The mis-orientation angle of vicinal c-plane sapphire substrate was changed within the range of 0.00(zero)-1.00(one) degree, and the experimental results were compared with those on just angle (zero degree) c-plane sapphire substrate. The surface morphology and crystal quality were found to be very sensitive to mis-orientation angle. Consequently, the mis-orientation angle was optimized to be 0.15 . (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Investigation of structural and optical properties of nanoporous GaN film

    International Nuclear Information System (INIS)

    Yam, F.K.; Hassan, Z.; Chuah, L.S.; Ali, Y.P.

    2007-01-01

    The structural and optical characteristics of porous GaN prepared by Pt-assisted electroless etching under different etching durations are reported. The porous GaN samples were investigated by scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), photoluminescence (PL) and Raman scattering. SEM images indicated that the density of the pores increased with the etching duration; however, the etching duration has no significant effect on the size and shape of the pores. XRD measurements showed that the (0 0 0 2) diffraction plane peak width of porous samples was slightly broader than the as-grown sample, and it increased with the etching duration. PL measurements revealed that the near band edge peak of all the porous samples were red-shifted; however, the porosity-induced PL intensity enhancement was only observed in the porous samples; apart from that, two additional strain-induced structural defect-related PL peaks observed in as-grown sample were absent in porous samples. Raman spectra showed that the shift of E 2 (high) to lower frequency was only found in samples with high density of pores. On the contrary, the absence of two forbidden TO modes in the as-grown sample was observed in some of porous samples

  2. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  3. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  4. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  5. Site-selective spectroscopy of Er in GaN

    International Nuclear Information System (INIS)

    Dierolf, V.; Sandmann, C.; Zavada, J.; Chow, P.; Hertog, B.

    2004-01-01

    We investigated different Er 3+ defect sites found in Er-doped GaN layers by site-selective combined excitation-emission spectroscopy and studied the role of these sites in different direct and multistep excitation schemes. The layers were grown by molecular beam epitaxy and were 200 nm thick. Two majority sites were found along with several minority sites. The sites strongly differ in excitation and energy transfer efficiencies as well as branching ratios during relaxation. For this reason, relative emission intensities from these sites depend strongly on emission and excitation. The sites were identified for several transitions and a comprehensive list of energy levels has been compiled. One of the minority sites appears strongly under ultraviolet excitation above the GaN band gap suggesting that this site is an excellent trap for excitation energy of electron-hole pairs

  6. Mechanism of nucleation and growth of catalyst-free self-organized GaN columns by MOVPE

    Science.gov (United States)

    Wang, Xue; Li, Shunfeng; Fündling, Sönke; Wehmann, Hergo-H.; Strassburg, Martin; Lugauer, Hans-Jürgen; Steegmüller, Ulrich; Waag, Andreas

    2013-05-01

    The growth mechanism of catalyst-free self-organized GaN nuclei and three-dimensional columns on sapphire by metal organic vapour phase epitaxy (MOVPE) is investigated. Temperature- and time-dependent growth is performed. The growth behaviour can be characterized by two different kinetic regimes: mass-transport-limited growth and thermodynamically limited growth. The sum of activation energies for thermodynamic barrier of nucleation and for surface diffusion/mass-transport limitation, i.e. Whet +Ed, is 0.57 eV in the ‘low’-temperature region and 2.43 eV in the ‘high’-temperature region. GaN columns grown under the same conditions have very comparable height, which is not dependent on their diameter or the distance to other columns. Therefore, the growth rate is presumably limited by the incorporation rate on the top surface of columns. The height and diameter at the top of the GaN columns increase linearly with time and no height limit is observed. The GaN columns can reach more than 40 µm in height. Moreover, the investigated GaN columns are Ga-polar.

  7. Exciton emission from bare and hybrid plasmonic GaN nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  8. As-Grown Gallium Nitride Nanowire Electromechanical Resonators

    Science.gov (United States)

    Montague, Joshua R.

    Technological development in recent years has led to a ubiquity of micro- and nano-scale electromechanical devices. Sensors for monitoring temperature, pressure, mass, etc., are now found in nearly all electronic devices at both the industrial and consumer levels. As has been true for integrated circuit electronics, these electromechanical devices have continued to be scaled down in size. For many nanometer-scale structures with large surface-to-volume ratio, dissipation (energy loss) becomes prohibitively large causing a decreasing sensitivity with decreasing sensor size. In this work, gallium nitride (GaN) nanowires are investigated as singly-clamped (cantilever) mechanical resonators with typical mechanical quality factors, Q (equal to the ratio of resonance frequency to peak full-width-at-half-maximum-power) and resonance frequencies, respectively, at or above 30,000, and near 1 MHz. These Q values---in vacuum at room temperature---indicate very low levels of dissipation; they are essentially the same as those for bulk quartz crystal resonators that form the basis of simple clocks and mass sensors. The GaN nanowires have lengths and diameters, respectively, of approximately 15 micrometers and hundreds of nanometers. As-grown GaN nanowire Q values are larger than other similarly-sized, bottom-up, cantilever resonators and this property makes them very attractive for use as resonant sensors. We demonstrate the capability of detecting sub-monolayer levels of atomic layer deposited (ALD) films, and the robust nature of the GaN nanowires structure that allows for their 'reuse' after removal of such layers. In addition to electron microscope-based measurement techniques, we demonstrate the successful capacitive detection of a single nanowire using microwave homodyne reflectometry. This technique is then extended to allow for simultaneous measurements of large ensembles of GaN nanowires on a single sample, providing statistical information about the distribution of

  9. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  10. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  12. The structural and optical properties of metal ion-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Macková, A.; Malinský, P. [Nuclear Physics Institute of the Academy of Sciences of the Czech Republic, v.v.i., 250 68 Řež (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, České Mládeže 8, 400 96 Ústí nad Labem (Czech Republic); Sofer, Z.; Šimek, P.; Sedmidubský, D. [Department of Inorganic Chemistry, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Veselý, M. [Dept. of Organic Technology, University of Chemistry and Technology Prague, Technická 5, 166 28 Prague 6 (Czech Republic); Böttger, R. [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden – Rossendorf, 01328 Dresden (Germany)

    2016-03-15

    The practical development of novel optoelectronic materials with appropriate optical properties is strongly connected to the structural properties of the prepared doped structures. We present GaN layers oriented along the (0 0 0 1) crystallographic direction that have been grown by low-pressure metal–organic vapour-phase epitaxy (MOVPE) on sapphire substrates implanted with 200 keV Co{sup +}, Fe{sup +} and Ni{sup +} ions. The structural properties of the ion-implanted layers have been characterised by RBS-channelling and Raman spectroscopy to obtain a comprehensive insight into the structural modification of implanted GaN layers and to study the subsequent influence of annealing on crystalline-matrix recovery. Photoluminescence was measured to control the desired optical properties. The post-implantation annealing induced the structural recovery of the modified GaN layer depending on the introduced disorder level, e.g. depending on the ion implantation fluence, which was followed by structural characterisation and by the study of the surface morphology by AFM.

  13. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  14. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  15. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  16. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  17. A study of photoluminescence and micro-Raman scattering in C-implanted GaN

    International Nuclear Information System (INIS)

    Zhang Limin; Zhang Xiaodong; Liu Zhengmin

    2010-01-01

    GaN samples (no yellow luminescence) in their as-grown states were implanted with 10 13 -10 17 C ions/cm 2 and studied by photoluminescence spectra and micro-Raman scattering spectra. The photoluminescence study showed that yellow luminescence were produced in the C-implanted GaN after 950 degree C annealing, and the peaks of the near band edge emissions showed blue-shifts after C implantation. The Raman measurements indicated that the stresses in GaN films did not change after C implantation. The samples implanted with 10 15 cm -2 carbon ions had the Raman peak at 300 cm -1 , which is associated to the disorder-activated Raman scattering. However, further increasing the implantation dose resulted decreased intensity of the 300 cm -1 peak, due to the ion beam current increase with the implantation dose. (authors)

  18. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  19. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  20. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  1. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  2. Localized tip enhanced Raman spectroscopic study of impurity incorporated single GaN nanowire in the sub-diffraction limit

    International Nuclear Information System (INIS)

    Patsha, Avinash; Dhara, Sandip; Tyagi, A. K.

    2015-01-01

    The localized effect of impurities in single GaN nanowires in the sub-diffraction limit is reported using the study of lattice vibrational modes in the evanescent field of Au nanoparticle assisted tip enhanced Raman spectroscopy (TERS). GaN nanowires with the O impurity and the Mg dopants were grown by the chemical vapor deposition technique in the catalyst assisted vapor-liquid-solid process. Symmetry allowed Raman modes of wurtzite GaN are observed for undoped and doped nanowires. Unusually very strong intensity of the non-zone center zone boundary mode is observed for the TERS studies of both the undoped and the Mg doped GaN single nanowires. Surface optical mode of A 1 symmetry is also observed for both the undoped and the Mg doped GaN samples. A strong coupling of longitudinal optical (LO) phonons with free electrons, however, is reported only in the O rich single nanowires with the asymmetric A 1 (LO) mode. Study of the local vibration mode shows the presence of Mg as dopant in the single GaN nanowires

  3. Localized tip enhanced Raman spectroscopic study of impurity incorporated single GaN nanowire in the sub-diffraction limit

    Energy Technology Data Exchange (ETDEWEB)

    Patsha, Avinash, E-mail: avinash.phy@gmail.com, E-mail: dhara@igcar.gov.in; Dhara, Sandip; Tyagi, A. K. [Surface and Nanoscience Division, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India)

    2015-09-21

    The localized effect of impurities in single GaN nanowires in the sub-diffraction limit is reported using the study of lattice vibrational modes in the evanescent field of Au nanoparticle assisted tip enhanced Raman spectroscopy (TERS). GaN nanowires with the O impurity and the Mg dopants were grown by the chemical vapor deposition technique in the catalyst assisted vapor-liquid-solid process. Symmetry allowed Raman modes of wurtzite GaN are observed for undoped and doped nanowires. Unusually very strong intensity of the non-zone center zone boundary mode is observed for the TERS studies of both the undoped and the Mg doped GaN single nanowires. Surface optical mode of A{sub 1} symmetry is also observed for both the undoped and the Mg doped GaN samples. A strong coupling of longitudinal optical (LO) phonons with free electrons, however, is reported only in the O rich single nanowires with the asymmetric A{sub 1}(LO) mode. Study of the local vibration mode shows the presence of Mg as dopant in the single GaN nanowires.

  4. Investigations on 40 MeV Li3+ ions irradiated GaN epilayers

    International Nuclear Information System (INIS)

    Suresh Kumar, V.; Kumar, J.; Kanjilal, D.; Asokan, K.; Mohanty, T.; Tripathi, A.; Rossi, Francisca; Zappettini, A.; Lazzarani, L.; Ferrari, C.

    2008-01-01

    The Metal Organic Chemical Vapour Deposition (MOCVD) grown n-type Gallium nitride (GaN) layers on sapphire (0 0 0 1) substrates have been irradiated at low and room temperatures with 40 MeV Li 3+ ions at the fluence of 1 x 10 13 ions cm -2 . Irradiated samples were characterised by using X-ray diffraction (XRD), photoluminescence (PL), Raman spectroscopy and atomic force microscopy (AFM). XRD results show that the formation of Ga 2 O 3 has been observed upon irradiation. This is due to interface mixing of GaN/Al 2 O 3 , at both temperatures. Also the GaN (0 0 0 2) peak splits into two at low temperature irradiation. PL measurements show a yellow emission band shift towards blue band side upon irradiation at 77 K. Raman studies indicate that the lattice disorder is high at room temperature irradiation compared to low temperature irradiation. AFM images indicate the increasing surface roughness after ion irradiation at room temperature when compared to pristine GaN and low temperature irradiated GaN. These observations are discussed in detail with the use of complementary techniques

  5. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  6. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  7. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  8. Microstructural properties of over-doped GaN-based diluted magnetic semiconductors grown by MOCVD

    International Nuclear Information System (INIS)

    Tao Zhikuo; Zhang Rong; Xiu Xiangqian; Cui Xugao; Li Xin; Xie Zili; Zheng Youdou; Li Li; Zheng Rongkun; Ringer, Simon P

    2012-01-01

    We have grown transition metal (Fe, Mn) doped GaN thin films on c-oriented sapphire by metal-organic chemical vapor deposition. By varying the flow of the metal precursor, a series of samples with different ion concentrations are synthesized. Microstructural properties are characterized by using a high-resolution transmission electron microscope. For Fe over-doped GaN samples, hexagonal Fe 3 N clusters are observed with Fe 3 N(0002) parallel to GaN (0002) while for Mn over-doped GaN, hexagonal Mn 6 N 2.58 phases are observed with Mn 6 N 2.58 (0002) parallel to GaN(0002). In addition, with higher concentration ions doping into the lattice matrix, the partial lattice orientation is distorted, leading to the tilt of GaN(0002) planes. The magnetization of the Fe over-doped GaN sample is increased, which is ascribed to the participation of ferromagnetic iron and Fe 3 N. The Mn over-doped sample displays very weak ferromagnetic behavior, which probably originates from the Mn 6 N 2.58 . (semiconductor materials)

  9. Radiation sensors based on GaN microwires

    Science.gov (United States)

    Verheij, D.; Peres, M.; Cardoso, S.; Alves, L. C.; Alves, E.; Durand, C.; Eymery, J.; Lorenz, K.

    2018-05-01

    GaN microwires were shown to possess promising characteristics as building blocks for radiation resistant particle detectors. They were grown by metal organic vapour phase epitaxy with diameters between 1 and 2 μm and lengths around 20 μm. Devices were fabricated by depositing gold contacts at the extremities of the wires using photolithography. The response of these single wire radiation sensors was then studied under irradiation with 2 MeV protons. Severe degradation of the majority of devices only sets in for fluences above protons cm‑2 revealing good radiation resistance. During proton irradiation, a clear albeit small current gain was observed with a corresponding decay time below 1 s. Photoconductivity measurements upon irradiation with UV light were carried out before and after the proton irradiation. Despite a relatively low gain, attributed to significant dark currents caused by a high dopant concentration, fast response times of a few seconds were achieved comparable to state-of-the-art GaN nanowire photodetectors. Irradiation and subsequent annealing resulted in an overall improvement of the devices regarding their response to UV radiation. The photocurrent gain increased compared to the values that were obtained prior to the irradiation, without compromising the decay times. The results indicate the possibility of using GaN microwires not only as UV detectors, but also as particle detectors.

  10. Influence of the GaN spacer thickness on the structural and photoluminescence properties of multi-stack InN/GaN quantum dots

    International Nuclear Information System (INIS)

    Ke, Wen-Cheng; Lee, Shuo-Jen; Chen, Shiow-Long; Kao, Chia-Yu; Houng, Wei-Chung; Wei, Chih-An; Su, Yi-Ru

    2012-01-01

    Highlights: ► We present structural and photoluminescence characteristics of multi-stack InN/GaN QDs. ► A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs. ► The PL intensity of the three-layer stacked sample is about 3 times that of the single-layer sample. - Abstract: This paper reports the structural and photoluminescence (PL) characteristics of single-layer and multi-stack InN/GaN quantum dots (QDs) with varying spacer thickness. A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs by the flow-rate modulation epitaxy (FME) method. The PL peak is red shifted down to 18 meV and its full width at half maximum (FWHM) was narrowed from 104 meV to 77 meV as increasing GaN capping layer thickness to 20-nm. The red-shift and the linewidth narrowing of the PL spectra for the single-layer InN QDs as a result of the increase in capping thickness are believed to be due to the fact that the GaN capping layer decreases the surface defect density thereby decreasing the surface electron concentration of the InN QDs. However, the PL intensity decreases rapidly with the increase in GaN spacer thickness for the three-layer stacked InN/GaN QDs. Because of kinetic roughening, the 20-nm thick GaN capping layer shows a roughened surface. This roughened GaN capping layer degrades the InN QDs growth in the next layer of multi-stack InN QDs. In addition, the increased compressive strain on the InN QDs with the increase in GaN spacer thickness increases the defect density at the InN/GaN capped interface and will further decrease the PL intensity. After the GaN spacer thickness is modified, the PL intensity of the three-layer stacked sample with a 10-nm thick GaN spacer layer is about 3 times that of the single-layer sample.

  11. Dependence of the Mg-related acceptor ionization energy with the acceptor concentration in p-type GaN layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Brochen, Stéphane; Brault, Julien; Chenot, Sébastien; Dussaigne, Amélie; Leroux, Mathieu; Damilano, Benjamin

    2013-01-01

    Hall effect and capacitance-voltage C(V) measurements were performed on p-type GaN:Mg layers grown on GaN templates by molecular beam epitaxy with a high range of Mg-doping concentrations. The free hole density and the effective dopant concentration N A −N D as a function of magnesium incorporation measured by secondary ion mass spectroscopy clearly reveal both a magnesium doping efficiency up to 90% and a strong dependence of the acceptor ionization energy Ea with the acceptor concentration N A . These experimental observations highlight an isolated acceptor binding energy of 245±25 meV compatible, at high acceptor concentration, with the achievement of p-type GaN:Mg layers with a hole concentration at room temperature close to 10 19 cm −3

  12. The compositional, structural, and magnetic properties of a Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhonghua; Huang, Shimin [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Tang, Kun, E-mail: ktang@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Gu, Shulin, E-mail: slgu@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Zhu, Shunming [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Ye, Jiandong [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Nanjing University Institute of Optoelectronics at Yangzhou, Yangzhou 225009 (China); Xu, Mingxiang [Department of Physics, Southeast University, Nanjing 210096 (China); Wang, Wei; Zheng, Youdou [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China)

    2016-12-01

    Highlights: • The Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN hetero-structure has been fabricated by MOCVD successfully. • The formation mechanism of different layers in sample was revealed in details. • The properties of the hetero-structure have been presented and discussed extensively. • The effect of Ga diffusion on the magnetic properties of Fe{sub 3}O{sub 4} film has been shown. - Abstract: In this article, the authors have designed and fabricated a Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure by metal-organic chemical vapor deposition. The compositional, structural, and magnetic properties of the hetero-structure have been characterized and discussed. From the characterizations, the hetero-structure has been successfully grown generally. However, due to the unintentional diffusion of Ga ions from Ga{sub 2}O{sub 3}/GaN layers, the most part of the nominal Fe{sub 3}O{sub 4} layer is actually in the form of Ga{sub x}Fe{sub 3−x}O{sub 4} with gradually decreased x values from the Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3} interface to the Fe{sub 3}O{sub 4} surface. Post-annealing process can further aggravate the diffusion. Due to the similar ionic radius of Ga and Fe, the structural configuration of the Ga{sub x}Fe{sub 3−x}O{sub 4} does not differ from that of pure Fe{sub 3}O{sub 4}. However, the ferromagnetism has been reduced with the incorporation of Ga into Fe{sub 3}O{sub 4}, which has been explained by the increased Yafet-Kittel angles in presence of considerable amount of Ga incorporation. A different behavior of the magnetoresistance has been found on the as-grown and annealed samples, which could be modelled and explained by the competition between the spin-dependent and spin-independent conduction channels. This work has provided detailed information on the interfacial properties of the Fe{sub 3}O{sub 4}/Ga{sub 2}O{sub 3}/GaN spin injecting hetero-structure, which is the solid basis for further improvement and application of

  13. Emission dynamics of hybrid plasmonic gold/organic GaN nanorods

    Science.gov (United States)

    Mohammadi, F.; Schmitzer, H.; Kunert, G.; Hommel, D.; Ge, J.; Duscher, G.; Langbein, W.; Wagner, H. P.

    2017-12-01

    We studied the emission of bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature- and intensity-dependent time-integrated and time-resolved photoluminescence (PL). The GaN nanorods of ˜1.5 μm length and ˜250 nm diameter were grown by plasma-assisted molecular beam epitaxy. Gold/Alq3 coated GaN nanorods were synthesized by organic molecular beam deposition. The near band-edge and donor-acceptor pair luminescence was investigated in bare GaN nanorods and compared with multilevel model calculations providing the dynamical parameters for electron-hole pairs, excitons, impurity bound excitons, donors and acceptors. Subsequently, the influence of a 10 nm gold coating without and with an Alq3 spacer layer was studied and the experimental results were analyzed with the multilevel model. Without a spacer layer, a significant PL quenching and lifetime reduction of the near band-edge emission is found. The behavior is attributed to surface band-bending and Förster energy transfer from excitons to surface plasmons in the gold layer. Inserting a 5 nm Alq3 spacer layer reduces the PL quenching and lifetime reduction which is consistent with a reduced band-bending and Förster energy transfer. Increasing the spacer layer to 30 nm results in lifetimes which are similar to uncoated structures, showing a significantly decreased influence of the gold coating on the excitonic dynamics.

  14. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  15. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    Science.gov (United States)

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  16. Field plated 0.15 μm GaN HEMTs for millimeter-wave application

    International Nuclear Information System (INIS)

    Ren Chunjiang; Li Zhonghui; Yu Xuming; Wang Quanhui; Wang Wen; Chen Tangsheng; Zhang Bin

    2013-01-01

    SiN dielectrically-defined 0.15 μm field plated GaN HEMTs for millimeter-wave application have been presented. The AlGaN/GaN hetero-structure epitaxial material for HEMTs fabrication was grown on a 3-inch SiC substrate with an Fe doped GaN buffer layer by metal-organic chemical deposition. Electron beam lithography was used to define both the gate footprint and the cap of the gate with an integrated field plate. Gate recessing was performed to control the threshold voltage of the devices. The fabricated GaN HEMTs exhibited a unit current gain cut-off frequency of 39 GHz and a maximum frequency of oscillation of 63 GHz. Load-pull measurements carried out at 35 GHz showed a power density of 4 W/mm with associated power gain and power added efficiency of 5.3 dB and 35%, respectively, for a 0.15 mm gate width device operated at a 24 V drain bias. The developed 0.15 μm gate length GaN HEMT technology is suitable for Ka band applications and is ready for millimeter-wave power MMICs development. (semiconductor devices)

  17. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation.

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-08

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D 0 X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D 0 X and deep level emission. To determine the nature of the D 0 X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D 0 X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D 0 X emission.

  18. A study of the red-shift of a neutral donor bound exciton in GaN nanorods by hydrogenation

    Science.gov (United States)

    Park, Byung-Guon; Lee, Sang-Tae; Reddeppa, Maddaka; Kim, Moon-Deock; Oh, Jae-Eung; Lee, Sang-Kwon

    2017-09-01

    In this paper we account for the physics behind the exciton peak shift in GaN nanorods (NRs) due to hydrogenation. GaN NRs were selectively grown on a patterned Ti/Si(111) substrate using plasma-assisted molecular beam epitaxy, and the effect of hydrogenation on their optical properties was investigated in detail using low-temperature photoluminescence measurements. Due to hydrogenation, the emissions corresponding to the donor-acceptor pair and yellow luminescence in GaN NRs were strongly suppressed, while the emission corresponding to the neutral to donor bound exciton (D0X) exhibited red-shift. Thermal annealing of hydrogenated GaN NRs demonstrated the recovery of the D0X and deep level emission. To determine the nature of the D0X peak shift due to hydrogenation, comparative studies were carried out on various diameters of GaN NRs, which can be controlled by different growth conditions and wet-etching times. Our experimental results reveal that the D0X shift depends on the diameter of the GaN NRs after hydrogenation. The results clearly demonstrate that the hydrogenation leads to band bending of GaN NRs as compensated by hydrogen ions, which causes a red-shift in the D0X emission.

  19. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  20. Growth of InGaN multiple quantum wells and GaN eplilayer on GaN substrate

    International Nuclear Information System (INIS)

    Lee, Sung-Nam; Paek, H.S.; Son, J.K.; Sakong, T.; Yoon, E.; Nam, O.H.; Park, Y.

    2006-01-01

    We investigated that the surface morphology of GaN epilayers was significantly affected by the surface tilt orientation of GaN substrate. Surface morphologies of GaN epilayers on GaN substrates show three types: mirror, wavy, and hillock. These surface morphologies are dependent on the surface orientation of GaN substrates. It is found that the hillock morphology of GaN epilayer was formed on the GaN substrate with surface tilt orientation less than 0.1 o . As the surface tilt angle increased to 0.35 o , the surface morphology varied from hillock to wavy morphology. Above a surface tilt angle of 0.4 o , surface morphology changed to the mirror-like type morphology. Additionally, these three types of GaN surface morphology also affected the optical quality of GaN epilayers as well as InGaN multiple quantum wells on GaN substrates by non-uniform In incorporation on the different surface morphologies of GaN epilayers

  1. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  2. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  3. Proton irradiation effects on deep level states in Mg-doped p-type GaN grown by ammonia-based molecular beam epitaxy

    Science.gov (United States)

    Zhang, Z.; Arehart, A. R.; Kyle, E. C. H.; Chen, J.; Zhang, E. X.; Fleetwood, D. M.; Schrimpf, R. D.; Speck, J. S.; Ringel, S. A.

    2015-01-01

    The impact of proton irradiation on the deep level states throughout the Mg-doped p-type GaN bandgap is investigated using deep level transient and optical spectroscopies. Exposure to 1.8 MeV protons of 1 × 1013 cm-2 and 3 × 1013 cm-2 fluences not only introduces a trap with an EV + 1.02 eV activation energy but also brings monotonic increases in concentration for as-grown deep states at EV + 0.48 eV, EV + 2.42 eV, EV + 3.00 eV, and EV + 3.28 eV. The non-uniform sensitivities for individual states suggest different physical sources and/or defect generation mechanisms. Comparing with prior theoretical calculations reveals that several traps are consistent with associations to nitrogen vacancy, nitrogen interstitial, and gallium vacancy origins, and thus are likely generated through displacing nitrogen and gallium atoms from the crystal lattice in proton irradiation environment.

  4. Study of Charge Carrier Transport in GaN Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Kuokstis, Edmundas; Meskauskaite, Dovile; Pavlov, Jevgenij; Reklaitis, Ignas

    2016-01-01

    Capacitor and Schottky diode sensors were fabricated on GaN material grown by hydride vapor phase epitaxy and metal-organic chemical vapor deposition techniques using plasma etching and metal deposition. The operational characteristics of these devices have been investigated by profiling current transients and by comparing the experimental regimes of the perpendicular and parallel injection of excess carrier domains. Profiling of the carrier injection location allows for the separation of the bipolar and the monopolar charge drift components. Carrier mobility values attributed to the hydride vapor phase epitaxy (HVPE) GaN material have been estimated as μe = 1000 ± 200 cm2/Vs for electrons, and μh = 400 ± 80 cm2/Vs for holes, respectively. Current transients under injection of the localized and bulk packets of excess carriers have been examined in order to determine the surface charge formation and polarization effects. PMID:28773418

  5. Stopping Power and Energy Straggling of Channeled He-Ions in GaN

    International Nuclear Information System (INIS)

    Turos, A.; Ratajczak, R.; Pagowska, K.; Nowicki, L.; Stonert, A.; Caban, P.

    2011-01-01

    GaN epitaxial layers are usually grown on sapphire substrates. To avoid disastrous effect of the large lattice mismatch a thin polycrystalline nucleation layer is grown at 500 o C followed by the deposition of thick GaN template at much higher temperature. Remnants of the nucleation layer were visualized by transmission electron microscopy as defect agglomeration at the GaN/sapphire interface and provide a very useful depth marker for the measurement of channeled ions stopping power. Random and aligned spectra of He ions incident at energies ranging from 1.7 to 3.7 MeV have been measured and evaluated using the Monte Carlo simulation code McChasy. Impact parameter dependent stopping power has been calculated for channeling direction and its parameters have been adjusted according to experimental data. For virgin, i.e. as grown, samples, the ratio of channeled to random stopping power is constant and amounts to 0.7 in the energy range studied. Defects produced by ion implantation largely influence the stopping power. For channeled ions the variety of possible trajectories leads to different energy loss at a given depth, thus resulting in much larger energy straggling than that for the random path. Beam energy distributions at different depths have been calculated using the McChasy code. They are significantly broader than those predicted by the Bohr formula for random direction. (author)

  6. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  7. Polarization of stacking fault related luminescence in GaN nanorods

    Directory of Open Access Journals (Sweden)

    G. Pozina

    2017-01-01

    Full Text Available Linear polarization properties of light emission are presented for GaN nanorods (NRs grown along [0001] direction on Si(111 substrates by direct-current magnetron sputter epitaxy. The near band gap photoluminescence (PL measured at low temperature for a single NR demonstrated an excitonic line at ∼3.48 eV and the stacking faults (SFs related transition at ∼3.43 eV. The SF related emission is linear polarized in direction perpendicular to the NR growth axis in contrast to a non-polarized excitonic PL. The results are explained in the frame of the model describing basal plane SFs as polymorphic heterostructure of type II, where anisotropy of chemical bonds at the interfaces between zinc blende and wurtzite GaN subjected to in-built electric field is responsible for linear polarization parallel to the interface planes.

  8. Structure guided GANs

    Science.gov (United States)

    Cao, Feidao; Zhao, Huaici; Liu, Pengfei

    2017-11-01

    Generative adversarial networks (GANs) has achieved success in many fields. However, there are some samples generated by many GAN-based works, whose structure is ambiguous. In this work, we propose Structure Guided GANs that introduce structural similar into GANs to overcome the problem. In order to achieve our goal, we introduce an encoder and a decoder into a generator to design a new generator and take real samples as part of the input of a generator. And we modify the loss function of the generator accordingly. By comparison with WGAN, experimental results show that our proposed method overcomes largely sample structure ambiguous and can generate higher quality samples.

  9. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    International Nuclear Information System (INIS)

    Mamand, S.M.; Omar, M.S.; Muhammad, A.J.

    2012-01-01

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: ► A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. ► A direct method is used to calculate phonon group velocity for these nanowires. ► 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. ► Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2–300 K, was performed using a modified Callaway model. Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10 14 m −2 the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10 14 m −2 , lattice thermal conductivity would be independent of that.

  10. Nanoscale size dependence parameters on lattice thermal conductivity of Wurtzite GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Mamand, S.M., E-mail: soran.mamand@univsul.net [Department of Physics, College of Science, University of Sulaimani, Sulaimanyah, Iraqi Kurdistan (Iraq); Omar, M.S. [Department of Physics, College of Science, University of Salahaddin, Arbil, Iraqi Kurdistan (Iraq); Muhammad, A.J. [Department of Physics, College of Science, University of Kirkuk, Kirkuk (Iraq)

    2012-05-15

    Graphical abstract: Temperature dependence of calculated lattice thermal conductivity of Wurtzite GaN nanowires. Highlights: Black-Right-Pointing-Pointer A modified Callaway model is used to calculate lattice thermal conductivity of Wurtzite GaN nanowires. Black-Right-Pointing-Pointer A direct method is used to calculate phonon group velocity for these nanowires. Black-Right-Pointing-Pointer 3-Gruneisen parameter, surface roughness, and dislocations are successfully investigated. Black-Right-Pointing-Pointer Dislocation densities are decreases with the decrease of wires diameter. -- Abstract: A detailed calculation of lattice thermal conductivity of freestanding Wurtzite GaN nanowires with diameter ranging from 97 to 160 nm in the temperature range 2-300 K, was performed using a modified Callaway model. Both longitudinal and transverse modes are taken into account explicitly in the model. A method is used to calculate the Debye and phonon group velocities for different nanowire diameters from their related melting points. Effect of Gruneisen parameter, surface roughness, and dislocations as structure dependent parameters are successfully used to correlate the calculated values of lattice thermal conductivity to that of the experimentally measured curves. It was observed that Gruneisen parameter will decrease with decreasing nanowire diameters. Scattering of phonons is assumed to be by nanowire boundaries, imperfections, dislocations, electrons, and other phonons via both normal and Umklapp processes. Phonon confinement and size effects as well as the role of dislocation in limiting thermal conductivity are investigated. At high temperatures and for dislocation densities greater than 10{sup 14} m{sup -2} the lattice thermal conductivity would be limited by dislocation density, but for dislocation densities less than 10{sup 14} m{sup -2}, lattice thermal conductivity would be independent of that.

  11. Flexible integration of free-standing nanowires into silicon photonics.

    Science.gov (United States)

    Chen, Bigeng; Wu, Hao; Xin, Chenguang; Dai, Daoxin; Tong, Limin

    2017-06-14

    Silicon photonics has been developed successfully with a top-down fabrication technique to enable large-scale photonic integrated circuits with high reproducibility, but is limited intrinsically by the material capability for active or nonlinear applications. On the other hand, free-standing nanowires synthesized via a bottom-up growth present great material diversity and structural uniformity, but precisely assembling free-standing nanowires for on-demand photonic functionality remains a great challenge. Here we report hybrid integration of free-standing nanowires into silicon photonics with high flexibility by coupling free-standing nanowires onto target silicon waveguides that are simultaneously used for precise positioning. Coupling efficiency between a free-standing nanowire and a silicon waveguide is up to ~97% in the telecommunication band. A hybrid nonlinear-free-standing nanowires-silicon waveguides Mach-Zehnder interferometer and a racetrack resonator for significantly enhanced optical modulation are experimentally demonstrated, as well as hybrid active-free-standing nanowires-silicon waveguides circuits for light generation. These results suggest an alternative approach to flexible multifunctional on-chip nanophotonic devices.Precisely assembling free-standing nanowires for on-demand photonic functionality remains a challenge. Here, Chen et al. integrate free-standing nanowires into silicon waveguides and show all-optical modulation and light generation on silicon photonic chips.

  12. Luminescent N-polar (In,Ga)N/GaN quantum wells achieved by plasma-assisted molecular beam epitaxy at temperatures exceeding 700 °C

    Science.gov (United States)

    Chèze, C.; Feix, F.; Lähnemann, J.; Flissikowski, T.; Kryśko, M.; Wolny, P.; Turski, H.; Skierbiszewski, C.; Brandt, O.

    2018-01-01

    Previously, we found that N-polar (In,Ga)N/GaN quantum wells prepared on freestanding GaN substrates by plasma-assisted molecular beam epitaxy at conventional growth temperatures of about 650 °C do not exhibit any detectable luminescence even at 10 K. In the present work, we investigate (In,Ga)N/GaN quantum wells grown on Ga- and N-polar GaN substrates at a constant temperature of 730 °C . This exceptionally high temperature results in a vanishing In incorporation for the Ga-polar sample. In contrast, quantum wells with an In content of 20% and abrupt interfaces are formed on N-polar GaN. Moreover, these quantum wells exhibit a spatially uniform green luminescence band up to room temperature, but the intensity of this band is observed to strongly quench with temperature. Temperature-dependent photoluminescence transients show that this thermal quenching is related to a high density of nonradiative Shockley-Read-Hall centers with large capture coefficients for electrons and holes.

  13. Optical excitation and external photoluminescence quantum efficiency of Eu3+ in GaN

    NARCIS (Netherlands)

    de Boer, W.D.A.M.; McGonigle, C.; Gregorkiewicz, T.; Fujiwara, Y.; Stallinga, P.

    2014-01-01

    We investigate photoluminescence of Eu-related emission in a GaN host consisting of thin layers grown by organometallic vapor-phase epitaxy. By comparing it with a reference sample of Eu-doped Y2O3, we find that the fraction of Eu3+ ions that can emit light upon optical excitation is of the order of

  14. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  15. Discovering a Defect that Imposes a Limit to Mg Doping in p-Type GaN

    International Nuclear Information System (INIS)

    Liliental-Weber, Z.; Tomaszewicz, T.; Zakharov, D.; O'Keefe, M.A.

    2006-01-01

    Gallium nitride (GaN) is the III-V semiconductor used to produce blue light-emitting diodes (LEDs) and blue and ultraviolet solid-state lasers. To be useful in electronic devices, GaN must be doped with elements that function either as electron donors or as acceptors to turn it into either an n-type semiconductor or a p-type semiconductor. It has been found that GaN can easily be grown with n-conductivity, even up to large concentrations of donors--in the few 10 19 cm -3 range. However, p-doping, the doping of the structure with atoms that provide electron sinks or holes, is not well understood and remains extremely difficult. The only efficient p-type dopant is Mg, but it is found that the free hole concentration is limited to 2 x 10 18 cm -3 , even when Mg concentrations are pushed into the low 10 19 cm -3 range. This saturation effect could place a limit on further development of GaN based devices. Further increase of the Mg concentration, up to 1 x 10 20 cm -3 leads to a decrease of the free hole concentration and an increase in defects. While low- to medium-brightness GaN light-emitting diodes (LEDs) are remarkably tolerant of crystal defects, blue and UV GaN lasers are much less so. We used electron microscopy to investigate Mg doping in GaN. Our transmission electron microscopy (TEM) studies revealed the formation of different types of Mg-rich defects [1,2]. In particular, high-resolution TEM allowed us to characterize a completely new type of defect in Mg-rich GaN. We found that the type of defect depended strongly on crystal growth polarity. For crystals grown with N-polarity, planar defects are distributed at equal distances (20 unit cells of GaN); these defects can be described as inversion domains [1]. For growth with Ga-polarity, we found a different type of defect [2]. These defects turn out to be three-dimensional Mg-rich hexagonal pyramids (or trapezoids) with their base on the (0001) plane and their six walls formed on {1123} planes (Fig. 1a). In

  16. Interface gap states and Schottky barrier inhomogeneity at metal/n-type GaN Schottky contacts

    International Nuclear Information System (INIS)

    Mamor, M

    2009-01-01

    The barrier heights (BH) of various metals including Pd, Pt and Ni on n-type GaN (M/n-GaN) have been measured in the temperature range 80-400 K with using a current-voltage (I-V) technique. The temperature dependence of the I-V characteristics of M/n-GaN have shown non-ideal behaviors and indicate the presence of a non-uniform distribution of surface gap states, resulting from the residual defects in the as grown GaN. The surface gap states density N ss , as well as its temperature dependence were obtained from the bias and temperature dependence of the ideality factor n(V,T) and the barrier height Φ Bn (V,T). Further, a dependence of zero-bias BH Φ 0Bn on the metal work function (Φ m ) with an interface parameter coefficient of proportionality of 0.47 is found. This result indicates that the Fermi level at the M/n-GaN interface is unpinned. Additionally, the presence of lateral inhomogeneities of the BH, with two Gaussian distributions of the BH values is seen. However, the non-homogeneous SBH is found to be correlated to the surface gap states density, in that Φ 0Bn becomes smaller with increasing N ss . These findings suggest that the lateral inhomogeneity of the SBH is connected to the non-uniform distribution of the density of surface gap states at metal/GaN which is attributed to the presence of native defects in the as grown GaN. Deep level transient spectroscopy confirms the presence of native defects with discrete energy levels at GaN and provides support to this interpretation.

  17. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  18. Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN

    International Nuclear Information System (INIS)

    Takeuchi, S.; Asazu, H.; Nakamura, Y.; Sakai, A.; Imanishi, M.; Imade, M.; Mori, Y.

    2015-01-01

    We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration of the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results

  19. Synthesis, optical properties and residual strain effect of GaN nanowires generated via metal-assisted photochemical electroless etching

    KAUST Repository

    Najar, Adel

    2017-04-18

    Herein, we report on the studies of GaN nanowires (GaN NWs) prepared via a metal-assisted photochemical electroless etching method with Pt as the catalyst. It has been found that etching time greatly influences the growth of GaN NWs. The density and the length of nanowires increased with longer etching time, and excellent substrate coverage was observed. The average nanowire width and length are around 35 nm and 10 μm, respectively. Transmission electron microscopy (TEM) shows a single-crystalline wurtzite structure and is confirmed by X-ray measurements. The synthesis mechanism of GaN NWs using the metal-assisted photochemical electroless etching method was presented. Photoluminescence (PL) measurements of GaN NWs show red-shift PL peaks compared to the as-grown sample associated with the relaxation of compressive stress. Furthermore, a shift of the E2 peak to the lower frequency in the Raman spectra for the samples etched for a longer time confirms such a stress relaxation. Based on Raman measurements, the compressive stress σxx and the residual strain εxx were evaluated to be 0.23 GPa and 2.6 × 10−4, respectively. GaN NW synthesis using a low cost method might be used for the fabrication of power optoelectronic devices and gas sensors.

  20. Axial p-n junction and space charge limited current in single GaN nanowire

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-01

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  1. Axial p-n junction and space charge limited current in single GaN nanowire.

    Science.gov (United States)

    Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien

    2018-01-05

    The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.

  2. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  4. Ultra-low leakage and high breakdown Schottky diodes fabricated on free-standing GaN substrate

    International Nuclear Information System (INIS)

    Wang, Yaqi; Alur, Siddharth; Sharma, Yogesh; Tong, Fei; Thapa, Resham; Gartland, Patrick; Issacs-Smith, Tamara; Ahyi, Claude; Williams, John; Park, Minseo; Johnson, Mark; Paskova, Tanya; Preble, Edward A; Evans, Keith R

    2011-01-01

    Vertical Schottky diodes were fabricated on the bulk GaN substrate with decreasing impurity concentration from N-face to Ga-face. An array of circular Pt Schottky contacts and a full backside Ti/Al/Ni/Au ohmic contact were prepared on the Ga-face and the N-face of the n-GaN substrate, respectively. The Schottky diode exhibits a minimum specific on-state resistance of 1.3 mΩ cm 2 and a maximum breakdown voltage of 600 V, resulting in a figure-of- merit of 275 MW cm −2 . An ultra-low reverse leakage current density of 3.7 × 10 −4 A cm −2 at reverse bias of 400 V was observed. Temperature-dependent I–V measurements were also carried out to study the forward and reverse transportation mechanisms. (fast track communication)

  5. The impact of ScO{sub x}N{sub y} interlayers on unintentional doping and threading dislocations in GaN

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, T; Moram, M A; Rao, D V Sridhara; Li, H; Kappers, M J; Oliver, R A, E-mail: tz234@cam.ac.u [Department of Materials Science and Metallurgy, Pembroke Street, Cambridge, CB2 3QZ (United Kingdom)

    2010-02-01

    To reduce the threading dislocation density in (0001) GaN grown on c-plane sapphire, a series of samples have been grown using scandium oxynitride (ScO{sub x}N{sub y}) interlayers (ILs) on AlN-on-sapphire templates. Scanning capacitance microscopy (SCM) has been employed to investigate the unintentional doping in GaN with varying ScO{sub x}N{sub y} IL thicknesses. The use of ScO{sub x}N{sub y} ILs decreases the threading dislocation density. An unintentionally n-doped layer has been identified by SCM close to the GaN/ScO{sub x}N{sub y} interface. The average width of this conductive layer has been quantified and found to increase as the ScO{sub x}N{sub y} IL thickness increases up to 13 nm.

  6. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  7. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  8. In-situ TEM study of domain switching in GaN thin films

    Science.gov (United States)

    Wang, Baoming; Wang, Tun; Haque, Aman; Snure, Michael; Heller, Eric; Glavin, Nicholas

    2017-09-01

    Microstructural response of gallium nitride (GaN) films, grown by metal-organic chemical vapor deposition, was studied as a function of applied electrical field. In-situ transmission electron microscopy showed sudden change in the electron diffraction pattern reflecting domain switching at around 20 V bias, applied perpendicular to the polarization direction. No such switching was observed for thicker films or for the field applied along the polarization direction. This anomalous behavior is explained by the nanoscale size effects on the piezoelectric coefficients of GaN, which can be 2-3 times larger than the bulk value. As a result, a large amount of internal energy can be imparted in 100 nm thick films to induce domain switching at relatively lower voltages to induce such events at the bulk scale.

  9. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  10. MOVPE growth of position-controlled InGaN / GaN core-shell nanorods

    Energy Technology Data Exchange (ETDEWEB)

    Mandl, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Schimpke, Tilman; Binder, Michael; Galler, Bastian; Lugauer, Hans-Juergen; Strassburg, Martin [Osram Opto Semiconductors GmbH, Regensburg (Germany); Wang, Xue; Ledig, Johannes; Ehrenburg, Milena; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Kong, Xiang; Trampert, Achim [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2013-07-01

    Core-shell group III-nitride nano- and microrods (NAMs) enable a significant increase of the active layer area by exploiting the non-polar side facets (m-planes) and thus can potentially contribute to mitigating the so-called efficiency droop in LEDs. GaN NAMs exhibiting high aspect ratios were grown in a production-type MOVPE system. Low V/III ratio, hydrogen-rich carrier gas mixture and surfactants supported the 3D growth of the pencil-shape n-type GaN core. Desired narrow distributions of shape, diameter and height were achieved. The arrangement of the NAMs was controlled by patterns etched into SiO{sub 2} masks deposited on GaN templates. The active layer (InGaN/GaN SQW and MQWs) and the layer for the p-side were deposited with 2D-like conditions wrapped around the core. The crystalline quality of the NAMs, shell growth rates and the Indium distribution were investigated by high resolution transmission electron microscopy. Furthermore, optical emission was studied using density-dependent photoluminescence spectroscopy.

  11. Porosity-induced relaxation of strains in GaN layers studied by means of micro-indentation and optical spectroscopy

    KAUST Repository

    Najar, Adel; Gerland, Michel; Jouiad, Mustapha

    2012-01-01

    We report the fabrication of porous GaNnanostructures using UV-assisted electroless etching of bulk GaN layer grown on c-plane sapphire substrate in a solution consisting of HF:CH3OH:H2O2. The morphology of the porous Ga

  12. Topical Review: Development of overgrown semi-polar GaN for high efficiency green/yellow emission

    Science.gov (United States)

    Wang, T.

    2016-09-01

    The most successful example of large lattice-mismatched epitaxial growth of semiconductors is the growth of III-nitrides on sapphire, leading to the award of the Nobel Prize in 2014 and great success in developing InGaN-based blue emitters. However, the majority of achievements in the field of III-nitride optoelectronics are mainly limited to polar GaN grown on c-plane (0001) sapphire. This polar orientation poses a number of fundamental issues, such as reduced quantum efficiency, efficiency droop, green and yellow gap in wavelength coverage, etc. To date, it is still a great challenge to develop longer wavelength devices such as green and yellow emitters. One clear way forward would be to grow III-nitride device structures along a semi-/non-polar direction, in particular, a semi-polar orientation, which potentially leads to both enhanced indium incorporation into GaN and reduced quantum confined Stark effects. This review presents recent progress on developing semi-polar GaN overgrowth technologies on sapphire or Si substrates, the two kinds of major substrates which are cost-effective and thus industry-compatible, and also demonstrates the latest achievements on electrically injected InGaN emitters with long emission wavelengths up to and including amber on overgrown semi-polar GaN. Finally, this review presents a summary and outlook on further developments for semi-polar GaN based optoelectronics.

  13. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  14. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  15. Taevo Gans / Ene Ammer

    Index Scriptorium Estoniae

    Ammer, Ene

    1998-01-01

    Sisearhitekt Taevo Gansist. Tudengipõlvest, selle aja projektidest, sõpruskonnast, tandemist Summatavet & Gans, Venemaa tellimustest, kaastöölistest. Üksinda Hommilkumaal vene tarbekunsti näitusega 1974. a. 1988. a. loodud perefirmast "GaDis" (omanikud Taevo, Helle Gans, Riia Oja), mis nõustab ka "Wermot" mööbli osas. "GaDise" sisekujundusprojektidest, millega Taevo ja Helle Gans tegelevad üheskoos

  16. Resonant Raman and FTIR spectra of carbon doped GaN

    Science.gov (United States)

    Ito, S.; Kobayashi, H.; Araki, K.; Suzuki, K.; Sawaki, N.; Yamashita, K.; Honda, Y.; Amano, H.

    2015-03-01

    Intentionally carbon (C) doped (0 0 0 1)GaN was grown using C2H2 on a sapphire substrate by metalorganic vapor phase epitaxy. Optical spectra of the heavily doped samples were investigated at room temperature. In Raman spectra excited by the 325 nm line of a He-Cd laser, multiple LO phonon scattering signals up to 7th order were observed, and the A1(LO) phonon energy was determined to be 737.5 cm-1 (91.45 meV). In infrared reflectance spectra, on the other hand, a local vibration mode was found at 777.5 cm-1, which is attributed to a Ga-C bond in the GaN matrix suggesting that the C sits on an N site (CN). In spite of the strong suggestion of CN, the samples did not show p-type conduction. Possible origin of the carrier compensation is discussed in relation to the enhancement of defect related yellow luminescence in the photoluminescence spectra.

  17. Electron beam and optical depth profiling of quasibulk GaN

    International Nuclear Information System (INIS)

    Chernyak, L.; Osinsky, A.; Nootz, G.; Schulte, A.; Jasinski, J.; Benamara, M.; Liliental-Weber, Z.; Look, D. C.; Molnar, R. J.

    2000-01-01

    Electron beam and optical depth profiling of thick (5.5--64 μm) quasibulk n-type GaN samples, grown by hydride vapor-phase epitaxy, were carried out using electron beam induced current (EBIC), microphotoluminescence (PL), and transmission electron microscopy (TEM). The minority carrier diffusion length, L, was found to increase linearly from 0.25 μm, at a distance of about 5 μm from the GaN/sapphire interface, to 0.63 μm at the GaN surface, for a 36-μm-thick sample. The increase in L was accompanied by a corresponding increase in PL band-to-band radiative transition intensity as a function of distance from the GaN/sapphire interface. We attribute the latter changes in PL intensity and minority carrier diffusion length to a reduced carrier mobility and lifetime at the interface, due to scattering at threading dislocations. The results of EBIC and PL measurements are in good agreement with the values for dislocation density obtained using TEM

  18. Implantation induced electrical isolation of sulphur doped GaN xAs1-x layers

    International Nuclear Information System (INIS)

    Ahmed, S.; Lin, J.; Haq, A.; Sealy, B.

    2005-01-01

    The study of III-N-V semiconductor alloys, especially GaN x As 1-x has been increasing in the last few years. The strong dependence of the band gap on the nitrogen content has made this material important for a variety of applications, including long wavelength optoelectronic devices and high efficiency solar cells. We report on the effects of sulphur doping implants on the achieved electrical isolation in GaN x As 1-x layers using proton bombardment. Sulphur ions were implanted in MOCVD-grown GaN x As 1-x layers (1.4 μm thick with nominal x = 1%) with multiple energies creating approximately uniform doping profiles in the range of about 1 x 10 18 -5 x 10 19 cm -3 . Several proton implants were performed in order to find the threshold dose (minimum dose to achieve maximum sheet resistivity) for the electrical isolation of n-type GaN x As 1-x layers. Results show that the sheet resistance of n-type layers can be increased by about five orders of magnitude by proton implantation and the threshold dose to convert a conductive layer to a highly resistive one depends on the original free carrier concentration. The study of annealing temperature dependence of sheet resistivity in proton-isolated GaN x As 1-x layers shows that the electrical isolation can be preserved up to 450 and 500 deg. C when the implantation is performed at RT and 77 K with threshold dose, respectively. These results for n-type GaN x As 1-x layers are novel and have ramifications for device engineers

  19. Growth of high quality GaN epilayer on AlInN/GaN/AlInN/GaN multilayer buffer and its device characteristics

    International Nuclear Information System (INIS)

    Lee, Suk-Hun; Lee, Hyun-Hwi; Jung, Jong-Jae; Moon, Young-Bu; Kim, Tae Hoon; Baek, Jong Hyeob; Yu, Young Moon

    2004-01-01

    The role of AlInN 1st /GaN/AlInN 2nd /GaN multi-layer buffer (MLB) on the growth of the high quality GaN epilayers was demonstrated by atomic force microscope (AFM), X-ray diffraction (XRD), photoluminescence, and Hall measurement. The surface morphology and crystalline quality of GaN epilayers were considerably dependent on AlInN layers thicknesses rather than those of GaN inter layers. With optimal thickness of 2 nd AlInN layer, the pit density of GaN epilayers was substantially reduced. Also, the RMS roughness of the well ordered terraces generated on the GaN surface was 1.8 A at 5 x 5 μm 2 . The omega-rocking width of GaN(0002) Bragg peak and Hall mobility of GaN epilayers grown on AlInN 1st /GaN/AlInN 2nd /GaN MLB were 190 arcsec and 500 cm 2 /Vs, while those values of GaN epilayers on single GaN buffer layer were 250 arcsec and 250 cm 2 /Vs, respectively. Especially, the light output power and operating voltage of the fabricated light emitting diodes with this new buffer layer was about 5 mW and 3.1 V (dominant luminous wavelength ∝460 nm) at 20 mA, respectively. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. (-201) β-Gallium oxide substrate for high quality GaN materials

    KAUST Repository

    Roqan, Iman S.

    2015-03-13

    (-201) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. The key advantages of Ga2O3 are its small lattice mismatches (4.7%), appropriate structural, thermal and electrical properties and a competitive price compared to other substrates. Optical characterization show that GaN layers grown on (-201) oriented β-Ga2O3 are dominated by intense bandedge emission with a high luminescence efficiency. Atomic force microscopy studies show a modest threading dislocation density of ~108 cm-2, while complementary Raman spectroscopy indicates that the GaN epilayer is of high quality with slight compressive strain. Room temperature time-findings suggest that the limitation of the photoluminescence lifetime (~500 ps) is due to nonradiative recombination arising from threading dislocation. Therefore, by optimizing the growth conditions, high quality material with significant optical efficiency can be obtained.

  1. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Free-Standing, Flexible, Superomniphobic Films.

    Science.gov (United States)

    Vahabi, Hamed; Wang, Wei; Movafaghi, Sanli; Kota, Arun K

    2016-08-31

    Fabrication of most superomniphobic surfaces requires complex process conditions or specialized and expensive equipment or skilled personnel. In order to circumvent these issues and make them end-user-friendly, we developed the free-standing, flexible, superomniphobic films. These films can be stored and delivered to the end-users, who can readily attach them to virtually any surface (even irregular shapes) and impart superomniphobicity. The hierarchical structure, the re-entrant texture, and the low solid surface energy render our films superomniphobic for a wide variety of liquids. We demonstrate that our free-standing, flexible, superomniphobic films have applications in enhanced chemical resistance and enhanced weight bearing.

  3. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  4. Bulk GaN alpha-particle detector with large depletion region and improved energy resolution

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Qiang; Mulligan, Padhraic [Nuclear Engineering Program, Department of Mechanical and Aerospace Engineering, The Ohio State University, Columbus, OH 43210 (United States); Wang, Jinghui [Department of Radiology, Stanford University, 1201 Welch Rd, Stanford, CA 94305 (United States); Chuirazzi, William [Nuclear Engineering Program, Department of Mechanical and Aerospace Engineering, The Ohio State University, Columbus, OH 43210 (United States); Cao, Lei, E-mail: cao.152@osu.edu [Nuclear Engineering Program, Department of Mechanical and Aerospace Engineering, The Ohio State University, Columbus, OH 43210 (United States)

    2017-03-21

    An alpha-particle detector was fabricated using a freestanding n-type bulk GaN wafer with a Au/Ni/GaN sandwich Schottky structure. Current–voltage measurements at room temperature revealed a Schottky contact with a leakage current of 7.53±0.3 nA at a reverse bias of 200 V. The detector had a large depletion depth that can capture much of the energy from 5.486 MeV alpha particles emitted from a {sup 241}Am source. The resolution of its alpha-particle energy spectrum was improved to 2.2±0.2% at 5.486 MeV under a bias of 550 V. This superior resolution was attributed to the shortening of the carrier transit time and the large energy deposition within the large depletion depth, i.e., 27 µm at −550 V, which all resulted in a more complete charge collection. A model developed using the ATLAS simulation framework from Silvaco Inc. was employed to study the charge collection process. The simulation results were found to agree closely with the experimental results. This detector will be beneficial for research at neutron scattering facilities, the International Thermonuclear Experimental Reactor, and the Large Hadron Collider, among other institutions, where the Si-based charged particle detectors could be quickly degraded in an intense radiation field. - Highlights: • An alpha-particle detector based on a Schottky-structured GaN wafer was tested. • The detector's large depletion depth enables fuller energy spectra to be obtained. • The best resolution yet attained in GaN alpha-particle spectrometry was achieved. • The detector's short carrier transit time resulted in improved charge collection. • This detector is usable in extreme conditions, including intense radiation fields.

  5. GaN transistors on Si for switching and high-frequency applications

    Science.gov (United States)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  6. Investigation of optical gain in Eu-doped GaN thin film grown by OMVPE method

    NARCIS (Netherlands)

    Ha, N.N.; Nishikawa, A.; Fujiwara, Y.; Gregorkiewicz, T.

    We prepare and optically characterize a thin film of GaN:Eu. Room temperature intense emission band at around 620 nm is observed, corresponding to 5D0 → 7F2 electronic dipole transition of Eu3+ ions in the GaN host material. At lower temperatures, three components, at 621, 622, and 623 nm, arising

  7. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  8. Polycrystalline GaN layer recrystallization by metal-induced method during the baking process

    Energy Technology Data Exchange (ETDEWEB)

    Jagoda, A.; Stanczyk, B.; Dobrzanski, L.; Diduszko, R. [Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw 118 (Poland)

    2007-04-15

    Radio frequency reactive sputtering was used to produce gallium nitride films on thermally oxidized silicon substrates at room temperature. Metallic Ga (purity 6N) was used as the target, N{sub 2} and Ar were utilized as sputtering gases. Amorphous GaN was obtained by metal-induced crystallization with a Ni assistance. The nickel particles were scattered onto the surface by rf sputtering and their density was 2 x 10{sup 14} atoms/cm{sup 2} or 4 x 10{sup 14} atoms/cm{sup 2}, which corresponds to 0.02 nm and 0.04 nm thick layer. These values are less than a monolayer thickness, so they are not continuous. Samples were annealed at 700 C for 3 h and at 900 C for 5 min in a RTP furnace. The 2.5 {mu}m GaN layers grown on the Ni-coated SiO{sub 2} surface recrystallized during annealing forming crystals of (002) orientation. The catalytic regrowth mechanism of GaN is discussed on the basis of experimental results. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Low p-type contact resistance by field-emission tunneling in highly Mg-doped GaN

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Grandjean, Nicolas

    2016-12-01

    Mg-doped GaN with a net acceptor concentration (NA-ND) in the high 1019 cm-3 range was grown using ammonia molecular-beam epitaxy. Electrical properties of NiO contact on this heavily doped p-type GaN were investigated. A potential-barrier height of 0.24 eV was extracted from the relationship between NA-ND and the specific contact resistivity (ρc). We found that there is an optimum NA-ND value of 5 × 1019 cm-3 for which ρc is as low as 2 × 10-5 Ω cm2. This low ρc is ascribed to hole tunneling through the potential barrier at the NiO/p+-GaN interface, which is well accounted for by the field-emission model.

  10. GaN thin films on SiC substrates studied using variable energy positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Hu, Y.F.; Shan, Y.Y.; Beling, C.D.; Fung, S.; Xie, M.H.; Cheung, S.H.; Tu, J.; Tong, D.S.Y.

    2001-01-01

    A variety of GaN epilayers, grown on 6H-SiC substrates using different growth conditions, have been studied using variable energy positron annihilation spectroscopy. In the S-E plots, a peak structure in the S-parameter is seen which is related to the GaN/substrate heterojunction. The position of the peak is found to be much closer to the sample surface than expected from simple mean implantation depth arguments. This anomaly is attributed to the fact that there is a rectifying potential step that prevents diffusing positrons in the GaN from entering the SiC substrate. This effect has been successfully mimicked by inserting an artificial electric field into the thin interfacial region in the VEPFIT analysis. (orig.)

  11. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  12. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  13. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    Science.gov (United States)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  14. Photoluminescence enhancement from GaN by beryllium doping

    Science.gov (United States)

    García-Gutiérrez, R.; Ramos-Carrazco, A.; Berman-Mendoza, D.; Hirata, G. A.; Contreras, O. E.; Barboza-Flores, M.

    2016-10-01

    High quality Be-doped (Be = 0.19 at.%) GaN powder has been grown by reacting high purity Ga diluted alloys (Be-Ga) with ultra high purity ammonia in a horizontal quartz tube reactor at 1200 °C. An initial low-temperature treatment to dissolve ammonia into the Ga melt produced GaN powders with 100% reaction efficiency. Doping was achieved by dissolving beryllium into the gallium metal. The powders synthesized by this method regularly consist of two particle size distributions: large hollow columns with lengths between 5 and 10 μm and small platelets in a range of diameters among 1 and 3 μm. The GaN:Be powders present a high quality polycrystalline profile with preferential growth on the [10 1 bar 1] plane, observed by means of X-ray diffraction. The three characteristics growth planes of the GaN crystalline phase were found by using high resolution TEM microscopy. The optical enhancing of the emission in the GaN powder is attributed to defects created with the beryllium doping. The room temperature photoluminescence emission spectra of GaN:Be powders, revealed the presence of beryllium on a shoulder peak at 3.39 eV and an unusual Y6 emission at 3.32eV related to surface donor-acceptor pairs. Also, a donor-acceptor-pair transition at 3.17 eV and a phonon replica transition at 3.1 eV were observed at low temperature (10 K). The well-known yellow luminescence band coming from defects was observed in both spectra at room and low temperature. Cathodoluminescence emission from GaN:Be powders presents two main peaks associated with an ultraviolet band emission and the yellow emission known from defects. To study the trapping levels related with the defects formed in the GaN:Be, thermoluminescence glow curves were obtained using UV and β radiation in the range of 50 and 150 °C.

  15. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  16. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  17. Chemical vapour deposition of freestanding sub-60 nm graphene gyroids

    Science.gov (United States)

    Cebo, Tomasz; Aria, Adrianus I.; Dolan, James A.; Weatherup, Robert S.; Nakanishi, Kenichi; Kidambi, Piran R.; Divitini, Giorgio; Ducati, Caterina; Steiner, Ullrich; Hofmann, Stephan

    2017-12-01

    The direct chemical vapour deposition of freestanding graphene gyroids with controlled sub-60 nm unit cell sizes is demonstrated. Three-dimensional (3D) nickel templates were fabricated through electrodeposition into a selectively voided triblock terpolymer. The high temperature instability of sub-micron unit cell structures was effectively addressed through the early introduction of the carbon precursor, which stabilizes the metallized gyroidal templates. The as-grown graphene gyroids are self-supporting and can be transferred onto a variety of substrates. Furthermore, they represent the smallest free standing periodic graphene 3D structures yet produced with a pore size of tens of nm, as analysed by electron microscopy and optical spectroscopy. We discuss generality of our methodology for the synthesis of other types of nanoscale, 3D graphene assemblies, and the transferability of this approach to other 2D materials.

  18. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  19. Z L GAN

    Indian Academy of Sciences (India)

    Z L GAN. Articles written in Sadhana. Volume 43 Issue 4 April 2018 pp 59. Effect of scale size, orientation type and dispensing method on void formation in the CUF encapsulation of BGA · AIZAT ABAS FEI CHONG NG Z L GAN M H H ISHAK M Z ABDULLAH GEAN YUEN CHONG · More Details Abstract Fulltext PDF.

  20. Transparency of Semi-Insulating, n-Type, and p-Type Ammonothermal GaN Substrates in the Near-Infrared, Mid-Infrared, and THz Spectral Range

    OpenAIRE

    Robert Kucharski; Łukasz Janicki; Marcin Zajac; Monika Welna; Marcin Motyka; Czesław Skierbiszewski; Robert Kudrawiec

    2017-01-01

    GaN substrates grown by the ammonothermal method are analyzed by Fast Fourier Transformation Spectroscopy in order to study the impact of doping (both n- and p-type) on their transparency in the near-infrared, mid-infrared, and terahertz spectral range. It is shown that the introduction of dopants causes a decrease in transparency of GaN substrates in a broad spectral range which is attributed to absorption on free carriers (n-type samples) or dopant ionization (p-type samples). In the mid-in...

  1. Excitation mechanisms of Er optical centers in GaN epilayers

    International Nuclear Information System (INIS)

    George, D. K.; Hawkins, M. D.; McLaren, M.; Vinh, N. Q.; Jiang, H. X.; Lin, J. Y.; Zavada, J. M.

    2015-01-01

    We report direct evidence of two mechanisms responsible for the excitation of optically active Er 3+ ions in GaN epilayers grown by metal-organic chemical vapor deposition. These mechanisms, resonant excitation via the higher-lying inner 4f shell transitions and band-to-band excitation of the semiconductor host, lead to narrow emission lines from isolated and the defect-related Er optical centers. However, these centers have different photoluminescence spectra, local defect environments, decay dynamics, and excitation cross sections. The photoluminescence at 1.54 μm from the isolated Er optical center which can be excited by either mechanism has the same decay dynamics, but possesses a much higher excitation cross-section under band-to-band excitation. In contrast, the photoluminescence at 1.54 μm from the defect-related Er optical center can only be observed through band-to-band excitation but has the largest excitation cross-section. These results explain the difficulty in achieving gain in Er doped GaN and indicate approaches for realization of optical amplification, and possibly lasing, at room temperature

  2. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A., E-mail: rao28@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom)

    2015-08-24

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness.

  3. Microstructural dependency of optical properties of m-plane InGaN multiple quantum wells grown on 2° misoriented bulk GaN substrates

    International Nuclear Information System (INIS)

    Tang, Fengzai; Barnard, Jonathan S.; Zhu, Tongtong; Oehler, Fabrice; Kappers, Menno J.; Oliver, Rachel A.

    2015-01-01

    A non-polar m-plane structure consisting of five InGaN/GaN quantum wells (QWs) was grown on ammonothermal bulk GaN by metal-organic vapor phase epitaxy. Surface step bunches propagating through the QW stack were found to accommodate the 2° substrate miscut towards the -c direction. Both large steps with heights of a few tens of nanometres and small steps between one and a few atomic layers in height are observed, the former of which exhibit cathodoluminescence at longer wavelengths than the adjacent m-plane terraces. This is attributed to the formation of semi-polar facets at the steps on which the QWs are shown to be thicker and have higher Indium contents than those in the adjacent m-plane regions. Discrete basal-plane stacking faults (BSFs) were occasionally initiated from the QWs on the main m-plane terraces, but groups of BSFs were frequently observed to initiate from those on the large steps, probably related to the increased strain associated with the locally higher indium content and thickness

  4. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  5. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  6. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  7. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  9. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  10. Nanomechanical testing of circular freestanding polymer films with sub-micron thickness

    International Nuclear Information System (INIS)

    Maner, Kyle C.; Begley, Matthew R.; Oliver, Warren C.

    2004-01-01

    This paper describes techniques to create freestanding films over perfectly circular spans (windows) and measure their mechanical properties using instrumented nanoindentation. Test samples were created by spin-casting polymer films over glass plates with embedded fibers, which were subsequently etched using a relatively weak acid to leave freestanding circular spans. The freestanding spans were tested using an instrumented nanoindenter over a wide range of applied loads and displacements. Material properties can be extracted from measured load-deflection responses using straightforward models for point-loads on circular plates or membranes. Results are presented for poly(methyl methacrylate) and poly(2,6,dimethyl,1,4,phenylene ether) films with thickness ranging from 350 to 750 nm. The properties derived from freestanding tests are compared with traditional nanoindentation of films on intact substrates. The freestanding approach has key advantages for characterizing micron-scale behavior of compliant materials, notably greater ease and applicability of sample preparation over other micro-fabrication techniques and straightforward analytical or numerical models

  11. Counting Tm dopant atoms around GaN dots using high-angle annular dark field images

    International Nuclear Information System (INIS)

    Rouvière, J-L; Okuno, H; Jouneau, P H; Bayle-Guillemaud, P; Daudin, B

    2011-01-01

    High resolution Z-contrast STEM imaging is used to study the Tm doping of GaN quantum dots grown in AlN by molecular beam epitaxy (MBE). High-angle annular dark field (HAADF) imaging allows us to visualize directly individual Tm atoms in the AlN matrix and even to count the number of Tm atoms in a given AlN atomic column. A new visibility coefficient to determine quantitatively the number of Tm atoms in a given atomic column is introduced. It is based on locally integrated intensities rather than on peak intensities of HAADF images. STEM image simulations shows that this new visibility is less sensitive to the defocus-induced blurring or to the position of the Tm atom within the thin lamella. Most of the Tm atoms diffuse out of GaN dots. Tm atoms are found at different positions in the AlN matrix, (i) Above the wetting layer, Tm atoms are spread within a thickness of 14 AlN monolayers (MLs). (ii) Above the quantum dots all the Tm are located in the same plane situated at 2-3 MLs above the apex of the GaN dot, i.e. at a distance of 14 MLs from the wetting layer, (iii) In addition, Tm can diffuse very far from the GaN dot by following threading dislocations lines.

  12. Synthetic Strategies and Applications of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Guoquan Suo

    2014-01-01

    Full Text Available GaN is an important III-V semiconductor material with a direct band gap of 3.4 eV at 300 K. The wide direct band gap makes GaN an attractive material for various applications. GaN nanowires have demonstrated significant potential as fundamental building blocks for nanoelectronic and nanophotonic devices and also offer substantial promise for integrated nanosystems. In this paper, we provide a comprehensive review on the general synthetic strategies, characterizations, and applications of GaN nanowires. We first summarize several growth techniques of GaN nanowires. Subsequently, we discuss mechanisms involved to generate GaN nanowires from different synthetic schemes and conditions. Then we review some characterization methods of GaN nanowires. Finally, several kinds of main applications of GaN nanowires are discussed.

  13. Self-assembled growth and structural analysis of inclined GaN nanorods on nanoimprinted m-sapphire using catalyst-free metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuseung; Chae, Sooryong; Jang, Jongjin; Min, Daehong; Kim, Jaehwan; Nam, Okhyun, E-mail: ohnam@kpu.ac.kr [Convergence Center for Advanced Nano Semiconductor (CANS), Department of Nano-Optical Engineering, Korea Polytechnic University, Siheung, 15073 (Korea, Republic of)

    2016-04-15

    In this study, self-assembled inclined (1-10-3)-oriented GaN nanorods (NRs) were grown on nanoimprinted (10-10) m-sapphire substrates using catalyst-free metal-organic chemical vapor deposition. According to X-ray phi-scans, the inclined GaN NRs were tilted at an angle of ∼57.5° to the [10-10]{sub sapp} direction. Specifically, the GaN NRs grew in a single inclined direction to the [11-20]{sub sapp}. Uni-directionally inclined NRs were formed through the one-sided (10-11)-faceted growth of the interfacial a-GaN plane layer. It was confirmed that a thin layer of a-GaN was formed on r-facet nanogrooves of the m-sapphire substrate by nitridation. The interfacial a-GaN nucleation affected both the inclined angle and the growth direction of the inclined GaN NRs. Using X-ray diffraction and selective area electron diffraction, the epitaxial relationship between the inclined (1-10-3) GaN NRs and interfacial a-GaN layer on m-sapphire substrates was systematically investigated. Moreover, the inclined GaN NRs were observed to be mostly free of stacking fault-related defects using high-resolution transmission electron microscopy.

  14. A DFT study on NEA GaN photocathode with an ultrathin n-type Si-doped GaN cap layer

    Science.gov (United States)

    Xia, Sihao; Liu, Lei; Kong, Yike; Diao, Yu

    2016-10-01

    Due to the drawbacks of conventional negative electron affinity (NEA) GaN photocathodes activated by Cs or Cs/O, a new-type NEA GaN photocathodes with heterojunction surface dispense with Cs activation are proposed. This structure can be obtained through the coverage of an ultrathin n-type Si-doped GaN cap layer on the p-type Mg-doped GaN emission layer. The influences of the cap layer on the photocathode are calculated using DFT. This study indicates that the n-type cap layer can promote the photoemission characteristics of GaN photocathode and demonstrates the probability of the preparation of a NEA GaN photocathode with an n-type cap layer.

  15. Facile synthesis and photoluminescence spectroscopy of 3D-triangular GaN nano prism islands.

    Science.gov (United States)

    Kumar, Mukesh; Pasha, S K; Shibin Krishna, T C; Singh, Avanish Pratap; Kumar, Pawan; Gupta, Bipin Kumar; Gupta, Govind

    2014-08-21

    We report a strategy for fabrication of 3D triangular GaN nano prism islands (TGNPI) grown on Ga/Si(553) substrate at low temperature by N2(+) ions implantation using a sputtering gun technique. The annealing of Ga/Si(553) (600 °C) followed by nitridation (2 keV) shows the formation of high quality GaN TGNPI cross-section. TGNPI morphology has been confirmed by atomic force microscopy. Furthermore, these nano prism islands exhibit prominent ultra-violet luminescence peaking at 366 nm upon 325 nm excitation wavelength along with a low intensity yellow luminescence broad peak at 545 nm which characterizes low defects density TGNPI. Furthermore, the time-resolved spectroscopy of luminescent TGNPI in nanoseconds holds promise for its futuristic application in next generation UV-based sensors as well as many portable optoelectronic devices.

  16. Characterization of GaN films grown on GaAs by AP-MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Vilchis, H; Sanchez-R, V M; Escobosa, A, E-mail: heber_vil@hotmail.co [Department of Electrical Engineering, CINVESTAV-IPN, Av. Instituto Politecnico Nacional 2508 Col. San Pedro Zacatenco C.P. 07360 Mexico D.F (Mexico)

    2009-05-01

    In this paper we present the results of the synthesis of GaN in an AP-MOVPE system heated by infrared lamps starting from gallium nitride films obtained by nitridation of gallium arsenide. Although dependence of the characteristics of the different parameters of the deposition process on the properties of the layers has been widely studied, the influence of the nature and design of the heating source has been only scarcely reported. We show that the ratio between the two phases depends on the characteristics of the heating source, as well as on other growth parameters. Our results show a compromise between the characteristics of the photoluminescence spectra, the surface morphology and the cubic phase to hexagonal phase ratio. The growth conditions can be adjusted for optimal performance.

  17. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  18. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan, E-mail: alan.doolittle@ece.gatech.edu [Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Bresnahan, Rich C. [Veeco Instruments, St. Paul, Minnesota 55127 (United States)

    2015-10-21

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N{sub 2} while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N{sub 2} and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10{sup 16} to 3.8 × 10{sup 19} cm{sup −3} were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10{sup 15} cm{sup −3}. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be

  19. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-01-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N 2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N 2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 10 16 to 3.8 × 10 19 cm −3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1–2 × 10 15 cm −3 . The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the

  20. Grazing incidence x-ray diffraction at free-standing nanoscale islands: fine structure of diffuse scattering

    International Nuclear Information System (INIS)

    Grigoriev, D; Hanke, M; Schmidbauer, M; Schaefer, P; Konovalov, O; Koehler, R

    2003-01-01

    We have investigated the x-ray intensity distribution around 220 reciprocal lattice point in case of grazing incidence diffraction at SiGe nanoscale free-standing islands grown on Si(001) substrate by LPE. Experiments and computer simulations based on the distorted wave Born approximation utilizing the results of elasticity theory obtained by FEM modelling have been carried out. The data reveal fine structure in the distribution of scattered radiation with well-pronounced maxima and complicated fringe pattern. Explanation of the observed diffraction phenomena in their relation to structure and morphology of the island is given. An optimal island model including its shape, size and Ge spatial distribution was elaborated

  1. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  2. Growth of Ga{sub 2}O{sub 3} by furnace oxidation of GaN studied by perturbed angular correlations

    Energy Technology Data Exchange (ETDEWEB)

    Steffens, Michael, E-mail: michael.steffens@int.fraunhofer.de [Fraunhofer Institute for Technological Trend Analysis INT (Germany); Vianden, Reiner [Helmholtz - Institut für Strahlen- und Kernphysik der Universität Bonn (Germany); Pasquevich, Alberto F. [Universidad Nacional de La Plata, Departamento de Física, IFLP, Facultad de Ciencias Exactas (Argentina)

    2016-12-15

    Ga{sub 2}O{sub 3} is a promising material for use in “solar-blind” UV-detectors which can be produced efficiently by oxidation of GaN. In this study we focus on the evolution of the oxide layer when GaN is heated in air. The experimental method applied is the perturbed angular correlation (PAC) spectroscopy of γ-rays emitted by radioactive nuclides, here {sup 111}Cd and {sup 181}Ta, whose parent nuclei are ion implanted into films of GaN grown on sapphire. As the emission pattern for nuclei in GaN is clearly distinct from that of nuclei in Ga{sub 2}O{sub 3}, the fraction of probe nuclei in the oxide layer can be directly measured and allows to follow the time dependent growth of the oxide on a scale of less than 100 nm. Additional measurements were carried out with the oxidized sample held at fixed temperatures in the temperature range from 19 K to 973 K showing transitions between the hyperfine interactions of {sup 111}Cd in the oxide matrix both at high and low temperatures. A model for these transitions is proposed.

  3. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  4. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  5. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  6. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  7. X-ray diffraction studies of selective area grown InGaN/GaN multiple quantum wells on multi-facet GaN ridges

    Energy Technology Data Exchange (ETDEWEB)

    O' Malley, S.M.; Bonanno, P.L.; Sirenko, A.A. [Department of Physics, New Jersey Institute of Technology, Newark, NJ (United States); Wunderer, T.; Brueckner, P.; Neubert, B.; Scholz, F. [Institute of Optoelectronics, Ulm University, Ulm (Germany); Kazimirov, A. [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, NY (United States)

    2008-07-01

    The structural properties of InGaN/GaN multiple quantum wells (MQW) were studied using synchrotron based high resolution X-ray diffraction (HRXRD). MQW structures were grown on the top and sidewall facets of triangular and trapezoidal shaped GaN ridges by metalorganic vapour phase epitaxy (MOVPE) in the regime of selective area growth (SAG). Period and strain variations as a function of oxide mask width were determined for both the sidewall and the top facet growth. Oxide mask widths ranged between 2 and 20 {mu}m with openings between adjacent masks of 4 and 6 {mu}m. Analysis of the X-ray diffraction curves revealed a sidewall/vertical growth rate ratio of {proportional_to}0.3 through a comparison of the top to sidewall facet MQW periods. Masks orientated along the left angle 11-20 right angle crystallographic direction showed stronger growth enhancement along with large global strain for MQW growth on the top (0001) plane. Interpreting our results within the framework of vapour phase diffusion revealed that inter-facet migration of group-III species needs to be taken into account. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  9. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  10. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  11. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  12. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  13. Where Do Freestanding Emergency Departments Choose to Locate? A National Inventory and Geographic Analysis in Three States.

    Science.gov (United States)

    Schuur, Jeremiah D; Baker, Olesya; Freshman, Jaclyn; Wilson, Michael; Cutler, David M

    2017-04-01

    We determine the number and location of freestanding emergency departments (EDs) across the United States and determine the population characteristics of areas where freestanding EDs are located. We conducted a systematic inventory of US freestanding EDs. For the 3 states with the highest number of freestanding EDs, we linked demographic, insurance, and health services data, using the 5-digit ZIP code corresponding to the freestanding ED's location. To create a comparison nonfreestanding ED group, we matched 187 freestanding EDs to 1,048 nonfreestanding ED ZIP codes on land and population within state. We compared differences in demographic, insurance, and health services factors between matched ZIP codes with and without freestanding EDs, using univariate regressions with weights. We identified 360 freestanding EDs located in 30 states; 54.2% of freestanding EDs were hospital satellites, 36.6% were independent, and 9.2% were not classifiable. The 3 states with the highest number of freestanding EDs accounted for 66% of all freestanding EDs: Texas (181), Ohio (34), and Colorado (24). Across all 3 states, freestanding EDs were located in ZIP codes that had higher incomes and a lower proportion of the population with Medicaid. In Texas and Ohio, freestanding EDs were located in ZIP codes with a higher proportion of the population with private insurance. In Texas, freestanding EDs were located in ZIP codes that had fewer Hispanics, had a greater number of hospital-based EDs and physician offices, and had more physician visits and medical spending per year than ZIP codes without a freestanding ED. In Ohio, freestanding EDs were located in ZIP codes with fewer hospital-based EDs. In Texas, Ohio, and Colorado, freestanding EDs were located in areas with a better payer mix. The location of freestanding EDs in relation to other health care facilities and use and spending on health care varied between states. Copyright © 2016 American College of Emergency Physicians

  14. Electrical transport in GaN and InN nanowires; Elektrischer Transport in GaN- und InN-Nanodraehten

    Energy Technology Data Exchange (ETDEWEB)

    Richter, Thomas Fabian

    2008-12-19

    This thesis discusses the analysis of the electrical transport in GaN and InN nanowires at room temperature and deep temperatures. From those measurements two different transport models for those two in matter of the band banding completely different materials have been found. In the investigation of the GaN nanowires the main focus was the electrical transport in dependence of the diameter and the n-doping. With the use of IV-measurements on those MBE grown nanowires with different diameters at dark and under UV illumination as well as the decay of the persistent photocurrent, it was possible to find an for GaN untypical behaviour. The electrical transport in those wires is extremely diameter dependent. The dark current shows space charged limited current. With the help of those cognitions a diameter dependent transport model could be found. The transport phenomena in those wires is based on the diameter depending band bending at the edge of the wires caused by the Fermi level pinning inside the forbidden band. This model can be fit to the data with the three parameter doping, fermi level pinning and wire diameter. On the base of those effects a method to determine the doping concentration inside those wires without field effect measurements and contact resistance has been developed. The defect structure inside those wires has been analysed with the help of spectral photoluminescence measurements. Here several defect bands have been found and it was possible with help of several contacts on one single wire to determine different defect regions along the wire and to explain them by the lattice mismatch between nanowire and substrate. Further temperature depending measurements and investigations on Schottky contacted wires as well as on GaN wires with AlN tunnel structures complete the work on GaN. The electrical characterisation on a large scale of undoped and doped InN nanowires shows linear growth of the dark current with the diameter up to wires of around 100 nm

  15. Enhancement of optical and structural quality of semipolar (11-22) GaN by introducing nanoporous SiNx interlayers

    Science.gov (United States)

    Monavarian, Morteza; Metzner, Sebastian; Izyumskaya, Natalia; Müller, Marcus; Okur, Serdal; Zhang, Fan; Can, Nuri; Das, Saikat; Avrutin, Vitaliy; Özgür, Ümit; Bertram, Frank; Christen, Juergen; Morkoç, Hadis

    2015-03-01

    Enhancement of optical and structural quality of semipolar (11‾22) GaN grown by metal-organic chemical vapor deposition on planar m-sapphire substrates was achieved by using an in-situ epitaxial lateral overgrowth (ELO) technique with nanoporous SiNx layers employed as masks. In order to optimize the procedure, the effect of SiNx deposition time was studied by steady-state photoluminescence (PL), and X-ray diffraction. The intensity of room temperature PL for the (11‾22) GaN layers grown under optimized conditions was about three times higher compared to those for the reference samples having the same thickness but no SiNx interlayers. This finding is attributed to the blockage of extended defect propagation toward the surface by the SiNx interlayers as evidenced from the suppression of emissions associated with basal-plane and prismatic stacking faults with regard to the intensity of donor bound excitons (D0X) in lowtemperature PL spectra. In agreement with the optical data, full width at half maximum values of (11‾22) X-ray rocking curves measured for two different in-plane rotational orientations of [1‾100] and [11‾23] reduced from 0.33º and 0.26º for the reference samples to 0.2º and 0.16º for the nano-ELO structures grown under optimized conditions, respectively.

  16. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    International Nuclear Information System (INIS)

    Ravikiran, L.; Radhakrishnan, K.; Ng, G. I.; Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S.

    2015-01-01

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr 4 beam equivalent pressure of 1.86 × 10 −7 mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics

  17. Study on GaN buffer leakage current in AlGaN/GaN high electron mobility transistor structures grown by ammonia-molecular beam epitaxy on 100-mm Si(111)

    Energy Technology Data Exchange (ETDEWEB)

    Ravikiran, L.; Radhakrishnan, K., E-mail: ERADHA@e.ntu.edu.sg; Ng, G. I. [NOVITAS-Nanoelectronics, Centre of Excellence, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Munawar Basha, S.; Dharmarasu, N.; Agrawal, M.; Manoj kumar, C. M.; Arulkumaran, S. [Temasek Laboratories@NTU, Nanyang Technological University, Singapore 637553 (Singapore)

    2015-06-28

    The effect of carbon doping on the structural and electrical properties of GaN buffer layer of AlGaN/GaN high electron mobility transistor (HEMT) structures has been studied. In the undoped HEMT structures, oxygen was identified as the dominant impurity using secondary ion mass spectroscopy and photoluminescence (PL) measurements. In addition, a notable parallel conduction channel was identified in the GaN buffer at the interface. The AlGaN/GaN HEMT structures with carbon doped GaN buffer using a CBr{sub 4} beam equivalent pressure of 1.86 × 10{sup −7} mTorr showed a reduction in the buffer leakage current by two orders of magnitude. Carbon doped GaN buffers also exhibited a slight increase in the crystalline tilt with some pits on the growth surface. PL and Raman measurements indicated only a partial compensation of donor states with carbon acceptors. However, AlGaN/GaN HEMT structures with carbon doped GaN buffer with 200 nm thick undoped GaN near the channel exhibited good 2DEG characteristics.

  18. Leakage current analysis for dislocations in Na-flux GaN bulk single crystals by conductive atomic force microscopy

    Science.gov (United States)

    Hamachi, T.; Takeuchi, S.; Tohei, T.; Imanishi, M.; Imade, M.; Mori, Y.; Sakai, A.

    2018-04-01

    The mechanisms associated with electrical conduction through individual threading dislocations (TDs) in a Na-flux GaN crystal grown with a multipoint-seed-GaN technique were investigated by conductive atomic force microscopy (C-AFM). To focus on individual TDs, dislocation-related etch pits (DREPs) were formed on the Na-flux GaN surface by wet chemical etching, after which microscopic Pt electrodes were locally fabricated on the DREPs to form conformal contacts to the Na-flux GaN crystal, using electron beam assisted deposition. The C-AFM data clearly demonstrate that the leakage current flows through the individual TD sites. It is also evident that the leakage current and the electrical conduction mechanism vary significantly based on the area within the Na-flux GaN crystal where the TDs are formed. These regions include the c-growth sector (cGS) in which the GaN grows in the [0001 ] direction on top of the point-seed with a c-plane growth front, the facet-growth sector (FGS) in which the GaN grows with {10 1 ¯ 1 } facets on the side of the cGS, the boundary region between the cGS and FGS (BR), and the coalescence boundary region between FGSs (CBR). The local current-voltage (I-V) characteristics of the specimen demonstrate space charge limited current conduction and conduction related to band-like trap states associated with TDs in the FGS, BR, and CBR. A detailed analysis of the I-V data indicates that the electrical conduction through TDs in the cGS may proceed via the Poole-Frenkel emission mechanism.

  19. Piezotronic Effect in Polarity-Controlled GaN Nanowires.

    Science.gov (United States)

    Zhao, Zhenfu; Pu, Xiong; Han, Changbao; Du, Chunhua; Li, Linxuan; Jiang, Chunyan; Hu, Weiguo; Wang, Zhong Lin

    2015-08-25

    Using high-quality and polarity-controlled GaN nanowires (NWs), we studied the piezotronic effect in crystal orientation defined wurtzite structures. By applying a normal compressive force on c-plane GaN NWs with an atomic force microscopy tip, the Schottky barrier between the Pt tip and GaN can be effectively tuned by the piezotronic effect. In contrast, the normal compressive force cannot change the electron transport characteristics in m-plane GaN NWs whose piezoelectric polarization axis is turned in the transverse direction. This observation provided solid evidence for clarifying the difference between the piezotronic effect and the piezoresistive effect. We further demonstrated a high sensitivity of the m-plane GaN piezotronic transistor to collect the transverse force. The integration of c-plane GaN and m-plane GaN indicates an overall response to an external force in any direction.

  20. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  1. The Formation and Characterization of GaN Hexagonal Pyramids

    Science.gov (United States)

    Zhang, Shi-Ying; Xiu, Xiang-Qian; Lin, Zeng-Qin; Hua, Xue-Mei; Xie, Zi-Li; Zhang, Rong; Zheng, You-Dou

    2013-05-01

    GaN with hexagonal pyramids is fabricated using the photo-assisted electroless chemical etching method. Defective areas of the GaN substrate are selectively etched in a mixed solution of KOH and K2S2O8 under ultraviolet illumination, producing submicron-sized pyramids. Hexagonal pyramids on the etched GaN with well-defined {101¯1¯} facets and very sharp tips are formed. High-resolution x-ray diffraction shows that etched GaN with pyramids has a higher crystal quality, and micro-Raman spectra reveal a tensile stress relaxation in GaN with pyramids compared with normal GaN. The cathodoluminescence intensity of GaN after etching is significantly increased by three times, which is attributed to the reduction in the internal reflection, high-quality GaN with pyramids and the Bragg effect.

  2. Gan-Hang tectonic belt and its geologic significance

    International Nuclear Information System (INIS)

    Deng Jiarui; Zhang Zhiping.

    1989-01-01

    Gan-Hang tectonic belt is predominantly controlled by Gan-Hang fracture zone. It is mainly composed of Yongfeng-Zhuji downwarping zone, Gan-Hang volcanic activity structural belt and Gan-Hang red basin downfaulted zone. Gan-Hang fracture zone is derived from evolution and development of Shaoxing-Jiangshan deep fracture. It is mainly composed of three deep and large fracture and Fuzhou-Yongfeng large fracture. The fracture zone is a long active belt, but in each active period the geologic structural patterns intensity, depth and forming time were not same. Gan-Hang tectonic belt possesses obvious inheritance. It has always maintained the character of the relative depression or low land since the Caledonian movement. This specific structural environment is favourable for uranium mineralization. At any rate, the formation of this uranium minerogenetic zone has been experiencing a long and complicated processes which were closely associated with long activity of Gan-Hang fracture zone

  3. Electrical conduction along dislocations in plastically deformed GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kamimura, Y; Yokoyama, T; Oiwa, H; Edagawa, K [Institute of Industrial Science, the University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8505 (Japan); Yonenaga, I, E-mail: yasushi@iis.u-tokyo.ac.jp [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba, Sendai 980-8577 (Japan)

    2009-07-15

    Electrical conduction along dislocations in plastically deformed n-GaN single crystals has been investigated by scanning spread resistance microscopy (SSRM). In the SSRM images, many conductive spots have been observed, which correspond to electrical conduction along the dislocations introduced by deformation. Here, the introduced dislocations are b=(a/3)<1overline 210> edge dislocations parallel to the [0001] direction. The current values at the spots normalized to the background current value are larger than 100. Previous works have shown that grown-in edge dislocations in GaN are nonconductive. The high conductivity of the deformation-introduced edge dislocations in the present work suggests that the conductivity depends sensitively on the dislocation core structure.

  4. A new system for sodium flux growth of bulk GaN. Part I: System development

    Science.gov (United States)

    Von Dollen, Paul; Pimputkar, Siddha; Alreesh, Mohammed Abo; Albrithen, Hamad; Suihkonen, Sami; Nakamura, Shuji; Speck, James S.

    2016-12-01

    Though several methods exist to produce bulk crystals of gallium nitride (GaN), none have been commercialized on a large scale. The sodium flux method, which involves precipitation of GaN from a sodium-gallium melt supersaturated with nitrogen, offers potentially lower cost production due to relatively mild process conditions while maintaining high crystal quality. We successfully developed a novel apparatus for conducting crystal growth of bulk GaN using the sodium flux method which has advantages with respect to prior reports. A key task was to prevent sodium loss or migration from the growth environment while permitting N2 to access the growing crystal. We accomplished this by implementing a reflux condensing stem along with a reusable capsule containing a hermetic seal. The reflux condensing stem also enabled direct monitoring of the melt temperature, which has not been previously reported for the sodium flux method. Furthermore, we identified and utilized molybdenum and the molybdenum alloy TZM as a material capable of directly containing the corrosive sodium-gallium melt. This allowed implementation of a crucible-free system, which may improve process control and potentially lower crystal impurity levels. Nucleation and growth of parasitic GaN ("PolyGaN") on non-seed surfaces occurred in early designs. However, the addition of carbon in later designs suppressed PolyGaN formation and allowed growth of single crystal GaN. Growth rates for the (0001) Ga face (+c-plane) were up to 14 μm/h while X-ray omega rocking (ω-XRC) curve full width half-max values were 731″ for crystals grown using a later system design. Oxygen levels were high, >1019 atoms/cm3, possibly due to reactor cleaning and handling procedures.

  5. Epitaxially-grown Gallium Nitride on Gallium Oxide substrate for photon pair generation in visible and telecomm wavelengths

    KAUST Repository

    Awan, Kashif M.

    2016-08-11

    Gallium Nitride (GaN), along with other III-Nitrides, is attractive for optoelectronic and electronic applications due to its wide direct energy bandgap, as well as high thermal stability. GaN is transparent over a wide wavelength range from infra-red to the visible band, which makes it suitable for lasers and LEDs. It is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a wide range of applications from all-optical signal processing to quantum computing and on-chip wavelength conversion. Despite its abundant use in commercial devices, there is still need for suitable substrate materials to reduce high densities of threading dislocations (TDs) and other structural defects like stacking faults, and grain boundaries. All these defects degrade the optical quality of the epi-grown GaN layer as they act as non-radiative recombination centers.

  6. Epitaxially-grown Gallium Nitride on Gallium Oxide substrate for photon pair generation in visible and telecomm wavelengths

    KAUST Repository

    Awan, Kashif M.; Dolgaleva, Ksenia; Mumthaz Muhammed, Mufasila; Roqan, Iman S.

    2016-01-01

    Gallium Nitride (GaN), along with other III-Nitrides, is attractive for optoelectronic and electronic applications due to its wide direct energy bandgap, as well as high thermal stability. GaN is transparent over a wide wavelength range from infra-red to the visible band, which makes it suitable for lasers and LEDs. It is also expected to be a suitable candidate for integrated nonlinear photonic circuits for a wide range of applications from all-optical signal processing to quantum computing and on-chip wavelength conversion. Despite its abundant use in commercial devices, there is still need for suitable substrate materials to reduce high densities of threading dislocations (TDs) and other structural defects like stacking faults, and grain boundaries. All these defects degrade the optical quality of the epi-grown GaN layer as they act as non-radiative recombination centers.

  7. Study of using aqueous NH{sub 3} to synthesize GaN nanowires on Si(1 1 1) by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saron, K.M.A., E-mail: kamalmohammedabdalla@yahoo.com [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia, Penang 11800 (Malaysia)

    2013-03-20

    Highlights: ► This study presents a facile, low cost and safe method to synthesize high quality GaN NWs, by using NH{sub 3} solution as N source. ► Moderating the N{sub 2} flow rate improved the crystalline quality of the NWs and also produced zigzag shaped NWs. ► Raman spectra showed that the synthesized GaN NWs had hexagonal wurtzite structures as a result of increased tensile stress. ► By moderating N{sub 2} flow, strong NBE emission peaks at about 364 nm and YB is subsided. -- Abstract: High-quality GaN nanowires (NWs) and zigzag-shaped NWs were grown on catalyst-free Si(1 1 1) substrate by thermal chemical vapor deposition (TCVD). Gallium (Ga) metal and aqueous NH{sub 3} solution are used as a source of materials. Ga vapor was directly reacts with gaseous NH{sub 3} under controlled nitrogen flow at 1050 °C. Scanning electron microscopy (SEM) images showed that the morphology of GaN displayed various densities of NWs and zigzag NWs depending on the gas flow rate, and increased nitrogen flow rate caused density reduction. The GaN NWs exhibited clear X-ray diffraction analysis (XRD) peaks that corresponded to GaN with hexagonal wurtzite structures. The photoluminescence spectra showed that the ultraviolet band emission of GaN NWs had a strong near band-edge emission (NBE) at 361–367 nm. Yellow band emissions were observed at low and high flow rates due to nitrogen and Ga vacancies, respectively. Moderate N{sub 2} flow resulted in a strong NBE emission and a high optical quality of the NWs. This study shows the possibility of low-cost synthesis of GaN nanostructures on Si wafers using aqueous NH{sub 3} solution.

  8. Improved AlGaN/GaN HEMTs Grown on Si Substrates Using Stacked AlGaN/AlN Interlayer by MOCVD

    International Nuclear Information System (INIS)

    Wang Yong; Yu Nai-Sen; Li Ming; Lau Kei-May

    2011-01-01

    AlGaN/GaN high electron mobility transistors (HEMTs) are grown on 2-inch Si (111) substrates by MOCVD. The stacked AlGaN/AlN interlayer with different AlGaN thickness and indium surfactant doped is designed and optimized to relieve the tensile stress during GaN epitaxial growth. The top 1.0μm GaN buffer layer grown on the optimized AlGaN/AlN interlayer shows a crack-free and shining surface. The XRD results show that GaN(002) FWHM is 480 arcsec and GaN(102) FWHM is 900 arcsec. The AGaN/GaN HEMTs with optimized and non-optimized AlGaN/AlN interlayer are grown and processed for comparison and the dc and rf characteristics are characterized. For the dc characteristics of the device with optimized AlGaN/AlN interlayer, maximum drain current density I dss of 737mA/mm, peak transconductance G m of 185mS/mm, drain leakage current density I ds of 1.7μA/mm, gate leakage current density I gs of 24.8 μA/mm and off-state breakdown voltage V BR of 67 V are achieved with L g /W g /L gs /L gd = 1/10/1/1 μm. For the small signal rf characteristics of the device with optimized AlGaN/AlN interlayer, current gain cutoff frequency f T of 8.3 GHz and power gain cutoff frequency f max of 19.9 GHz are achieved with L g /W g /L gs /L gd = 1/100/1/1 μm. Furthermore, the best rf performance with f T of 14.5 GHz and f max of 37.3 GHz is achieved with a reduced gate length of 0.7μm. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Electron-phonon coupling in quasi free-standing graphene

    DEFF Research Database (Denmark)

    Christian Johannsen, Jens; Ulstrup, Søren; Bianchi, Marco

    2013-01-01

    Quasi free-standing monolayer graphene can be produced by intercalating species like oxygen or hydrogen between epitaxial graphene and the substrate crystal. If the graphene is indeed decoupled from the substrate, one would expect the observation of a similar electronic dispersion and many......-body effects, irrespective of the substrate and the material used to achieve the decoupling. Here we investigate the electron-phonon coupling in two different types of quasi free-standing monolayer graphene: decoupled from SiC via hydrogen intercalation and decoupled from Ir via oxygen intercalation. Both...

  10. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  11. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    International Nuclear Information System (INIS)

    Choi, Yunju; Kim, Yangsoo; Ahn, Kwang-Soon; Kim, Hyunsoo

    2014-01-01

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10 −3 , 4.3 × 10 −4 , and 1.1 × 10 −3 Ω cm 2 for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N 2 ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples

  12. Thermal stability study of Cr/Au contact formed on n-type Ga-polar GaN, N-polar GaN, and wet-etched N-polar GaN surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yunju [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Kim, Yangsoo [Suncheon Center, Korea Basic Science Institute, Suncheon 540-742 (Korea, Republic of); Ahn, Kwang-Soon, E-mail: kstheory@ynu.ac.kr [School of Chemical Engineering, Yeungnam University, Gyeongsan, Gyeongbuk 712-749 (Korea, Republic of); Kim, Hyunsoo, E-mail: hskim7@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Semiconductor Physics Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2014-10-30

    Highlights: • The Cr/Au contact on n-type Ga-polar (0 0 0 1) GaN, N-polar (0 0 0 −1) GaN, and wet-etched N-polar GaN were investigated. • Thermal annealing led to a significant degradation of contact formed on N-polar n-GaN samples. • Contact degradation was shown to be closely related to the increase in the electrical resistivity of n-GaN. • Out-diffusion of Ga and N atoms was clearly observed in N-polar samples. - Abstract: The electrical characteristics and thermal stability of a Cr/Au contact formed on n-type Ga-polar (0 0 0 1) GaN, N-polar GaN, and wet-etched N-polar GaN were investigated. As-deposited Cr/Au showed a nearly ohmic contact behavior for all samples, i.e., the specific contact resistance was 3.2 × 10{sup −3}, 4.3 × 10{sup −4}, and 1.1 × 10{sup −3} Ω cm{sup 2} for the Ga-polar, flat N-polar, and roughened N-polar samples, respectively. However, thermal annealing performed at 250 °C for 1 min in a N{sub 2} ambient led to a significant degradation of contact, i.e., the contact resistance increased by 186, 3260, and 2030% after annealing for Ga-polar, flat N-polar, and roughened N-polar samples, respectively. This could be due to the different disruption degree of Cr/Au and GaN interface after annealing, i.e., the insignificant interfacial reaction occurred in the Ga-polar sample, while out-diffusion of Ga and N atoms was clearly observed in N-polar samples.

  13. Fundamentals, progress, and future directions of nitride-based semiconductors and their composites in two-dimensional limit: A first-principles perspective to recent synthesis

    Science.gov (United States)

    Kecik, D.; Onen, A.; Konuk, M.; Gürbüz, E.; Ersan, F.; Cahangirov, S.; Aktürk, E.; Durgun, E.; Ciraci, S.

    2018-03-01

    Potential applications of bulk GaN and AlN crystals have made possible single and multilayer allotropes of these III-V compounds to be a focus of interest recently. As of 2005, the theoretical studies have predicted that GaN and AlN can form two-dimensional (2D) stable, single-layer (SL) structures being wide band gap semiconductors and showing electronic and optical properties different from those of their bulk parents. Research on these 2D structures have gained importance with recent experimental studies achieving the growth of ultrathin 2D GaN and AlN on substrates. It is expected that these two materials will open an active field of research like graphene, silicene, and transition metal dichalcogenides. This topical review aims at the evaluation of previous experimental and theoretical works until 2018 in order to provide input for further research attempts in this field. To this end, starting from three-dimensional (3D) GaN and AlN crystals, we review 2D SL and multilayer (ML) structures, which were predicted to be stable in free-standing states. These are planar hexagonal (or honeycomb), tetragonal, and square-octagon structures. First, we discuss earlier results on dynamical and thermal stability of these SL structures, as well as the predicted mechanical properties. Next, their electronic and optical properties with and without the effect of strain are reviewed and compared with those of the 3D parent crystals. The formation of multilayers, hence prediction of new periodic layered structures and also tuning their physical properties with the number of layers are other critical subjects that have been actively studied and discussed here. In particular, an extensive analysis pertaining to the nature of perpendicular interlayer bonds causing planar GaN and AlN to buckle is presented. In view of the fact that SL GaN and AlN can be fabricated only on a substrate, the question of how the properties of free-standing, SL structures are affected if they are grown

  14. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  15. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  16. InGaN/GaN multilayer quantum dots yellow-green light-emitting diode with optimized GaN barriers.

    Science.gov (United States)

    Lv, Wenbin; Wang, Lai; Wang, Jiaxing; Hao, Zhibiao; Luo, Yi

    2012-11-07

    InGaN/GaN multilayer quantum dot (QD) structure is a potential type of active regions for yellow-green light-emitting diodes (LEDs). The surface morphologies and crystalline quality of GaN barriers are critical to the uniformity of InGaN QD layers. While GaN barriers were grown in multi-QD layers, we used improved growth parameters by increasing the growth temperature and switching the carrier gas from N2 to H2 in the metal organic vapor phase epitaxy. As a result, a 10-layer InGaN/GaN QD LED is demonstrated successfully. The transmission electron microscopy image shows the uniform multilayer InGaN QDs clearly. As the injection current increases from 5 to 50 mA, the electroluminescence peak wavelength shifts from 574 to 537 nm.

  17. Penetrating the oxide barrier in situ and separating freestanding porous anodic alumina films in one step.

    Science.gov (United States)

    Tian, Mingliang; Xu, Shengyong; Wang, Jinguo; Kumar, Nitesh; Wertz, Eric; Li, Qi; Campbell, Paul M; Chan, Moses H W; Mallouk, Thomas E

    2005-04-01

    A simple method for penetrating the barrier layer of an anodic aluminum oxide (AAO) film and for detaching the AAO film from residual Al foil was developed by reversing the bias voltage in situ after the anodization process is completed. With this technique, we have been able to obtain large pieces of free-standing AAO membranes with regular pore sizes of sub-10 nm. By combining Ar ion milling and wetting enhancement processes, Au nanowires were grown in the sub-10 nm pores of the AAO films. Further scaling down of the pore size and extension to the deposition of nanowires and nanotubes of materials other than Au should be possible by further optimizing this procedure.

  18. Freestanding graphene/MnO2 cathodes for Li-ion batteries

    Directory of Open Access Journals (Sweden)

    Şeyma Özcan

    2017-09-01

    Full Text Available Different polymorphs of MnO2 (α-, β-, and γ- were produced by microwave hydrothermal synthesis, and graphene oxide (GO nanosheets were prepared by oxidation of graphite using a modified Hummers’ method. Freestanding graphene/MnO2 cathodes were manufactured through a vacuum filtration process. The structure of the graphene/MnO2 nanocomposites was characterized using X-ray diffraction (XRD and Raman spectroscopy. The surface and cross-sectional morphologies of freestanding cathodes were investigated by scanning electron microcopy (SEM. The charge–discharge profile of the cathodes was tested between 1.5 V and 4.5 V at a constant current of 0.1 mA cm−2 using CR2016 coin cells. The initial specific capacity of graphene/α-, β-, and γ-MnO2 freestanding cathodes was found to be 321 mAhg−1, 198 mAhg−1, and 251 mAhg−1, respectively. Finally, the graphene/α-MnO2 cathode displayed the best cycling performance due to the low charge transfer resistance and higher electrochemical reaction behavior. Graphene/α-MnO2 freestanding cathodes exhibited a specific capacity of 229 mAhg−1 after 200 cycles with 72% capacity retention.

  19. Physical origin of the incubation time of self-induced GaN nanowires

    International Nuclear Information System (INIS)

    Consonni, V.; Trampert, A.; Geelhaar, L.; Riechert, H.

    2011-01-01

    The nucleation process of self-induced GaN nanowires grown by molecular beam epitaxy has been investigated by reflection high-energy electron diffraction measurements. It is found that stable nuclei in the form of spherical cap-shaped islands develop only after an incubation time that is strongly dependent upon the growth conditions. Its evolution with the growth temperature and gallium rate has been described within standard island nucleation theory, revealing a nucleation energy of 4.9 ± 0.1 eV and a very small nucleus critical size. The consideration of the incubation time is critical for the control of the nanowire morphology.

  20. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  1. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  2. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bhasker, H. P.; Dhar, S. [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai, Maharashtra-400076 (India); Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research (JNCASR) Bangalore- 560064 (India)

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.

  3. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M.

    2014-01-01

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls

  4. AlGaN/GaN HEMT structures on ammono bulk GaN substrate

    International Nuclear Information System (INIS)

    Kruszewski, P; Prystawko, P; Krysko, M; Smalc-Koziorowska, J; Leszczynski, M; Kasalynas, I; Nowakowska-Siwinska, A; Plesiewicz, J; Dwilinski, R; Zajac, M; Kucharski, R

    2014-01-01

    The work shows a successful fabrication of AlGaN/GaN high electron mobility transistor (HEMT) structures on the bulk GaN substrate grown by ammonothermal method providing an ultralow dislocation density of 10 4  cm −2  and wafers of size up to 2 inches in diameter. The AlGaN layers grown by metalorganic chemical vapor phase epitaxy method demonstrate atomically smooth surface, flat interfaces with reproduced low dislocation density as in the substrate. The test electronic devices—Schottky diodes and transistors—were designed without surface passivation and were successfully fabricated using mask-less laser-based photolithography procedures. The Schottky barrier devices demonstrate exceptionally low reverse currents smaller by a few orders of magnitude in comparison to the Schottky diodes made of AlGaN/GaN HEMT on sapphire substrate. (paper)

  5. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  6. Silicon—a new substrate for GaN growth

    Indian Academy of Sciences (India)

    Unknown

    of GaN devices based on silicon is the thermal mismatch of GaN and Si, which generates cracks. In 1998, the .... Considerable research is being carried out on GaN HEMTs at present. ... by InGaN/GaN multiquantum well in MOVPE was first.

  7. Transparency of Semi-Insulating, n-Type, and p-Type Ammonothermal GaN Substrates in the Near-Infrared, Mid-Infrared, and THz Spectral Range

    Directory of Open Access Journals (Sweden)

    Robert Kucharski

    2017-06-01

    Full Text Available GaN substrates grown by the ammonothermal method are analyzed by Fast Fourier Transformation Spectroscopy in order to study the impact of doping (both n- and p-type on their transparency in the near-infrared, mid-infrared, and terahertz spectral range. It is shown that the introduction of dopants causes a decrease in transparency of GaN substrates in a broad spectral range which is attributed to absorption on free carriers (n-type samples or dopant ionization (p-type samples. In the mid-infrared the transparency cut-off, which for a semi-insulating GaN is at ~7 µm due to an absorption on a second harmonic of optical phonons, shifts towards shorter wavelengths due to an absorption on free carriers up to ~1 µm at n ~ 1020 cm−3 doping level. Moreover, a semi-insulating GaN crystal shows good transparency in the 1–10 THz range, while for n-and p-type crystal, the transparency in this spectral region is significantly quenched below 1%. In addition, it is shown that in the visible spectral region n-type GaN substrates with a carrier concentration below 1018 cm−3 are highly transparent with the absorption coefficient below 3 cm−1 at 450 nm, a satisfactory condition for light emitting diodes and laser diodes operating in this spectral range.

  8. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  9. Understanding of surface pit formation mechanism of GaN grown in MOCVD based on local thermodynamic equilibrium assumption

    International Nuclear Information System (INIS)

    Gao Zhi-Yuan; Xue Xiao-Wei; Li Jiang-Jiang; Wang Xun; Xing Yan-Hui; Cui Bi-Feng; Zou De-Shu

    2016-01-01

    Frank’s theory describes that a screw dislocation will produce a pit on the surface, and has been evidenced in many material systems including GaN. However, the size of the pit calculated from the theory deviates significantly from experimental result. Through a careful observation of the variations of surface pits and local surface morphology with growing temperature and V/III ratio for c -plane GaN, we believe that Frank’s model is valid only in a small local surface area where thermodynamic equilibrium state can be assumed to stay the same. If the kinetic process is too vigorous or too slow to reach a balance, the local equilibrium range will be too small for the center and edge of the screw dislocation spiral to be kept in the same equilibrium state. When the curvature at the center of the dislocation core reaches the critical value 1/ r 0 , at the edge of the spiral, the accelerating rate of the curvature may not fall to zero, so the pit cannot reach a stationary shape and will keep enlarging under the control of minimization of surface energy to result in a large-sized surface pit. (paper)

  10. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  11. ARM MJO Investigation Experiment on Gan Island (AMIE-Gan) Science Plan

    Energy Technology Data Exchange (ETDEWEB)

    Long, CL; Del Genio, A; Deng, M; Fu, X; Gustafson, W; Houze, R; Jakob, C; Jensen, M; Johnson, R; Liu, X; Luke, E; May, P; McFarlane, S; Minnis, P; Schumacher, C; Vogelmann, A; Wang, Y; Webster, P; Xie, S; Zhang, C

    2011-04-11

    The overarching campaign, which includes the ARM Mobile Facility 2 (AMF2) deployment in conjunction with the Dynamics of the Madden-Julian Oscillation (DYNAMO) and the Cooperative Indian Ocean experiment on intraseasonal variability in the Year 2011 (CINDY2011) campaigns, is designed to test several current hypotheses regarding the mechanisms responsible for Madden-Julian Oscillation (MJO) initiation and propagation in the Indian Ocean area. The synergy between the proposed AMF2 deployment with DYNAMO/CINDY2011, and the corresponding funded experiment on Manus, combine for an overarching ARM MJO Investigation Experiment (AMIE) with two components: AMF2 on Gan Island in the Indian Ocean (AMIE-Gan), where the MJO initiates and starts its eastward propagation; and the ARM Manus site (AMIE-Manus), which is in the general area where the MJO usually starts to weaken in climate models. AMIE-Gan will provide measurements of particular interest to Atmospheric System Research (ASR) researchers relevant to improving the representation of MJO initiation in climate models. The framework of DYNAMO/CINDY2011 includes two proposed island-based sites and two ship-based locations forming a square pattern with sonde profiles and scanning precipitation and cloud radars at both island and ship sites. These data will be used to produce a Variational Analysis data set coinciding with the one produced for AMIE-Manus. The synergy between AMIE-Manus and AMIE-Gan will allow studies of the initiation, propagation, and evolution of the convective cloud population within the framework of the MJO. As with AMIE-Manus, AMIE-Gan/DYNAMO also includes a significant modeling component geared toward improving the representation of MJO initiation and propagation in climate and forecast models. This campaign involves the deployment of the second, marine-capable, AMF; all of the included measurement systems; and especially the scanning and vertically pointing radars. The campaign will include sonde

  12. Synchrotron-based XPS studies of AlGaN and GaN surface chemistry and its relationship to ion sensor behaviour

    Energy Technology Data Exchange (ETDEWEB)

    Khir, Farah Liyana Muhammad, E-mail: 21001899@student.uwa.edu.au [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Myers, Matthew, E-mail: Matt.Myers@csiro.au [School of Chemistry and Biochemistry, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); CSIRO Earth Science and Resource Engineering, Kensington, Western Australia 6151 (Australia); Podolska, Anna [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Department of Exploration Geophysics, Curtin University of Technology, 26 Dick Perry Avenue, ARRC, Kensington, Western Australia 6151 (Australia); Sanders, Tarun Maruthi [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Baker, Murray V., E-mail: murray.baker@uwa.edu.au [School of Chemistry and Biochemistry, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Nener, Brett D., E-mail: brett.nener@uwa.edu.au [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia); Parish, Giacinta, E-mail: giacinta.parish@uwa.edu.au [School of Electrical, Electronic and Computer Engineering, The University of Western Australia, 35 Stirling Hwy., Crawley, Western Australia 6009 (Australia)

    2014-09-30

    Highlights: • Soft X-ray was used to study the surface chemistry of GaN and AlGaN. • The surface chemistry and sensor behaviour were investigated. • The oxide of aluminum is significantly more reactive than gallium. • The Cl{sup −} ions are greater in GaN samples compared to AlGaN samples. - Abstract: Soft X-ray photoelectron spectroscopy was used to investigate the fundamental surface chemistry of both AlGaN and GaN surfaces in the context of understanding the behaviour of AlGaN/GaN heterostructures as chemical field-effect transistor (CHEMFET) ion sensors. AlGaN and GaN samples were subjected to different methods of oxide growth (native oxide and thermally grown oxide) and chemical treatment conditions. Our investigations indicate that the etching of the oxide layer is more pronounced with AlGaN compared to GaN. Also, we observed that chloride ions have a greater tendency to attach to the GaN surface relative to the AlGaN surface. Furthermore, chloride ions are comparatively more prevalent on surfaces treated with 5% HCl acid solution. The concentration of chloride ions is even higher on the HCl treated native oxide surface resulting in a very clear deconvolution of the Cl 2p{sub 1/2} and Cl 2p{sub 3/2} peaks. For GaN and AlGaN surfaces, a linear response (e.g. source-drain current) is typically seen with variation in pH of buffered solutions with constant reference electrode voltage at the surface gate; however, an inverted bath-tub type response (e.g. a maximum at neutral pH and lower values at pH values away from neutral) and a general tendency to negative charge selectivity has been also widely reported. We have shown that our XPS investigations are consistent with the different sensor response reported in the literature for these CHEMFET devices and may help to explain the differing response of these materials.

  13. Computational study of GaAs1-xNx and GaN1-yAsy alloys and arsenic impurities in GaN

    International Nuclear Information System (INIS)

    Laaksonen, K; Komsa, H-P; Arola, E; Rantala, T T; Nieminen, R M

    2006-01-01

    We have studied the structural and electronic properties of As-rich GaAs 1-x N x and N-rich GaN 1-y As y alloys in a large composition range using first-principles methods. We have systematically investigated the effect of the impurity atom configuration near both GaAs and GaN sides of the concentration range on the total energies, lattice constants and bandgaps. The N (As) atoms, replacing substitutionally As (N) atoms in GaAs (GaN), cause the surrounding Ga atoms to relax inwards (outwards), making the Ga-N (Ga-As) bond length about 15% shorter (longer) than the corresponding Ga-As (Ga-N) bond length in GaAs (GaN). The total energies of the relaxed alloy supercells and the bandgaps experience large fluctuations within different configurations and these fluctuations grow stronger if the impurity concentration is increased. Substituting As atoms with N in GaAs induces modifications near the conduction band minimum, while substituting N atoms with As in GaN modifies the states near the valence band maximum. Both lead to bandgap reduction, which is at first rapid but later slows down. The relative size of the fluctuations is much larger in the case of GaAs 1-x N x alloys. We have also looked into the question of which substitutional site (Ga or N) As occupies in GaN. We find that under Ga-rich conditions arsenic prefers the substitutional N site over the Ga site within a large range of Fermi level values

  14. Schottky contacts to polar and nonpolar n-type GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hogyoung [Hanbat National University, Daejeon (Korea, Republic of); Phark, Soohyon [Max-Planck-Institut fur Mikrostrukturphysik, Halle (Germany); Song, Keunman [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of); Kim, Dongwook [Ewha Woman' s University, Seoul (Korea, Republic of)

    2012-01-15

    Using the current-voltage measurements, we observed the barrier heights of c-plane GaN in Pt and Au Schottky contacts to be higher than those of a-plane GaN. However, the barrier height of c-plane GaN was lower than that of a-plane GaN in the Ti Schottky contacts. The N/Ga ratio calculated by integrating the X-ray photoelectron spectroscopy (XPS) spectra of Ga 3d and N 1s core levels showed that c-plane GaN induced more Ga vacancies near the interface than a-plane GaN in the Ti Schottky contacts, reducing the effective barrier height through an enhancement of the tunneling probability.

  15. Efficient Incorporation of Mg in Solution Grown GaN Crystals

    Science.gov (United States)

    2013-10-11

    dispersed by a 1800 grooves/mm 0.85m double-grating spectrometer and detected by a UV -sensitive GaAs photomultiplier coupled to a computer-controlled... UV excitation at RT was attacked by the etching solution (which is indicative of the N-polar surface), as depicted in Figs. 2(a) and 2(b). This result...thorough spectrometric and optical spectro - scopic studies in combination with selective etching carried out on samples grown by the moderate-pressure

  16. Demonstration of forward inter-band tunneling in GaN by polarization engineering

    International Nuclear Information System (INIS)

    Krishnamoorthy, Sriram; Park, Pil Sung; Rajan, Siddharth

    2011-01-01

    We report on the design, fabrication, and characterization of GaN interband tunnel junction showing forward tunneling characteristics. We have achieved very high forward tunneling currents (153 mA/cm 2 at 10 mV, and 17.7 A/cm 2 peak current) in polarization-engineered GaN/InGaN/GaN heterojunction diodes grown by plasma assisted molecular beam epitaxy. We also report the observation of repeatable negative differential resistance in interband III-Nitride tunnel junctions, with peak-valley current ratio of 4 at room temperature. The forward current density achieved in this work meets the typical current drive requirements of a multi-junction solar cell.

  17. Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars.

    Science.gov (United States)

    Kozlowski, G; Zaumseil, P; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-03-23

    We study the growth and relaxation processes of Ge crystals selectively grown by chemical vapour deposition on free-standing 90 nm wide Si(001) nanopillars. Epi-Ge with thickness ranging from 4 to 80 nm was characterized by synchrotron based x-ray diffraction and transmission electron microscopy. We found that the strain in Ge nanostructures is plastically released by nucleation of misfit dislocations, leading to degrees of relaxation ranging from 50 to 100%. The growth of Ge nanocrystals follows the equilibrium crystal shape terminated by low surface energy (001) and {113} facets. Although the volumes of Ge nanocrystals are homogeneous, their shape is not uniform and the crystal quality is limited by volume defects on {111} planes. This is not the case for the Ge/Si nanostructures subjected to thermal treatment. Here, improved structure quality together with high levels of uniformity of the size and shape is observed.

  18. Identification of yellow luminescence centers in Be-doped GaN through pressure-dependent studies

    Science.gov (United States)

    Teisseyre, Henryk; Lyons, John L.; Kaminska, Agata; Jankowski, Dawid; Jarosz, Dawid; Boćkowski, Michał; Suchocki, Andrzej; Van de Walle, Chris G.

    2017-06-01

    Effective acceptor doping of wide-band-gap semiconductors is still an outstanding problem. Beryllium has been suggested as a shallow acceptor in GaN, but despite sporadic announcements, Be-induced p-type doping has never been practically realized. Be-doped GaN possesses two luminescence bands; one at 3.38 eV and a second near 2.2 eV at an energy close to that of the parasitic yellow luminescence often found in undoped GaN crystals. We have performed high hydrostatic pressure studies of bulk, Be-doped gallium nitride crystals using the diamond anvil cell technique. We observed a splitting of the yellow luminescence line under hydrostatic pressure into two components, one which is strongly dependent on applied pressure and another whose pressure dependence is more modest. Together with hybrid functional calculations, we attribute the strongly-varying component to the beryllium-oxygen complex. The second component of the yellow luminescence possesses very similar pressure behavior to the yellow luminescence observed in undoped samples grown by the same method, behavior which we find consistent with the CN acceptor. At higher pressure, we observe the vanishing of yellow luminescence and a rapid increase in luminescence intensity of the UV line. We explain this as the pressure-induced transformation of the Be-O complex from a highly localized state with large lattice relaxation to a delocalized state with limited lattice relaxation.

  19. Optical investigation of strain in Si-doped GaN films

    International Nuclear Information System (INIS)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-01-01

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E 2 mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5x10 18 cm -3 both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. [copyright] 2001 American Institute of Physics

  20. Zn-dopant dependent defect evolution in GaN nanowires

    Science.gov (United States)

    Yang, Bing; Liu, Baodan; Wang, Yujia; Zhuang, Hao; Liu, Qingyun; Yuan, Fang; Jiang, Xin

    2015-10-01

    Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta-stable cubic zinc blende (ZB) domains are generated in the WZ GaN nanowires. The WZ/ZB phase boundary (...ABABAC&cmb.b.line;BA...) can be identified as Type II stacking faults. The density of stacking faults (both Type I and Type II) increases with increasing the Zn doping levels, which in turn leads to a rough-surface morphology in the GaN nanowires. First-principles calculations reveal that Zn doping will reduce the formation energy of both Type I and Type II stacking faults, favoring their nucleation in GaN nanowires. An understanding of the effect of Zn doping on the defect evolution provides an important method to control the microstructure and the electrical properties of p-type GaN nanowires.Zn doped GaN nanowires with different doping levels (0, doping on the defect evolution, including stacking fault, dislocation, twin boundary and phase boundary, has been systematically investigated by transmission electron microscopy and first-principles calculations. Undoped GaN nanowires show a hexagonal wurtzite (WZ) structure with good crystallinity. Several kinds of twin boundaries, including (101&cmb.macr;3), (101&cmb.macr;1) and (202&cmb.macr;1), as well as Type I stacking faults (...ABABC&cmb.b.line;BCB...), are observed in the nanowires. The increasing Zn doping level (GaN nanowires. At high Zn doping level (3-5 at%), meta

  1. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  2. Energetics of Mg incorporation at GaN(0001) and GaN(0001¯) surfaces

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-04-01

    By using density functional calculations in the generalized gradient approximation, we investigate the energetics of Mg adsorption and incorporation at GaN(0001) and GaN(0001¯) surfaces under various Ga and Mg coverage conditions as well as in presence of light or electron beam-induced electronic excitation. We find significant differences in Mg incorporation between Ga- and N-polar surfaces. Mg incorporation is easier at the Ga-polar surface, but high Mg coverages are found to cause important distortions which locally change the polarity from Ga to N polar. At the N-rich and moderately Ga-rich GaN(0001) surface, 0.25 ML of Mg substituting Ga in the top bilayer strongly reduce the surface diffusion barriers of Ga and N adatoms, in agreement with the surfactant effect observed in experiments. As the Mg coverage exceeds 0.5 ML, partial incorporation in the subsurface region (second bilayer) becomes favorable. A surface structure with 0.5 ML of incorporated Mg in the top bilayer and 0.25 ML in the second bilayer is found to be stable over a wide range of Ga chemical potential. At the Ga bilayer-terminated GaN(0001) surface, corresponding to Ga-rich conditions, configurations where Mg is incorporated in the interface region between the metallic Ga bilayer and the underlying GaN bilayer appear to be favored. At the N-polar surface, Mg is not incorporated under N-rich or moderately Ga-rich conditions, whereas incorporation in the adlayer may take place under Ga-rich conditions. In the presence of light or electron beam induced excitation, energy differences between Mg incorporated at the surface and in deeper layers are reduced so that the tendency toward surface segregation is also reduced.

  3. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  4. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  5. Construction alternatives for free-standing facilities.

    Science.gov (United States)

    Brown, G

    1990-01-01

    Many hospitals are exploring free-standing facilities as an option for providing more efficient imaging services. Mr. Brown discusses the pros and cons of an emerging building technology, manufactured construction, in which building and site preparation are done simultaneously. He presents the criteria managers should use to make a knowledgeable decision.

  6. Effects of Mg doping in the quantum barriers on the efficiency droop of GaN based light emitting diodes

    International Nuclear Information System (INIS)

    Liu Yang; Yang Yongchun

    2016-01-01

    The effects of Mg doping in the quantum barriers (QBs) on the efficiency droop of GaN based light emitting diodes (LEDs) were investigated through a duel wavelength method. Barrier Mg doping would lead to the enhanced hole transportation and reduced polarization field in the quantum wells (QWs), both may reduce the efficiency droop. However, heavy Mg doping in the QBs would strongly deteriorate the crystal quality of the QWs grown after the doped QB. When increasing the injection current, the carriers would escape from the QWs between n-GaN and the doped QB and recombine non-radiatively in the QWs grown after the doped QB, leading to a serious efficiency droop. (paper)

  7. GaN transistors for efficient power conversion

    CERN Document Server

    Lidow, Alex; de Rooij, Michael; Reusch, David

    2014-01-01

    The first edition of GaN Transistors for Efficient Power Conversion was self-published by EPC in 2012, and is currently the only other book to discuss GaN transistor technology and specific applications for the technology. More than 1,200 copies of the first edition have been sold through Amazon or distributed to selected university professors, students and potential customers, and a simplified Chinese translation is also available. The second edition has expanded emphasis on applications for GaN transistors and design considerations. This textbook provides technical and application-focused i

  8. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  9. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  10. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  11. Mirror Buckling Transitions in Freestanding Graphene Membranes Induced through Scanning Tunneling Microscopy

    Science.gov (United States)

    Schoelz, James K.

    Graphene has the ability to provide for a technological revolution. First isolated and characterized in 2004, this material shows promise in the field of flexible electronics. The electronic properties of graphene can be tuned by controlling the shape of the membrane. Of particular interest in this endeavor are the thermal ripples in graphene membranes. Years of theoretical work by such luminaries as Lev Landau, Rudolf Peierls, David Mermin and Herbert Wagner have established that 2D crystals should not be thermodynamically stable. Experimental research on thin films has supported this finding. Yet graphene exists, and freestanding graphene films have been grown on large scales. It turns out that coupling between the bending and stretching phonons can stabilize the graphene in a flat, albeit rippled phase. These ripples have attracted much attention, and recent work has shown how to arrange these ripples in a variety of configurations. In this thesis, I will present work done using a scanning tunneling microscope (STM) to interact with freestanding graphene membranes. First I will present STM images of freestanding graphene and show how these images show signs of distortion under the electrostatic influence of the STM tip. This electrostatic attraction between the STM tip and the graphene sample can be used to pull on the graphene sample. At the same time, by employing Joule heating in order to heat graphene using the tunneling current, and exploiting the negative coefficient of thermal expansion, a repulsive thermal load can be generated. By repeatedly pulling on the graphene using the electrostatic potential, while sequentially increasing the setpoint current we can generate a thermal mirror buckling event. Slowly heating the graphene using the tunneling current, prepares a small convex region of graphene under the tip. By increasing thermal stress, as well as pulling using the out of plane electrostatic force, the graphene suddenly and irreversibly switches the

  12. StackGAN++: Realistic Image Synthesis with Stacked Generative Adversarial Networks

    OpenAIRE

    Zhang, Han; Xu, Tao; Li, Hongsheng; Zhang, Shaoting; Wang, Xiaogang; Huang, Xiaolei; Metaxas, Dimitris

    2017-01-01

    Although Generative Adversarial Networks (GANs) have shown remarkable success in various tasks, they still face challenges in generating high quality images. In this paper, we propose Stacked Generative Adversarial Networks (StackGAN) aiming at generating high-resolution photo-realistic images. First, we propose a two-stage generative adversarial network architecture, StackGAN-v1, for text-to-image synthesis. The Stage-I GAN sketches the primitive shape and colors of the object based on given...

  13. Freestanding Artificial Synapses Based on Laterally Proton-Coupled Transistors on Chitosan Membranes.

    Science.gov (United States)

    Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2015-10-07

    Freestanding synaptic transistors are fabricated on solution-processed chitosan membranes. A short-term memory to long-term memory transition is observed due to proton-related electrochemical doping under repeated pulse stimulus. Moreover, freestanding artificial synaptic devices with multiple presynaptic inputs are investigated, and spiking logic operation and logic modulation are realized. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Ab initio investigations of the strontium gallium nitride ternaries Sr 3GaN3 and Sr6GaN5: Promising materials for optoelectronic

    KAUST Repository

    Goumri-Said, Souraya

    2013-05-31

    Sr3GaN3 and Sr6GaN5 could be promising potential materials for applications in the microelectronics, optoelectronics and coating materials areas of research. We studied in detail their structural, elastic, electronic, optical as well as the vibrational properties, by means of density functional theory framework. Both of these ternaries are semiconductors, where Sr3GaN3 exhibits a small indirect gap whereas Sr6GaN5 has a large direct gap. Indeed, their optical properties are reported for radiation up to 40 eV. Charge densities contours, Hirshfeld and Mulliken populations, are reported to investigate the role of each element in the bonding. From the mechanical properties calculation, it is found that Sr6GaN5 is harder than Sr3GaN3, and the latter is more anisotropic than the former. The phonon dispersion relation, density of phonon states and the vibrational stability are reported from the density functional perturbation theory calculations. © 2013 IOP Publishing Ltd.

  15. Role of the ganSPQAB Operon in Degradation of Galactan by Bacillus subtilis.

    Science.gov (United States)

    Watzlawick, Hildegard; Morabbi Heravi, Kambiz; Altenbuchner, Josef

    2016-10-15

    Bacillus subtilis possesses different enzymes for the utilization of plant cell wall polysaccharides. This includes a gene cluster containing galactan degradation genes (ganA and ganB), two transporter component genes (ganQ and ganP), and the sugar-binding lipoprotein-encoding gene ganS (previously known as cycB). These genes form an operon that is regulated by GanR. The degradation of galactan by B. subtilis begins with the activity of extracellular GanB. GanB is an endo-β-1,4-galactanase and is a member of glycoside hydrolase (GH) family 53. This enzyme was active on high-molecular-weight arabinose-free galactan and mainly produced galactotetraose as well as galactotriose and galactobiose. These galacto-oligosaccharides may enter the cell via the GanQP transmembrane proteins of the galactan ABC transporter. The specificity of the galactan ABC transporter depends on the sugar-binding lipoprotein, GanS. Purified GanS was shown to bind galactotetraose and galactotriose using thermal shift assay. The energy for this transport is provided by MsmX, an ATP-binding protein. The transported galacto-oligosaccharides are further degraded by GanA. GanA is a β-galactosidase that belongs to GH family 42. The GanA enzyme was able to hydrolyze short-chain β-1,4-galacto-oligosaccharides as well as synthetic β-galactopyranosides into galactose. Thermal shift assay as well as electrophoretic mobility shift assay demonstrated that galactobiose is the inducer of the galactan operon regulated by GanR. DNase I footprinting revealed that the GanR protein binds to an operator overlapping the -35 box of the σ(A)-type promoter of Pgan, which is located upstream of ganS IMPORTANCE: Bacillus subtilis is a Gram-positive soil bacterium that utilizes different types of carbohydrates, such as pectin, as carbon sources. So far, most of the pectin degradation systems and enzymes have been thoroughly studied in B. subtilis Nevertheless, the B. subtilis utilization system of galactan, which is

  16. One phonon resonant Raman scattering in free-standing quantum wires

    International Nuclear Information System (INIS)

    Zhao, Xiang-Fu; Liu, Cui-Hong

    2007-01-01

    The scattering intensity (SI) of a free-standing cylindrical semiconductor quantum wire for an electron resonant Raman scattering (ERRS) process associated with bulk longitudinal optical (LO) phonon modes and surface optical (SO) phonon modes is calculated separately for T=0 K. The Frohlich interaction is considered to illustrate the theory for GaAs and CdS systems. Electron states are confined within a free-standing quantum wire (FSW). Single parabolic conduction and valence bands are assumed. The selection rules are studied. Numerical results and a discussion are also presented for various radii of the cylindrical

  17. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  18. Understanding of surface pit formation mechanism of GaN grown in MOCVD based on local thermodynamic equilibrium assumption

    Science.gov (United States)

    Zhi-Yuan, Gao; Xiao-Wei, Xue; Jiang-Jiang, Li; Xun, Wang; Yan-Hui, Xing; Bi-Feng, Cui; De-Shu, Zou

    2016-06-01

    Frank’s theory describes that a screw dislocation will produce a pit on the surface, and has been evidenced in many material systems including GaN. However, the size of the pit calculated from the theory deviates significantly from experimental result. Through a careful observation of the variations of surface pits and local surface morphology with growing temperature and V/III ratio for c-plane GaN, we believe that Frank’s model is valid only in a small local surface area where thermodynamic equilibrium state can be assumed to stay the same. If the kinetic process is too vigorous or too slow to reach a balance, the local equilibrium range will be too small for the center and edge of the screw dislocation spiral to be kept in the same equilibrium state. When the curvature at the center of the dislocation core reaches the critical value 1/r 0, at the edge of the spiral, the accelerating rate of the curvature may not fall to zero, so the pit cannot reach a stationary shape and will keep enlarging under the control of minimization of surface energy to result in a large-sized surface pit. Project supported by the National Natural Science Foundation of China (Grant Nos. 11204009 and 61204011) and the Beijing Municipal Natural Science Foundation, China (Grant No. 4142005).

  19. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  20. Three-dimensional free-standing carbon nanotubes for a flexible lithium-ion battery anode

    International Nuclear Information System (INIS)

    Kang, Chiwon; Cha, Eunho; Baskaran, Rangasamy; Choi, Wonbong

    2016-01-01

    Flexible lithium-ion batteries (LIBs) have received considerable attention as energy sources for wearable electronics. In recent years, much effort has been devoted to study light-weight, robust, and flexible electrodes. However, high areal and volumetric capacities need to be achieved for practical power and energy densities. In this paper, we report the use of three-dimensional (3D) free-standing carbon nanotubes (CNTs) as a current collector-free anode to demonstrate flexible LIBs with enhanced areal and volumetric capacities. High density CNTs grown on copper (Cu) mesh are transferred to a flexible graphene/polyethylene terephthalate  film and integrated into a flexible LIB. A fully flexible LIB cell integrated with the 3D CNT anode delivers a high areal capacity of 0.25 mAh cm"−"2 at 0.1C and shows fairly consistent open circuit voltage under bending. These findings may provide significant advances in the application of flexible LIB based electronic devices. (paper)