WorldWideScience

Sample records for film atom chip

  1. Magnetic-film atom chip with 10 μm period lattices of microtraps for quantum information science with Rydberg atoms.

    Science.gov (United States)

    Leung, V Y F; Pijn, D R M; Schlatter, H; Torralbo-Campo, L; La Rooij, A L; Mulder, G B; Naber, J; Soudijn, M L; Tauschinsky, A; Abarbanel, C; Hadad, B; Golan, E; Folman, R; Spreeuw, R J C

    2014-05-01

    We describe the fabrication and construction of a setup for creating lattices of magnetic microtraps for ultracold atoms on an atom chip. The lattice is defined by lithographic patterning of a permanent magnetic film. Patterned magnetic-film atom chips enable a large variety of trapping geometries over a wide range of length scales. We demonstrate an atom chip with a lattice constant of 10 μm, suitable for experiments in quantum information science employing the interaction between atoms in highly excited Rydberg energy levels. The active trapping region contains lattice regions with square and hexagonal symmetry, with the two regions joined at an interface. A structure of macroscopic wires, cutout of a silver foil, was mounted under the atom chip in order to load ultracold (87)Rb atoms into the microtraps. We demonstrate loading of atoms into the square and hexagonal lattice sections simultaneously and show resolved imaging of individual lattice sites. Magnetic-film lattices on atom chips provide a versatile platform for experiments with ultracold atoms, in particular for quantum information science and quantum simulation.

  2. Magnetic-film atom chip with 10 μm period lattices of microtraps for quantum information science with Rydberg atoms

    Energy Technology Data Exchange (ETDEWEB)

    Leung, V. Y. F. [Van der Waals-Zeeman Institute, University of Amsterdam, Science Park 904, PO Box 94485, 1090 GL Amsterdam (Netherlands); Complex Photonic Systems (COPS), MESA Institute for Nanotechnology, University of Twente, PO Box 217, 7500 AE Enschede (Netherlands); Pijn, D. R. M.; Schlatter, H.; Torralbo-Campo, L.; La Rooij, A. L.; Mulder, G. B.; Naber, J.; Soudijn, M. L.; Tauschinsky, A.; Spreeuw, R. J. C., E-mail: r.j.c.spreeuw@uva.nl [Van der Waals-Zeeman Institute, University of Amsterdam, Science Park 904, PO Box 94485, 1090 GL Amsterdam (Netherlands); Abarbanel, C.; Hadad, B.; Golan, E. [Ilse Katz Institute for Nanoscale Science and Technology, Ben-Gurion University of the Negev, Be' er Sheva 84105 (Israel); Folman, R. [Department of Physics and Ilse Katz Institute for Nanoscale Science and Technology, Ben-Gurion University of the Negev, Be' er Sheva 84105 (Israel)

    2014-05-15

    We describe the fabrication and construction of a setup for creating lattices of magnetic microtraps for ultracold atoms on an atom chip. The lattice is defined by lithographic patterning of a permanent magnetic film. Patterned magnetic-film atom chips enable a large variety of trapping geometries over a wide range of length scales. We demonstrate an atom chip with a lattice constant of 10 μm, suitable for experiments in quantum information science employing the interaction between atoms in highly excited Rydberg energy levels. The active trapping region contains lattice regions with square and hexagonal symmetry, with the two regions joined at an interface. A structure of macroscopic wires, cutout of a silver foil, was mounted under the atom chip in order to load ultracold {sup 87}Rb atoms into the microtraps. We demonstrate loading of atoms into the square and hexagonal lattice sections simultaneously and show resolved imaging of individual lattice sites. Magnetic-film lattices on atom chips provide a versatile platform for experiments with ultracold atoms, in particular for quantum information science and quantum simulation.

  3. Optical lattice on an atom chip

    DEFF Research Database (Denmark)

    Gallego, D.; Hofferberth, S.; Schumm, Thorsten

    2009-01-01

    Optical dipole traps and atom chips are two very powerful tools for the quantum manipulation of neutral atoms. We demonstrate that both methods can be combined by creating an optical lattice potential on an atom chip. A red-detuned laser beam is retroreflected using the atom chip surface as a high......-quality mirror, generating a vertical array of purely optical oblate traps. We transfer thermal atoms from the chip into the lattice and observe cooling into the two-dimensional regime. Using a chip-generated Bose-Einstein condensate, we demonstrate coherent Bloch oscillations in the lattice....

  4. Fabrication of Quench Condensed Thin Films Using an Integrated MEMS Fab on a Chip

    Science.gov (United States)

    Lally, Richard; Reeves, Jeremy; Stark, Thomas; Barrett, Lawrence; Bishop, David

    Atomic calligraphy is a microelectromechanical systems (MEMS)-based dynamic stencil nanolithography technique. Integrating MEMS devices into a bonded stacked array of three die provides a unique platform for conducting quench condensed thin film mesoscopic experiments. The atomic calligraphy Fab on a Chip process incorporates metal film sources, electrostatic comb driven stencil plate, mass sensor, temperature sensor, and target surface into one multi-die assembly. Three separate die are created using the PolyMUMPs process and are flip-chip bonded together. A die containing joule heated sources must be prepared with metal for evaporation prior to assembly. A backside etch of the middle/central die exposes the moveable stencil plate allowing the flux to pass through the stencil from the source die to the target die. The chip assembly is mounted in a cryogenic system at ultra-high vacuum for depositing extremely thin films down to single layers of atoms across targeted electrodes. Experiments such as the effect of thin film alloys or added impurities on their superconductivity can be measured in situ with this process.

  5. Atom chips: mesoscopic physics with cold atoms

    International Nuclear Information System (INIS)

    Krueger, P.; Wildermuth, S.; Hofferberth, S.; Haller, E.; GAllego Garcia, D.; Schmiedmayer, J.

    2005-01-01

    Full text: Cold neutral atoms can be controlled and manipulated in microscopic potentials near surfaces of atom chips. These integrated micro-devices combine the known techniques of atom optics with the capabilities of well established micro- and nanofabrication technology. In analogy to electronic microchips and integrated fiber optics, the concept of atom chips is suitable to explore the domain of mesoscopic physics with matter waves. We use current and charge carrying structures to form complex potentials with high spatial resolution only microns from the surface. In particular, atoms can be confined to an essentially one-dimensional motion. In this talk, we will give an overview of our experiments studying the manipulation of both thermal atoms and BECs on atom chips. First experiments in the quasi one-dimensional regime will be presented. These experiments profit from strongly reduced residual disorder potentials caused by imperfections of the chip fabrication with respect to previously published experiments. This is due to our purely lithographic fabrication technique that proves to be advantageous over electroplating. We have used one dimensionally confined BECs as an ultra-sensitive probe to characterize these potentials. These smooth potentials allow us to explore various aspects of the physics of degenerate quantum gases in low dimensions. (author)

  6. Ultracold atoms on atom chips

    DEFF Research Database (Denmark)

    Krüger, Peter; Hofferberth, S.; Haller, E.

    2005-01-01

    Miniaturized potentials near the surface of atom chips can be used as flexible and versatile tools for the manipulation of ultracold atoms on a microscale. The full scope of possibilities is only accessible if atom-surface distances can be reduced to microns. We discuss experiments in this regime...

  7. Spatially resolved photoionization of ultracold atoms on an atom chip

    International Nuclear Information System (INIS)

    Kraft, S.; Guenther, A.; Fortagh, J.; Zimmermann, C.

    2007-01-01

    We report on photoionization of ultracold magnetically trapped Rb atoms on an atom chip. The atoms are trapped at 5 μK in a strongly anisotropic trap. Through a hole in the chip with a diameter of 150 μm, two laser beams are focused onto a fraction of the atomic cloud. A first laser beam with a wavelength of 778 nm excites the atoms via a two-photon transition to the 5D level. With a fiber laser at 1080 nm the excited atoms are photoionized. Ionization leads to depletion of the atomic density distribution observed by absorption imaging. The resonant ionization spectrum is reported. The setup used in this experiment is suitable not only to investigate mixtures of Bose-Einstein condensates and ions but also for single-atom detection on an atom chip

  8. Rapid prototyping of versatile atom chips for atom interferometry applications.

    Science.gov (United States)

    Kasch, Brian; Squires, Matthew; Olson, Spencer; Kroese, Bethany; Imhof, Eric; Kohn, Rudolph; Stuhl, Benjamin; Schramm, Stacy; Stickney, James

    2016-05-01

    We present recent advances in the manipulation of ultracold atoms with ex-vacuo atom chips (i.e. atom chips that are not inside to the UHV chamber). Details will be presented of an experimental system that allows direct bonded copper (DBC) atom chips to be removed and replaced in minutes, requiring minimal re-optimization of parameters. This system has been used to create Bose-Einstein condensates, as well as magnetic waveguides with precisely tunable axial parameters, allowing double wells, pure harmonic confinement, and modified harmonic traps. We investigate the effects of higher order magnetic field contributions to the waveguide, and the implications for confined atom interferometry.

  9. Design and Construction of an Atomic Clock on an Atom Chip

    International Nuclear Information System (INIS)

    Reinhard, Friedemann

    2009-01-01

    We describe the design and construction of an atomic clock on an atom chip, intended as a secondary standard, with a stability in the range of few 10 -13 at 1 s. This clock is based on a two-photon transition between the hyperfine states |F = 1; m F = -1> and |2; 1> of the electronic ground state of the 87 Rb atom. This transition is interrogated using a Ramsey scheme, operating on either a cloud of thermal atoms or a Bose-Einstein condensate. In contrast to atomic fountain clocks, this clock is magnetically trapped on an atom chip. We describe a theoretical model of the clock stability and the design and construction of a dedicated apparatus. It is able to control the magnetic field at the relative 10 -5 level and features a hybrid atom chip, containing DC conductors as well as a microwave transmission line for the clock interrogation. (author)

  10. Absorption imaging of ultracold atoms on atom chips

    DEFF Research Database (Denmark)

    Smith, David A.; Aigner, Simon; Hofferberth, Sebastian

    2011-01-01

    Imaging ultracold atomic gases close to surfaces is an important tool for the detailed analysis of experiments carried out using atom chips. We describe the critical factors that need be considered, especially when the imaging beam is purposely reflected from the surface. In particular we present...... methods to measure the atom-surface distance, which is a prerequisite for magnetic field imaging and studies of atom surface-interactions....

  11. Manipulating Neutral Atoms in Chip-Based Magnetic Traps

    Science.gov (United States)

    Aveline, David; Thompson, Robert; Lundblad, Nathan; Maleki, Lute; Yu, Nan; Kohel, James

    2009-01-01

    Several techniques for manipulating neutral atoms (more precisely, ultracold clouds of neutral atoms) in chip-based magnetic traps and atomic waveguides have been demonstrated. Such traps and waveguides are promising components of future quantum sensors that would offer sensitivities much greater than those of conventional sensors. Potential applications include gyroscopy and basic research in physical phenomena that involve gravitational and/or electromagnetic fields. The developed techniques make it possible to control atoms with greater versatility and dexterity than were previously possible and, hence, can be expected to contribute to the value of chip-based magnetic traps and atomic waveguides. The basic principle of these techniques is to control gradient magnetic fields with suitable timing so as to alter a trap to exert position-, velocity-, and/or time-dependent forces on atoms in the trap to obtain desired effects. The trap magnetic fields are generated by controlled electric currents flowing in both macroscopic off-chip electromagnet coils and microscopic wires on the surface of the chip. The methods are best explained in terms of examples. Rather than simply allowing atoms to expand freely into an atomic waveguide, one can give them a controllable push by switching on an externally generated or a chip-based gradient magnetic field. This push can increase the speed of the atoms, typically from about 5 to about 20 cm/s. Applying a non-linear magnetic-field gradient exerts different forces on atoms in different positions a phenomenon that one can exploit by introducing a delay between releasing atoms into the waveguide and turning on the magnetic field.

  12. Silicon carbide transparent chips for compact atomic sensors

    Science.gov (United States)

    Huet, L.; Ammar, M.; Morvan, E.; Sarazin, N.; Pocholle, J.-P.; Reichel, J.; Guerlin, C.; Schwartz, S.

    2017-11-01

    Atom chips [1] are an efficient tool for trapping, cooling and manipulating cold atoms, which could open the way to a new generation of compact atomic sensors addressing space applications. This is in particular due to the fact that they can achieve strong magnetic field gradients near the chip surface, hence strong atomic confinement at moderate electrical power. However, this advantage usually comes at the price of reducing the optical access to the atoms, which are confined very close to the chip surface. We will report at the conference experimental investigations showing how these limits could be pushed farther by using an atom chip made of a gold microcircuit deposited on a single-crystal Silicon Carbide (SiC) substrate [2]. With a band gap energy value of about 3.2 eV at room temperature, the latter material is transparent at 780nm, potentially restoring quasi full optical access to the atoms. Moreover, it combines a very high electrical resistivity with a very high thermal conductivity, making it a good candidate for supporting wires with large currents without the need of any additional electrical insulation layer [3].

  13. The Chip-Scale Atomic Clock - Recent Development Progress

    Science.gov (United States)

    2004-09-01

    35th Annual Precise Time and Time Interval (PTTI) Meeting 467 THE CHIP-SCALE ATOMIC CLOCK – RECENT DEVELOPMENT PROGRESS R. Lutwak ...1] R. Lutwak , et al., 2003, “The Chip-Scale Atomic Clock – Coherent Population Trapping vs. Conventional Interrogation,” in

  14. Experiments with Rydberg atoms on a current-carrying atom chip

    NARCIS (Netherlands)

    Cisternas San Martín, N.V.

    2018-01-01

    On one side, atom-chip experiments have demonstrated to be a versatile tool to study quantum physics in cold atoms systems. On the other side, Rydberg atoms have exaggerated properties that makes them good candidates to study quantum information and quantum simulations protocols. In this thesis both

  15. Coherent matter wave optics on an atom chip

    DEFF Research Database (Denmark)

    Krüger, Peter; Hofferberth, S.; Schumm, Thorsten

    2006-01-01

    Coherent manipulation of matter waves in microscopic trapping potentials facilitates both fundamental and technological applications. Here we focus on experiments with a microscopic integrated interferometer that demonstrate coherent operation on an atom chip.......Coherent manipulation of matter waves in microscopic trapping potentials facilitates both fundamental and technological applications. Here we focus on experiments with a microscopic integrated interferometer that demonstrate coherent operation on an atom chip....

  16. Atom chip gravimeter

    Science.gov (United States)

    Schubert, Christian; Abend, Sven; Gebbe, Martina; Gersemann, Matthias; Ahlers, Holger; Müntinga, Hauke; Matthias, Jonas; Sahelgozin, Maral; Herr, Waldemar; Lämmerzahl, Claus; Ertmer, Wolfgang; Rasel, Ernst

    2016-04-01

    Atom interferometry has developed into a tool for measuring rotations [1], accelerations [2], and testing fundamental physics [3]. Gravimeters based on laser cooled atoms demonstrated residual uncertainties of few microgal [2,4] and were simplified for field applications [5]. Atomic gravimeters rely on the interference of matter waves which are coherently manipulated by laser light fields. The latter can be interpreted as rulers to which the position of the atoms is compared. At three points in time separated by a free evolution, the light fields are pulsed onto the atoms. First, a coherent superposition of two momentum states is produced, then the momentum is inverted, and finally the two trajectories are recombined. Depending on the acceleration the atoms experienced, the number of atoms detected in the output ports will change. Consequently, the acceleration can be determined from the output signal. The laser cooled atoms with microkelvin temperatures used in state-of-the-art gravimeters impose limits on the accuracy [4]. Therefore, ultra-cold atoms generated by Bose-Einstein condensation and delta-kick collimation [6,7] are expected to be the key for further improvements. These sources suffered from a low flux implying an incompatible noise floor, but a competitive performance was demonstrated recently with atom chips [8]. In the compact and robust setup constructed for operation in the drop tower [6] we demonstrated all steps necessary for an atom chip gravimeter with Bose-Einstein condensates in a ground based operation. We will discuss the principle of operation, the current performance, and the perspectives to supersede the state of the art. The authors thank the QUANTUS cooperation for contributions to the drop tower project in the earlier stages. This work is supported by the German Space Agency (DLR) with funds provided by the Federal Ministry for Economic Affairs and Energy (BMWi) due to an enactment of the German Bundestag under grant numbers DLR 50WM

  17. Controlling stray electric fields on an atom chip for experiments on Rydberg atoms

    Science.gov (United States)

    Davtyan, D.; Machluf, S.; Soudijn, M. L.; Naber, J. B.; van Druten, N. J.; van Linden van den Heuvell, H. B.; Spreeuw, R. J. C.

    2018-02-01

    Experiments handling Rydberg atoms near surfaces must necessarily deal with the high sensitivity of Rydberg atoms to (stray) electric fields that typically emanate from adsorbates on the surface. We demonstrate a method to modify and reduce the stray electric field by changing the adsorbate distribution. We use one of the Rydberg excitation lasers to locally affect the adsorbed dipole distribution. By adjusting the averaged exposure time we change the strength (with the minimal value less than 0.2 V /cm at 78 μ m from the chip) and even the sign of the perpendicular field component. This technique is a useful tool for experiments handling Rydberg atoms near surfaces, including atom chips.

  18. Parametric resonance and cooling on an atom chip

    International Nuclear Information System (INIS)

    Yan Bo; Li Xiaolin; Ke Min; Wang Yuzhu

    2008-01-01

    This paper observes the parametric excitation on atom chip by measuring the trap loss when applying a parametric modulation. By modulating the current in chip wires, it modulates not only the trap frequency but also the trap position. It shows that the strongest resonance occurs when the modulation frequency equals to the trap frequency. The resonance amplitude increases exponentially with modulation depth. Because the Z-trap is an anharmonic trap, there exists energy selective excitation which would cause parametric cooling. We confirm this effect by observing the temperature of atom cloud dropping

  19. The Chip-Scale Atomic Clock - Low-Power Physics Package

    Science.gov (United States)

    2004-12-01

    36th Annual Precise Time and Time Interval (PTTI) Meeting 339 THE CHIP-SCALE ATOMIC CLOCK – LOW-POWER PHYSICS PACKAGE R. Lutwak ...pdf/documents/ds-x72.pdf [2] R. Lutwak , D. Emmons, W. Riley, and R. M. Garvey, 2003, “The Chip-Scale Atomic Clock – Coherent Population Trapping vs...2002, Reston, Virginia, USA (U.S. Naval Observatory, Washington, D.C.), pp. 539-550. [3] R. Lutwak , D. Emmons, T. English, and W. Riley, 2004

  20. Atom Michelson interferometer on a chip using a Bose-Einstein condensate.

    Science.gov (United States)

    Wang, Ying-Ju; Anderson, Dana Z; Bright, Victor M; Cornell, Eric A; Diot, Quentin; Kishimoto, Tetsuo; Prentiss, Mara; Saravanan, R A; Segal, Stephen R; Wu, Saijun

    2005-03-11

    An atom Michelson interferometer is implemented on an "atom chip." The chip uses lithographically patterned conductors and external magnetic fields to produce and guide a Bose-Einstein condensate. Splitting, reflecting, and recombining of condensate atoms are achieved by a standing-wave light field having a wave vector aligned along the atom waveguide. A differential phase shift between the two arms of the interferometer is introduced by either a magnetic-field gradient or with an initial condensate velocity. Interference contrast is still observable at 20% with an atom propagation time of 10 ms.

  1. Atom Michelson interferometer on a chip using a Bose-Einstein condensate

    International Nuclear Information System (INIS)

    Wang Yingju; Anderson, Dana Z.; Cornell, Eric A.; Diot, Quentin; Kishimoto, Tetsuo; Segal, Stephen R.; Bright, Victor M.; Saravanan, R.A.; Prentiss, Mara; Wu Saijun

    2005-01-01

    An atom Michelson interferometer is implemented on an 'atom chip'. The chip uses lithographically patterned conductors and external magnetic fields to produce and guide a Bose-Einstein condensate. Splitting, reflecting, and recombining of condensate atoms are achieved by a standing-wave light field having a wave vector aligned along the atom waveguide. A differential phase shift between the two arms of the interferometer is introduced by either a magnetic-field gradient or with an initial condensate velocity. Interference contrast is still observable at 20% with an atom propagation time of 10 ms

  2. The Chip-Scale Atomic Clock - Prototype Evaluation

    Science.gov (United States)

    2007-11-01

    39th Annual Precise Time and Time Interval (PTTI) Meeting THE CHIP-SCALE ATOMIC CLOCK – PROTOTYPE EVALUATION R. Lutwak *, A. Rashed...been supported by the Defense Advanced Research Projects Agency, Contract # NBCHC020050. REFERENCES [1] R. Lutwak , D. Emmons, W. Riley, and...D.C.), pp. 539-550. [2] R. Lutwak , D. Emmons, T. English, W. Riley, A. Duwel, M. Varghese, D. K. Serkland, and G. M. Peake, 2004, “The Chip-Scale

  3. The Design, Fabrication and Characterization of a Transparent Atom Chip

    Directory of Open Access Journals (Sweden)

    Ho-Chiao Chuang

    2014-06-01

    Full Text Available This study describes the design and fabrication of transparent atom chips for atomic physics experiments. A fabrication process was developed to define the wire patterns on a transparent glass substrate to create the desired magnetic field for atom trapping experiments. An area on the chip was reserved for the optical access, so that the laser light can penetrate directly through the glass substrate for the laser cooling process. Furthermore, since the thermal conductivity of the glass substrate is poorer than other common materials for atom chip substrate, for example silicon, silicon carbide, aluminum nitride. Thus, heat dissipation copper blocks are designed on the front and back of the glass substrate to improve the electrical current conduction. The testing results showed that a maximum burnout current of 2 A was measured from the wire pattern (with a width of 100 μm and a height of 20 μm without any heat dissipation design and it can increase to 2.5 A with a heat dissipation design on the front side of the atom chips. Therefore, heat dissipation copper blocks were designed and fabricated on the back of the glass substrate just under the wire patterns which increases the maximum burnout current to 4.5 A. Moreover, a maximum burnout current of 6 A was achieved when the entire backside glass substrate was recessed and a thicker copper block was electroplated, which meets most requirements of atomic physics experiments.

  4. The Design, Fabrication and Characterization of a Transparent Atom Chip

    Science.gov (United States)

    Chuang, Ho-Chiao; Huang, Chia-Shiuan; Chen, Hung-Pin; Huang, Chi-Sheng; Lin, Yu-Hsin

    2014-01-01

    This study describes the design and fabrication of transparent atom chips for atomic physics experiments. A fabrication process was developed to define the wire patterns on a transparent glass substrate to create the desired magnetic field for atom trapping experiments. An area on the chip was reserved for the optical access, so that the laser light can penetrate directly through the glass substrate for the laser cooling process. Furthermore, since the thermal conductivity of the glass substrate is poorer than other common materials for atom chip substrate, for example silicon, silicon carbide, aluminum nitride. Thus, heat dissipation copper blocks are designed on the front and back of the glass substrate to improve the electrical current conduction. The testing results showed that a maximum burnout current of 2 A was measured from the wire pattern (with a width of 100 μm and a height of 20 μm) without any heat dissipation design and it can increase to 2.5 A with a heat dissipation design on the front side of the atom chips. Therefore, heat dissipation copper blocks were designed and fabricated on the back of the glass substrate just under the wire patterns which increases the maximum burnout current to 4.5 A. Moreover, a maximum burnout current of 6 A was achieved when the entire backside glass substrate was recessed and a thicker copper block was electroplated, which meets most requirements of atomic physics experiments. PMID:24922456

  5. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  6. Dry-film polymer waveguide for silicon photonics chip packaging.

    Science.gov (United States)

    Hsu, Hsiang-Han; Nakagawa, Shigeru

    2014-09-22

    Polymer waveguide made by dry film process is demonstrated for silicon photonics chip packaging. With 8 μm × 11.5 μm core waveguide, little penalty is observed up to 25 Gbps before or after the light propagate through a 10-km long single-mode fiber (SMF). Coupling loss to SMF is 0.24 dB and 1.31 dB at the polymer waveguide input and output ends, respectively. Alignment tolerance for 0.5 dB loss increase is +/- 1.0 μm along both vertical and horizontal directions for the coupling from the polymer waveguide to SMF. The dry-film polymer waveguide demonstrates promising performance for silicon photonics chip packaging used in next generation optical multi-chip module.

  7. Potential roughness near lithographically fabricated atom chips

    DEFF Research Database (Denmark)

    Krüger, Peter; Andersson, L. M.; Wildermuth, Stefan

    2007-01-01

    Potential roughness has been reported to severely impair experiments in magnetic microtraps. We show that these obstacles can be overcome as we measure disorder potentials that are reduced by two orders of magnitude near lithographically patterned high-quality gold layers on semiconductor atom chip...

  8. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  9. Cold atoms in microscopic traps: from wires to chips

    International Nuclear Information System (INIS)

    Cassettari, D.

    2000-05-01

    This thesis reports on the experimental demonstration of magnetic guides, traps and beam splitters for neutral atoms using current carrying wires. A straight wire allows to create two basic guide configurations: the magnetic field generated by the wire alone produces a guide where atoms in a strong field seeking state perform orbits around the wire (Kepler guide); by adding an external magnetic field, atoms in a weak field seeking state are guided at the location where the external field and the field generated by the wire cancel out (side guide). Furthermore, bending the wire in various shapes allows to modify the side guide potential and hence to create a large variety of three dimensional traps. A relevant property of these potentials is that higher trapping gradients are obtained by decreasing the current flowing in the wires. As the trap is compressed, it also moves closer to the wire. This feature has allowed us to create microscopic potentials by using thin wires designed on a surface (atom chip) by means of high resolution microfabrication techniques. Wires mounted on a surface have the advantage of being more robust and able to sustain larger currents due to their thermal coupling with the substrate. In our experiment we have developed methods to load these traps and guides with laser cooled atoms. Our first investigations have been performed with free standing wires which we have used to study the Kepler guide, the side guide and a three dimensional Ioffe-Pritchard trap. In the latter we have achieved the trapping parameters required in the experiments with Bose-Einstein condensates with much reduced power consumption. In a second time we have replaced the free standing wires with an atom chip, which we have used to compress the atomic cloud in potentials with trap frequencies above 100 kHz and ground state sizes below 100 nm. Such potentials are especially interesting for quantum information proposals of performing quantum gate operations with controlled

  10. Development of an atomic clock on an atom chip: Optimisation of the coherence time and preliminary characterisation

    International Nuclear Information System (INIS)

    Lacroute, Clement

    2010-01-01

    We describe the construction and preliminary characterization of an atomic clock on an atom chip. A sample of magnetically trapped 87 Rb atoms is cooled below 1 μK, close to Bose- Einstein condensation temperature. The trapped states |F = 1; m F = -1> and |F = 2;m F = 1> define our two-photon clock transition. Atoms are trapped around a field B0 = 3.23 G, where the clock frequency is first-order insensitive to magnetic field fluctuations. We have designed an atom chip that includes a microwave coplanar waveguide which drives the 6.835 GHz transition. The whole clock cycle is performed in the vicinity of the chip surface, making the physics package compact (5 cm) 3 . We first describe the experimental setup of the clock, and the optical bench that has been developed and characterized during this thesis. We then give the results obtained for atom cooling, which led to obtaining a 3 10 4 atoms Bose-Einstein condensate. We finally present the results obtained by Ramsey spectroscopy of the clock transition. We measure coherence times exceeding 10 seconds with our setup, dominated by atom losses. A preliminary measurement shows that the clock relative frequency stability is of 6 10 -12 at 1 s, limited by technical noise. Our goal is to reach a stability in the low 10 -13 at 1 s, i.e. better than commercial clocks and competitive with today's best compact clocks. (author)

  11. Transporting, splitting and merging of atomic ensembles in a chip trap

    International Nuclear Information System (INIS)

    Hommelhoff, P; Haensel, W; Steinmetz, T; Haensch, T W; Reichel, J

    2005-01-01

    We present a toolbox for cold atom manipulation with time-dependent magnetic fields generated by an atom chip. Wire layouts, detailed experimental procedures and results are presented for the following experiments: use of a magnetic conveyor belt for positioning of cold atoms and Bose-Einstein condensates (BECs) with a potential resolution of 2 nm; splitting of thermal clouds and BECs in adjustable magnetic double-well potentials; and controlled splitting of a cold reservoir. The devices that enable these manipulations can be combined with each other. We demonstrate this by combining reservoir splitter and conveyor belt to obtain a cold atom dispenser. We discuss the importance of these devices for quantum information processing, atom interferometry and Josephson junction physics on the chip. For all devices, absorption-image video sequences are provided to demonstrate their time-dependent behaviour

  12. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin films • Oxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  13. Characterization of the adhesion of thin film by Cross-Sectional Nanoindentation. Analysis of the substrate edge chipping and the film delamination

    Science.gov (United States)

    Felder, Eric; Roy, Sébastien; Darque-Ceretti, Evelyne

    2011-07-01

    Cross-Sectional Nanoindentation (CSN) is a recent method for adhesion measurement of nanoscale thin films in Ultra-Large Scale Integrated circuits. In the case of ductile thin films, the motion of the substrate chip implies significant plastic deformation of the film and complex geometry of delaminated areas. This article recalls first the experimental procedure and the two main features observed in this test performed on various plane copper films deposited on silicon: the critical force producing silicon edge chipping increases linearly with the distance of the indenter to the interface; on the section the delaminated length of the film ( a-b) is proportional to the residual silicon chip displacement u and the ratio S=u/(a-b) depends on the manufacturing process of the film, and is so related to its adhesion to the substrate. One proposes a simple analysis of the silicon edge chipping. Then a model of pull-off of an elastic-strain hardening plastic film is developed, which suggests an explanation for the delamination process. Application of the model to experimental results starting from films plastic properties deduced from nanoindentation measurements provides plausible results. Some improvements for performing the CSN test are proposed in order to make easier its interpretation.

  14. Atomic squeezed states on an atom-chip

    International Nuclear Information System (INIS)

    Maussang, Kenneth

    2010-01-01

    In this thesis, we describe the construction of an experiment, allowing to produce 87 Rb Bose-Einstein condensates on an atom chip, and then split them in a double well potential. An accurate imaging system has been developed, in order to be able to measure the absolute value of the populations of the double well within a very low noise level, almost limited by the optical shot noise. We measure atom number statistics after splitting, and directly observe number squeezed states, down to -4.9 dB at low temperatures, compared to a classical gas, of independent particles. The dependence in temperature of fluctuations has been also studied. For a thermal gas, Poissonian fluctuations are given by the probability distribution of the macroscopic configurations with a given atom number difference. In the degenerate regime, the entropy effect which favors small number differences vanishes, leading to super-Poissonian fluctuations, to more than +3.8 dB close to transition temperature. At low temperatures, the interaction energy cost associated with number fluctuations exceeds the available thermal energy, leading to sub-Poissonian fluctuations. Those two behaviours have been theoretically explained, both with a simple analytical model and a numerical one. We also measured the evolution of the relative phase between the two clouds, and its collapse due to interactions, allowing us to claim that this splitter is a coherent one. (author)

  15. RF-Interrogated End-State Chip-Scale Atomic Clock

    Science.gov (United States)

    2007-11-01

    coherent population trapping,” Electronics Letters 37, (24), 1449-1451. [2] R. Lutwak , P. Vlitas, M. Varghese, M. Mescher, D. K. Serkland, and G. M...367. [9] R. Lutwak , D. Emmons, T. English, W. Riley, A. Duwel, M. Varghese, D. K. Serland, and G. M. Peake, 2003, “Chip-Scale Atomic Clock, Recent

  16. Hexapole-compensated magneto-optical trap on a mesoscopic atom chip

    DEFF Research Database (Denmark)

    Jöllenbeck, S.; Mahnke, J.; Randoll, R.

    2011-01-01

    Magneto-optical traps on atom chips are usually restricted to small atomic samples due to a limited capture volume caused primarily by distorted field configurations. Here we present a magneto-optical trap based on a millimeter-sized wire structure which generates a magnetic field with minimized...... distortions. Together with the loading from a high-flux two-dimensional magneto-optical trap, we achieve a loading rate of 8.4×1010 atoms/s and maximum number of 8.7×109 captured atoms. The wire structure is placed outside of the vacuum to enable a further adaptation to new scientific objectives. Since all...

  17. Atom-chip-based quantum gravimetry for the precise determination of absolute gravity

    Science.gov (United States)

    Abend, Sven; Schubert, Christian; Ertmer, Wolfgang; Rasel, Ernst

    2017-04-01

    We present a novel technique for the precise measurement of absolute local gravity with a quantum gravimeter based on an atom chip. Atom interferometry utilizes the interference of matter waves interrogated by laser light to read out inertial forces. Today's generation of these devices typically operate with test mass samples, that consists of ensembles of laser cooled atoms. Their performance is limited by the velocity spread and finite-size of the test masses that impose systematic uncertainties at the level of a few μGal [1]. Rather than laser cooled atoms we employ quantum degenerate ensembles, so called Bose-Einstein condensates [2], as ultra-sensitive probes for gravity. These sources offer unique properties that will allow to overcome the current limitations in the next generation of sensors. Furthermore, atom-chip technology offers the possibility to generate Bose-Einstein condensates in a fast and reliable way. We present a lab-based prototype that uses the atom chip itself to retro-reflect the interrogation laser and thus serves as inertial reference inside the vacuum [3]. With this setup, it is possible to demonstrate all necessary steps to measure gravity, including the preparation of the source, spanning an interferometer as well as the detection of the output signal. All steps are pursued on a baseline of 1 cm right below the atom chip and to analyze relevant systematic effects. In the framework of the center of excellence geoQ a next generation device is under construction at the Institut für Quantenoptik, that will target for in-field measurements. This device will feature a state-of-the-art atom-chip source with a high-flux of ultra-cold atoms at a repetition rate of 1-2 Hz [4]. The device will be characterized in cooperation with the Müller group at the Institut für Erdmessung the sensor and finally employed in a campaign to measure the Fennoscandian uplift at the level of 1 μGal. The presented work is supported by the CRC 1227 DQ-mat, the

  18. Atomic-scale epitaxial aluminum film on GaAs substrate

    Directory of Open Access Journals (Sweden)

    Yen-Ting Fan

    2017-07-01

    Full Text Available Atomic-scale metal films exhibit intriguing size-dependent film stability, electrical conductivity, superconductivity, and chemical reactivity. With advancing methods for preparing ultra-thin and atomically smooth metal films, clear evidences of the quantum size effect have been experimentally collected in the past two decades. However, with the problems of small-area fabrication, film oxidation in air, and highly-sensitive interfaces between the metal, substrate, and capping layer, the uses of the quantized metallic films for further ex-situ investigations and applications have been seriously limited. To this end, we develop a large-area fabrication method for continuous atomic-scale aluminum film. The self-limited oxidation of aluminum protects and quantizes the metallic film and enables ex-situ characterizations and device processing in air. Structure analysis and electrical measurements on the prepared films imply the quantum size effect in the atomic-scale aluminum film. Our work opens the way for further physics studies and device applications using the quantized electronic states in metals.

  19. Atom-chip based quantum gravimetry for the precise determination of absolute local gravity

    Science.gov (United States)

    Abend, S.

    2015-12-01

    We present a novel technique for the precise measurement of absolute local gravity based on cold atom interferometry. Atom interferometry utilizes the interference of matter waves interrogated by laser light to read out inertial forces. Today's generation of these devices typically operate with test mass samples, that consists of ensembles of laser cooled atoms. Their performance is limited by the velocity spread and finite-size of the test masses that impose systematic uncertainties at the level of a few μGal. Rather than laser cooled atoms we employ quantum degenerate ensembles, so called Bose-Einstein condensates, as ultra-sensitive probes for gravity. These sources offer unique properties in temperature as well as in ensemble size that will allow to overcome the current limitations with the next generation of sensors. Furthermore, atom-chip technologies offer the possibility to generate Bose-Einstein condensates in a fast and reliable way. We show a lab-based prototype that uses the atom-chip itself to retro-reflect the interrogation laser and thus serving as inertial reference inside the vacuum. With this setup it is possible to demonstrate all necessary steps to measure gravity, including the preparation of the source, spanning an interferometer as well as the detection of the output signal, within an area of 1 cm3 right below the atom-chip and to analyze relevant systematic effects. In the framework of the center of excellence geoQ a next generation device is under construction at the Institut für Quantenoptik, that will allow for in-field measurements. This device will feature a state-of-the-art atom-chip source with a high-flux of ultra-cold atoms at a repetition rate of 1-2 Hz. In cooperation with the Müller group at the Institut für Erdmessung the sensor will be characterized in the laboratory first, to be ultimately employed in campaigns to measure the Fennoscandian uplift at the level of 1 μGal. The presented work is part of the center of

  20. Fifteen years of cold matter on the atom chip: promise, realizations, and prospects

    Science.gov (United States)

    Keil, Mark; Amit, Omer; Zhou, Shuyu; Groswasser, David; Japha, Yonathan; Folman, Ron

    2016-01-01

    Here we review the field of atom chips in the context of Bose–Einstein Condensates (BEC) as well as cold matter in general. Twenty years after the first realization of the BEC and 15 years after the realization of the atom chip, the latter has been found to enable extraordinary feats: from producing BECs at a rate of several per second, through the realization of matter-wave interferometry, and all the way to novel probing of surfaces and new forces. In addition, technological applications are also being intensively pursued. This review will describe these developments and more, including new ideas which have not yet been realized. PMID:27499585

  1. Atomic structure of machined semiconducting chips: An x-ray absorption spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Paesler, M.; Sayers, D.

    1988-12-01

    X-ray absorption spectroscopy (XAS) has been used to examine the atomic structure of chips of germanium that were produced by single point diamond machining. It is demonstrated that although the local (nearest neighbor) atomic structure is experimentally quite similar to that of single crystal specimens information from more distant atoms indicates the presence of considerable stress. An outline of the technique is given and the strength of XAS in studying the machining process is demonstrated.

  2. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  3. Versatile single-chip event sequencer for atomic physics experiments

    Science.gov (United States)

    Eyler, Edward

    2010-03-01

    A very inexpensive dsPIC microcontroller with internal 32-bit counters is used to produce a flexible timing signal generator with up to 16 TTL-compatible digital outputs, with a time resolution and accuracy of 50 ns. This time resolution is easily sufficient for event sequencing in typical experiments involving cold atoms or laser spectroscopy. This single-chip device is capable of triggered operation and can also function as a sweeping delay generator. With one additional chip it can also concurrently produce accurately timed analog ramps, and another one-chip addition allows real-time control from an external computer. Compared to an FPGA-based digital pattern generator, this design is slower but simpler and more flexible, and it can be reprogrammed using ordinary `C' code without special knowledge. I will also describe the use of the same microcontroller with additional hardware to implement a digital lock-in amplifier and PID controller for laser locking, including a simple graphics-based control unit. This work is supported in part by the NSF.

  4. Quantum phases of electric dipole ensembles in atom chips

    International Nuclear Information System (INIS)

    Pachos, Jiannis K.

    2005-01-01

    We present how a phase factor is generated when an electric dipole moves along a closed trajectory inside a magnetic field gradient. The similarity of this situation with charged particles in a magnetic field can be employed to simulate condensed matter models, such as the quantum Hall effect and chiral spin Hamiltonians, with ultra cold atoms integrated on atom chips. To illustrate this we consider a triangular configuration of a two-dimensional optical lattice, where the chiral spin Hamiltonian σ-> i -bar σ-> j xσ-> k can be generated between any three neighbours on a lattice yielding an experimentally implementable chiral ground state

  5. Optically Transparent Thin-Film Electrode Chip for Spectroelectrochemical Sensing

    Energy Technology Data Exchange (ETDEWEB)

    Branch, Shirmir D.; Lines, Amanda M.; Lynch, John A.; Bello, Job M.; Heineman, William R.; Bryan, Samuel A.

    2017-07-03

    The electrochemical and spectroelectrochemical applications of an optically transparent thin film electrode chip are investigated. The working electrode is composed of indium tin oxide (ITO); the counter and quasi-reference electrodes are composed of platinum. The stability of the platinum quasi-reference electrode is modified by coating it with a planar, solid state Ag/AgCl layer. The Ag/AgCl reference is characterized with scanning electron microscopy and energy-dispersive X-ray spectroscopy. Open circuit potential measurements indicate that the potential of the planar Ag/AgCl electrode varies a maximum of 20 mV over four days. Cyclic voltammetry measurements show that the electrode chip is comparable to a standard electrochemical cell. Randles-Sevcik analysis of 10 mM K3[Fe(CN)6] in 0.1 M KCl using the electrode chip shows a diffusion coefficient of 1.59 × 10-6 cm2/s, in comparison to the standard electrochemical cell value of 2.38 × 10-6 cm2/s. By using the electrode chip in an optically transparent thin layer electrode (OTTLE), the spectroelectrochemical modulation of [Ru(bpy)3]2+ florescence was demonstrated, achieving a detection limit of 36 nM.

  6. Microwave potentials and optimal control for robust quantum gates on an atom chip

    International Nuclear Information System (INIS)

    Treutlein, Philipp; Haensch, Theodor W.; Reichel, Jakob; Negretti, Antonio; Cirone, Markus A.; Calarco, Tommaso

    2006-01-01

    We propose a two-qubit collisional phase gate that can be implemented with available atom chip technology and present a detailed theoretical analysis of its performance. The gate is based on earlier phase gate schemes, but uses a qubit state pair with an experimentally demonstrated, very long coherence lifetime. Microwave near fields play a key role in our implementation as a means to realize the state-dependent potentials required for conditional dynamics. Quantum control algorithms are used to optimize gate performance. We employ circuit configurations that can be built with current fabrication processes and extensively discuss the impact of technical noise and imperfections that characterize an actual atom chip. We find an overall infidelity compatible with requirements for fault-tolerant quantum computation

  7. Thin film Ag superlens towards lab-on-a-chip integration

    DEFF Research Database (Denmark)

    Jeppesen, Claus; Nielsen, Rasmus Bundgaard; Boltasseva, Alexandra

    2009-01-01

    A thin metal film near-field superlens, as originally suggested by Pendry and realized by Fang et al. and Melville et al., is investigated with emphasis on materials suitable for integration on a lab-on-a-chip platform. A chemically resistant cyclo-olefin copolymer (COC), mr-I-T85 from microresist...... technology, is applied as dielectric matrix/spacer for an Ag thin film superlens. The superlens successfully resolves 80 nm half-pitch gratings when illuminated with UV radiation at a free space wavelength of 365 nm. The superlens design, fabrication and characterization is discussed....

  8. A thin film approach for SiC-derived graphene as an on-chip electrode for supercapacitors

    Science.gov (United States)

    Ahmed, Mohsin; Khawaja, Mohamad; Notarianni, Marco; Wang, Bei; Goding, Dayle; Gupta, Bharati; Boeckl, John J.; Takshi, Arash; Motta, Nunzio; Saddow, Stephen E.; Iacopi, Francesca

    2015-10-01

    We designed a nickel-assisted process to obtain graphene with sheet resistance as low as 80 Ω square-1 from silicon carbide films on Si wafers with highly enhanced surface area. The silicon carbide film acts as both a template and source of graphitic carbon, while, simultaneously, the nickel induces porosity on the surface of the film by forming silicides during the annealing process which are subsequently removed. As stand-alone electrodes in supercapacitors, these transfer-free graphene-on-chip samples show a typical double-layer supercapacitive behaviour with gravimetric capacitance of up to 65 F g-1. This work is the first attempt to produce graphene with high surface area from silicon carbide thin films for energy storage at the wafer-level and may open numerous opportunities for on-chip integrated energy storage applications.

  9. A thin film approach for SiC-derived graphene as an on-chip electrode for supercapacitors

    International Nuclear Information System (INIS)

    Ahmed, Mohsin; Wang, Bei; Goding, Dayle; Iacopi, Francesca; Khawaja, Mohamad; Notarianni, Marco; Takshi, Arash; Saddow, Stephen E; Gupta, Bharati; Motta, Nunzio; Boeckl, John J

    2015-01-01

    We designed a nickel-assisted process to obtain graphene with sheet resistance as low as 80 Ω square −1 from silicon carbide films on Si wafers with highly enhanced surface area. The silicon carbide film acts as both a template and source of graphitic carbon, while, simultaneously, the nickel induces porosity on the surface of the film by forming silicides during the annealing process which are subsequently removed. As stand-alone electrodes in supercapacitors, these transfer-free graphene-on-chip samples show a typical double-layer supercapacitive behaviour with gravimetric capacitance of up to 65 F g −1 . This work is the first attempt to produce graphene with high surface area from silicon carbide thin films for energy storage at the wafer-level and may open numerous opportunities for on-chip integrated energy storage applications. (paper)

  10. Method of mechanical holding of cantilever chip for tip-scan high-speed atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Fukuda, Shingo [Department of Physics, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Uchihashi, Takayuki; Ando, Toshio [Department of Physics, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Bio-AFM Frontier Research Center, College of Science and Engineering, Kanazawa University, Kakuma-machi, Kanazawa 920-1192 (Japan); Core Research for Evolutional Science and Technology of the Japan Science and Technology Agency, 7 Goban-cho, Chiyoda-ku, Tokyo 102-0076 (Japan)

    2015-06-15

    In tip-scan atomic force microscopy (AFM) that scans a cantilever chip in the three dimensions, the chip body is held on the Z-scanner with a holder. However, this holding is not easy for high-speed (HS) AFM because the holder that should have a small mass has to be able to clamp the cantilever chip firmly without deteriorating the Z-scanner’s fast performance, and because repeated exchange of cantilever chips should not damage the Z-scanner. This is one of the reasons that tip-scan HS-AFM has not been established, despite its advantages over sample stage-scan HS-AFM. Here, we present a novel method of cantilever chip holding which meets all conditions required for tip-scan HS-AFM. The superior performance of this novel chip holding mechanism is demonstrated by imaging of the α{sub 3}β{sub 3} subcomplex of F{sub 1}-ATPase in dynamic action at ∼7 frames/s.

  11. Atomic structure of a metal-supported two-dimensional germania film

    Science.gov (United States)

    Lewandowski, Adrián Leandro; Schlexer, Philomena; Büchner, Christin; Davis, Earl M.; Burrall, Hannah; Burson, Kristen M.; Schneider, Wolf-Dieter; Heyde, Markus; Pacchioni, Gianfranco; Freund, Hans-Joachim

    2018-03-01

    The growth and microscopic characterization of two-dimensional germania films is presented. Germanium oxide monolayer films were grown on Ru(0001) by physical vapor deposition and subsequent annealing in oxygen. We obtain a comprehensive image of the germania film structure by combining intensity-voltage low-energy electron diffraction (I/V-LEED) and ab initio density functional theory (DFT) analysis with atomic-resolution scanning tunneling microscopy (STM) imaging. For benchmarking purposes, the bare Ru(0001) substrate and the (2 ×2 )3 O covered Ru(0001) were analyzed with I/V-LEED with respect to previous reports. STM topographic images of the germania film reveal a hexagonal network where the oxygen and germanium atom positions appear in different imaging contrasts. For quantitative LEED, the best agreement has been achieved with DFT structures where the germanium atoms are located preferentially on the top and fcc hollow sites of the Ru(0001) substrate. Moreover, in these atomically flat germania films, local site geometries, i.e., tetrahedral building blocks, ring structures, and domain boundaries, have been identified, indicating possible pathways towards two-dimensional amorphous networks.

  12. Fabrication of pseudo-spin-MOSFETs using a multi-project wafer CMOS chip

    Science.gov (United States)

    Nakane, R.; Shuto, Y.; Sukegawa, H.; Wen, Z. C.; Yamamoto, S.; Mitani, S.; Tanaka, M.; Inomata, K.; Sugahara, S.

    2014-12-01

    We demonstrate monolithic integration of pseudo-spin-MOSFETs (PS-MOSFETs) using vendor-made MOSFETs fabricated in a low-cost multi-project wafer (MPW) product and lab-made magnetic tunnel junctions (MTJs) formed on the topmost passivation film of the MPW chip. The tunneling magnetoresistance (TMR) ratio of the fabricated MTJs strongly depends on the surface roughness of the passivation film. Nevertheless, after the chip surface was atomically flattened by SiO2 deposition on it and successive chemical-mechanical polish (CMP) process for the surface, the fabricated MTJs on the chip exhibits a sufficiently large TMR ratio (>140%) adaptable to the PS-MOSFET application. The implemented PS-MOSFETs show clear modulation of the output current controlled by the magnetization configuration of the MTJs, and a maximum magnetocurrent ratio of 90% is achieved. These magnetocurrent behaviour is quantitatively consistent with those predicted by HSPICE simulations. The developed integration technique using a MPW CMOS chip would also be applied to monolithic integration of CMOS devices/circuits and other various functional devices/materials, which would open the door for exploring CMOS-based new functional hybrid circuits.

  13. Chip-olate’ and dry-film resists for efficient fabrication, singulation and sealing of microfluidic chips

    Science.gov (United States)

    Temiz, Yuksel; Delamarche, Emmanuel

    2014-09-01

    This paper describes a technique for high-throughput fabrication and efficient singulation of chips having closed microfluidic structures and takes advantage of dry-film resists (DFRs) for efficient sealing of capillary systems. The technique is illustrated using 4-inch Si/SiO2 wafers. Wafers carrying open microfluidic structures are partially diced to about half of their thickness. Treatments such as surface cleaning are done at wafer-level, then the structures are sealed using low-temperature (45 °C) lamination of a DFR that is pre-patterned using a craft cutter, and ready-to-use chips are finally separated manually like a chocolate bar by applying a small force (≤ 4 N). We further show that some DFRs have low auto-fluorescence at wavelengths typically used for common fluorescent dyes and that mechanical properties of some DFRs allow for the lamination of 200 μm wide microfluidic structures with negligible sagging (~1 μm). The hydrophilicity (advancing contact angle of ~60°) of the DFR supports autonomous capillary-driven flow without the need for additional surface treatment of the microfluidic chips. Flow rates from 1 to 5 µL min-1 are generated using different geometries of channels and capillary pumps. In addition, the ‘chip-olate’ technique is compatible with the patterning of capture antibodies on DFR for use in immunoassays. We believe this technique to be applicable to the fabrication of a wide range of microfluidic and lab-on-a-chip devices and to offer a viable alternative to many labor-intensive processes that are currently based on wafer bonding techniques or on the molding of poly(dimethylsiloxane) (PDMS) layers.

  14. Matter-wave interferometry in a double well on an atom chip

    DEFF Research Database (Denmark)

    Schumm, Thorsten; Hofferberth, S.; Andersson, L. M.

    2005-01-01

    Matter-wave interference experiments enable us to study matter at its most basic, quantum level and form the basis of high-precision sensors for applications such as inertial and gravitational field sensing. Success in both of these pursuits requires the development of atom-optical elements...... that can manipulate matter waves at the same time as preserving their coherence and phase. Here, we present an integrated interferometer based on a simple, coherent matter-wave beam splitter constructed on an atom chip. Through the use of radio-frequency-induced adiabatic double-well potentials, we...... demonstrate the splitting of Bose-Einstein condensates into two clouds separated by distances ranging from 3 to 80 μm, enabling access to both tunnelling and isolated regimes. Moreover, by analysing the interference patterns formed by combining two clouds of ultracold atoms originating from a single...

  15. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  16. On-chip electrochromic micro display for a disposable bio-sensor chip

    Science.gov (United States)

    Zhu, Yanjun; Tsukamoto, Takashiro; Tanaka, Shuji

    2017-12-01

    This paper reports an on-chip electrochromic micro display made of polyaniline (PANi) which can be easily made on a CMOS chip. Micro-patterned PANi thin films were selectively deposited on pre-patterned microelectrodes by using electrodeposition. The optimum conditions for deposition and electrochromism were investigated. An 8-pixel on-chip micro display was made on a Si chip. The color of each PANi film could be independently but simultaneously controlled, which means any 1-byte digital data could be displayed on the display. The PANi display had a response time as fast as about 100 ms, which means the transfer data rate was as fast as 80 bits per second.

  17. Advances in piezoelectric thin films for acoustic biosensors, acoustofluidics and lab-on-chip applications

    OpenAIRE

    Fu, Yong Qing; Luo, Jack; Nguyen, Nam-Trung; Walton, Anthony; Flewitt, Andrew; Zu, Xiao-Tao; Li, Yifan; McHale, Glen; Matthews, Allan; Iborra, Enrique; Du, Hejun; Milne, William

    2017-01-01

    Recently, piezoelectric thin films including zinc oxide (ZnO) and aluminium nitride (AlN) have found a broad range of lab-on-chip applications such as biosensing, particle/cell concentrating, sorting/patterning, pumping, mixing, nebulisation and jetting. Integrated acoustic wave sensing/microfluidic devices have been fabricated by depositing these piezoelectric films onto a number of substrates such as silicon, ceramics, diamond, quartz, glass, and more recently also polymer, metallic foils a...

  18. Chip-olate’ and dry-film resists for efficient fabrication, singulation and sealing of microfluidic chips

    International Nuclear Information System (INIS)

    Temiz, Yuksel; Delamarche, Emmanuel

    2014-01-01

    This paper describes a technique for high-throughput fabrication and efficient singulation of chips having closed microfluidic structures and takes advantage of dry-film resists (DFRs) for efficient sealing of capillary systems. The technique is illustrated using 4-inch Si/SiO 2 wafers. Wafers carrying open microfluidic structures are partially diced to about half of their thickness. Treatments such as surface cleaning are done at wafer-level, then the structures are sealed using low-temperature (45 °C) lamination of a DFR that is pre-patterned using a craft cutter, and ready-to-use chips are finally separated manually like a chocolate bar by applying a small force (≤ 4 N). We further show that some DFRs have low auto-fluorescence at wavelengths typically used for common fluorescent dyes and that mechanical properties of some DFRs allow for the lamination of 200 μm wide microfluidic structures with negligible sagging (∼1 μm). The hydrophilicity (advancing contact angle of ∼60°) of the DFR supports autonomous capillary-driven flow without the need for additional surface treatment of the microfluidic chips. Flow rates from 1 to 5 µL min -1 are generated using different geometries of channels and capillary pumps. In addition, the ‘chip-olate’ technique is compatible with the patterning of capture antibodies on DFR for use in immunoassays. We believe this technique to be applicable to the fabrication of a wide range of microfluidic and lab-on-a-chip devices and to offer a viable alternative to many labor-intensive processes that are currently based on wafer bonding techniques or on the molding of poly(dimethylsiloxane) (PDMS) layers. (technical note)

  19. Wax-bonding 3D microfluidic chips

    KAUST Repository

    Gong, Xiuqing; Yi, Xin; Xiao, Kang; Li, Shunbo; Kodzius, Rimantas; Qin, Jianhua; Wen, Weijia

    2013-01-01

    We report a simple, low-cost and detachable microfluidic chip incorporating easily accessible paper, glass slides or other polymer films as the chip materials along with adhesive wax as the recycling bonding material. We use a laser to cut through the paper or film to form patterns and then sandwich the paper and film between glass sheets or polymer membranes . The hot-melt adhesive wax can realize bridge bonding between various materials, for example, paper, polymethylmethacrylate (PMMA) film, glass sheets, or metal plate. The bonding process is reversible and the wax is reusable through a melting and cooling process. With this process, a three-dimensional (3D) microfluidic chip is achievable by vacuating and venting the chip in a hot-water bath. To study the biocompatibility and applicability of the wax-based microfluidic chip, we tested the PCR compatibility with the chip materials first. Then we applied the wax-paper based microfluidic chip to HeLa cell electroporation (EP ). Subsequently, a prototype of a 5-layer 3D chip was fabricated by multilayer wax bonding. To check the sealing ability and the durability of the chip, green fluorescence protein (GFP) recombinant Escherichia coli (E. coli) bacteria were cultured, with which the chemotaxis of E. coli was studied in order to determine the influence of antibiotic ciprofloxacin concentration on the E. coli migration.

  20. Wax-bonding 3D microfluidic chips

    KAUST Repository

    Gong, Xiuqing

    2013-10-10

    We report a simple, low-cost and detachable microfluidic chip incorporating easily accessible paper, glass slides or other polymer films as the chip materials along with adhesive wax as the recycling bonding material. We use a laser to cut through the paper or film to form patterns and then sandwich the paper and film between glass sheets or polymer membranes . The hot-melt adhesive wax can realize bridge bonding between various materials, for example, paper, polymethylmethacrylate (PMMA) film, glass sheets, or metal plate. The bonding process is reversible and the wax is reusable through a melting and cooling process. With this process, a three-dimensional (3D) microfluidic chip is achievable by vacuating and venting the chip in a hot-water bath. To study the biocompatibility and applicability of the wax-based microfluidic chip, we tested the PCR compatibility with the chip materials first. Then we applied the wax-paper based microfluidic chip to HeLa cell electroporation (EP ). Subsequently, a prototype of a 5-layer 3D chip was fabricated by multilayer wax bonding. To check the sealing ability and the durability of the chip, green fluorescence protein (GFP) recombinant Escherichia coli (E. coli) bacteria were cultured, with which the chemotaxis of E. coli was studied in order to determine the influence of antibiotic ciprofloxacin concentration on the E. coli migration.

  1. Optimized coplanar waveguide resonators for a superconductor–atom interface

    Energy Technology Data Exchange (ETDEWEB)

    Beck, M. A., E-mail: mabeck2@wisc.edu; Isaacs, J. A.; Booth, D.; Pritchard, J. D.; Saffman, M.; McDermott, R. [Department of Physics, University Of Wisconsin-Madison, 1150 University Avenue, Madison, Wisconsin 53706 (United States)

    2016-08-29

    We describe the design and characterization of superconducting coplanar waveguide cavities tailored to facilitate strong coupling between superconducting quantum circuits and single trapped Rydberg atoms. For initial superconductor–atom experiments at 4.2 K, we show that resonator quality factors above 10{sup 4} can be readily achieved. Furthermore, we demonstrate that the incorporation of thick-film copper electrodes at a voltage antinode of the resonator provides a route to enhance the zero-point electric fields of the resonator in a trapping region that is 40 μm above the chip surface, thereby minimizing chip heating from scattered trap light. The combination of high resonator quality factor and strong electric dipole coupling between the resonator and the atom should make it possible to achieve the strong coupling limit of cavity quantum electrodynamics with this system.

  2. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  3. Atomic Structure Control of Silica Thin Films on Pt(111)

    KAUST Repository

    Crampton, Andrew S

    2015-05-27

    Metal oxide thin films grown on metal single crystals are commonly used to model heterogeneous catalyst supports. The structure and properties of thin silicon dioxide films grown on metal single crystals have only recently been thoroughly characterized and their spectral properties well established. We report the successful growth of a three- dimensional, vitreous silicon dioxide thin film on the Pt(111) surface and reproduce the closed bilayer structure previously reported. The confirmation of the three dimensional nature of the film is unequivocally shown by the infrared absorption band at 1252 cm−1. Temperature programmed desorption was used to show that this three-dimensional thin film covers the Pt(111) surface to such an extent that its application as a catalyst support for clusters/nanoparticles is possible. The growth of a three-dimensional film was seen to be directly correlated with the amount of oxygen present on the surface after the silicon evaporation process. This excess of oxygen is tentatively attributed to atomic oxygen being generated in the evaporator. The identification of atomic oxygen as a necessary building block for the formation of a three-dimensional thin film opens up new possibilities for thin film growth on metal supports, whereby simply changing the type of oxygen enables thin films with different atomic structures to be synthesized. This is a novel approach to tune the synthesis parameters of thin films to grow a specific structure and expands the options for modeling common amorphous silica supports under ultra high vacuum conditions.

  4. Noise suppression and crosstalk analysis of on-chip magnetic film-type noise suppressor

    Science.gov (United States)

    Ma, Jingyan; Muroga, Sho; Endo, Yasushi; Hashi, Shuichiro; Naoe, Masayuki; Yokoyama, Hiroo; Hayashi, Yoshiaki; Ishiyama, Kazushi

    2018-05-01

    This paper discusses near field, conduction and crosstalk noise suppression of magnetic films with uniaxial anisotropy on transmission lines for a film-type noise suppressor in the GHz frequency range. The electromagnetic noise suppressions of magnetic films with different permeability and resistivity were measured and simulated with simple microstrip lines. The experimental and simulated results of Co-Zr-Nb and CoPd-CaF2 films agreed with each other. The results indicate that the higher permeability leads to a better near field shielding, and in the frequency range of 2-7 GHz, a higher conduction noise suppression. It also suggests that the higher resistivity results in a better crosstalk suppression in the frequency range below 2 GHz. These results can support the design guidelines of the magnetic film-type noise suppressor used in the next generation IC chip.

  5. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  6. Properties of model atomic free-standing thin films.

    Science.gov (United States)

    Shi, Zane; Debenedetti, Pablo G; Stillinger, Frank H

    2011-03-21

    We present a computational study of the thermodynamic, dynamic, and structural properties of free-standing thin films, investigated via molecular dynamics simulation of a glass-forming binary Lennard-Jones mixture. An energy landscape analysis is also performed to study glassy states. At equilibrium, species segregation occurs, with the smaller minority component preferentially excluded from the surface. The film's interior density and interface width depend solely on temperature and not the initialization density. The atoms at the surface of the film have a higher lateral diffusivity when compared to the interior. The average difference between the equilibrium and inherent structure energies assigned to individual particles, as a function of the distance from the center of the film, increases near the surface. A minimum of this difference occurs in the region just under the liquid-vapor interface. This suggests that the surface atoms are able to sample the underlying energy landscape more effectively than those in the interior, and we suggest a possible relationship of this observation to the recently reported formation of stable glasses by vapor phase deposition.

  7. Review of chip-scale atomic clocks based on coherent population trapping

    International Nuclear Information System (INIS)

    Wang Zhong

    2014-01-01

    Research on chip-scale atomic clocks (CSACs) based on coherent population trapping (CPT) is reviewed. The background and the inspiration for the research are described, including the important schemes proposed to improve the CPT signal quality, the selection of atoms and buffer gases, and the development of micro-cell fabrication. With regard to the reliability, stability, and service life of the CSACs, the research regarding the sensitivity of the CPT resonance to temperature and laser power changes is also reviewed, as well as the CPT resonance's collision and light of frequency shifts. The first generation CSACs have already been developed but its characters are still far from our expectations. Our conclusion is that miniaturization and power reduction are the most important aspects calling for further research. (review)

  8. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  9. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  10. A contact-lens-shaped IC chip technology

    International Nuclear Information System (INIS)

    Liu, Ching-Yu; Yang, Frank; Teng, Chih-Chiao; Fan, Long-Sheng

    2014-01-01

    We report on novel contact-lens-shaped silicon integrated circuit chip technology for applications such as forming a conforming retinal prosthesis. This is achieved by means of patterning thin films of high residual stress on top of a shaped thin silicon substrate. Several strategies are employed to achieve curvatures of various amounts. Firstly, high residual stress on a thin film makes a thin chip deform into a designed three-dimensional shape. Also, a series of patterned stress films and ‘petal-shaped’ chips were fabricated and analyzed. Large curvatures can also be formed and maintained by the packaging process of bonding the chips to constraining elements such as thin-film polymer ring structures. As a demonstration, a complementary metal oxide semiconductor transistor (CMOS) image-sensing retina chip is made into a contact-lens shape conforming to a human eyeball 12.5 mm in radius. This non-planar and flexible chip technology provides a desirable device surface interface to soft tissues or non-planar bio surfaces and opens up many other possibilities for biomedical applications. (paper)

  11. Micropatterned superconducting film circuitry for operation in hybrid quantum devices

    International Nuclear Information System (INIS)

    Bothner, Daniel

    2013-01-01

    This thesis discusses three aspects of the arduous way towards hybrid quantum systems consisting of superconducting circuits and ensembles of ultracold paramagnetic atoms. In the first part of the thesis, superconducting coplanar microwave resonators as used for quantum information processing with superconducting qubits are investigated in magnetic fields. In the second part of the thesis integrated atom chips are designed and fabricated, which offer the possibility to trap an ensemble of ultracold atoms close to a superconducting coplanar resonator on that chip. In the third and last part of the thesis, unconventional disordered and quasiperiodic arrangements of microfabricated holes (antidots) in superconducting films are patterned and investigated with respect to the impact of the arrangement on the superconductor transport properties in magnetic fields.

  12. The Atoms for Peace USIS Films: Spreading the Gospel of the "Blessing" of Atomic Energy in the Early Cold War Era

    Directory of Open Access Journals (Sweden)

    Yuka Tsuchiya

    2014-08-01

    Full Text Available In 1955, the U.S. Information Service (USIS Tokyo produced a thirty-minute documentary film Blessing of Atomic Energy in commemoration of the tenth anniversary of the Atomic bombing of Hiroshima and Nagasaki. The film introduced how the Japanese government, researchers, and companies were using radioisotopes offered by the U.S. Argonne National Laboratory for the “peaceful” purposes in agriculture, medicine, hygiene, industry, and disaster prevention. The film also showed the mechanism of atomic power generation, and explained that it was already put into practice in the U.S. and Europe. The images of Japanese people enjoying the “blessing” of the “peaceful” use of atomic energy, ten years after the traumatic experience of A-bombs, were not only shown all over Japan, but also translated into different languages and shown in many countries, including the UK, Finland, Indonesia, Sudan, and Venezuela. The film was part of some fifty educational and documentary films produced for President Eisenhower’s “Atoms for Peace” campaign – a global information dissemination programs on the U.S. leadership in the civilian use of nuclear energy. This paper will explore the roles USIS films played in disseminating information on the “peaceful” use of nuclear energy in the early Cold War era.

  13. Electrochemical behavior of high performance on-chip porous carbon films for micro-supercapacitors applications in organic electrolytes

    Science.gov (United States)

    Brousse, K.; Huang, P.; Pinaud, S.; Respaud, M.; Daffos, B.; Chaudret, B.; Lethien, C.; Taberna, P. L.; Simon, P.

    2016-10-01

    Carbide derived carbons (CDCs) are promising materials for preparing integrated micro-supercapacitors, as on-chip CDC films are prepared via a process fully compatible with current silicon-based device technology. These films show good adherence on the substrate and high capacitance thanks to their unique nanoporous structure which can be fine-tuned by adjusting the synthesis parameters during chlorination of the metallic carbide precursor. The carbon porosity is mostly related to the synthesis temperature whereas the thickness of the films depends on the chlorination duration. Increasing the pore size allows the adsorption of large solvated ions from organic electrolytes and leads to higher energy densities. Here, we investigated the electrochemical behavior and performance of on-chip TiC-CDC in ionic liquid solvent mixtures of 1-ethyl-3-methylimidazolium tetrafluoroborate (EMIBF4) diluted in either acetonitrile or propylene carbonate via cyclic voltammetry and electrochemical impedance spectroscopy. Thin CDC films exhibited typical capacitive signature and achieved 169 F cm-3 in both electrolytes; 65% of the capacitance was still delivered at 1 V s-1. While increasing the thickness of the films, EMI+ transport limitation was observed in more viscous PC-based electrolyte. Nevertheless, the energy density reached 90 μW h cm-2 in 2M EMIBF4/ACN, confirming the interest of these CDC films for micro-supercapacitors applications.

  14. Precision Photothermal Annealing of Nanoporous Gold Thin Films for the Microfabrication of a Single-chip Material Libraries

    Energy Technology Data Exchange (ETDEWEB)

    Harris, C. D. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Shen, N. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Rubenchik, A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Demos, S. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Matthews, M. J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-06-30

    Single-chip material libraries of thin films of nanostructured materials are a promising approach for high throughput studies of structure-property relationship in the fields of physics and biology. Nanoporous gold (np-Au), produced by an alloy corrosion process, is a nanostructured material of specific interest in both these fields. One attractive property of np-Au is its self-similar coarsening behavior by thermally induced surface diffusion. However, traditional heat application techniques for the modification of np-Au are bulk processes that cannot be used to generate a library of different pore sizes on a single chip. Laser micromachining offers an attractive solution to this problem by providing a means to apply energy with high spatial and temporal resolution. In the present study we use finite element multiphysics simulations to predict the effects of laser mode (continuous-wave vs. pulsed) and supporting substrate thermal conductivity on the local np-Au film temperatures during photothermal annealing and subsequently investigate the mechanisms by which the np-Au network is coarsening. Our simulations predict that continuous-wave mode laser irradiation on a silicon supporting substrate supports the widest range of morphologies that can be created through the photothermal annealing of thin film np-Au. Using this result we successfully fabricate a single-chip material library consisting of 81 np-Au samples of 9 different morphologies for use in increased throughput material interaction studies.

  15. Effects of atomic oxygen on titanium dioxide thin film

    Science.gov (United States)

    Shimosako, Naoki; Hara, Yukihiro; Shimazaki, Kazunori; Miyazaki, Eiji; Sakama, Hiroshi

    2018-05-01

    In low earth orbit (LEO), atomic oxygen (AO) has shown to cause degradation of organic materials used in spacecrafts. Similar to other metal oxides such as SiO2, Al2O3 and ITO, TiO2 has potential to protect organic materials. In this study, the anatese-type TiO2 thin films were fabricated by a sol-gel method and irradiated with AO. The properties of TiO2 were compared using mass change, scanning electron microscope (SEM), atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), transmittance spectra and photocatalytic activity before and after AO irradiation. The results indicate that TiO2 film was hardly eroded and resistant against AO degradation. AO was shown to affects only the surface of a TiO2 film and not the bulk. Upon AO irradiation, the TiO2 films were slightly oxidized. However, these changes were very small. Photocatalytic activity of TiO2 was still maintained in spite of slight decrease upon AO irradiation, which demonstrated that TiO2 thin films are promising for elimination of contaminations outgassed from a spacecraft's materials.

  16. Optical and magnetic properties of a transparent garnet film for atomic physics experiments

    Directory of Open Access Journals (Sweden)

    Mari Saito

    2016-12-01

    Full Text Available We investigated the optical and magnetic properties of a transparent magnetic garnet with a particular focus on its applications to atomic physics experiments. The garnet film used in this study was a magnetically soft material that was originally designed for a Faraday rotator at optical communication wavelengths in the near infrared region. The film had a thickness of 2.1 μm and a small optical loss at a wavelength of λ=780 nm resonant with Rb atoms. The Faraday effect was also small and, thus, barely affected the polarization of light at λ=780 nm. In contrast, large Faraday rotation angles at shorter wavelengths enabled us to visualize magnetic domains, which were perpendicularly magnetized in alternate directions with a period of 3.6 μm. We confirmed the generation of an evanescent wave on the garnet film, which can be used for the optical observation and manipulation of atoms on the surface of the film. Finally, we demonstrated a magnetic mirror for laser-cooled Rb atoms using the garnet film.

  17. Ultra-Sensitive Lab-on-a-Chip Detection of Sudan I in Food using Plasmonics-Enhanced Diatomaceous Thin Film.

    Science.gov (United States)

    Kong, Xianming; Squire, Kenny; Chong, Xinyuan; Wang, Alan X

    2017-09-01

    Sudan I is a carcinogenic compound containing an azo group that has been illegally utilized as an adulterant in food products to impart a bright red color to foods. In this paper, we develop a facile lab-on-a-chip device for instant, ultra-sensitive detection of Sudan I from real food samples using plasmonics-enhanced diatomaceous thin film, which can simultaneously perform on-chip separation using thin layer chromatography (TLC) and highly specific sensing using surface-enhanced Raman scattering (SERS) spectroscopy. Diatomite is a kind of nature-created photonic crystal biosilica with periodic pores and was used both as the stationary phase of the TLC plate and photonic crystals to enhance the SERS sensitivity. The on-chip chromatography capability of the TLC plate was verified by isolating Sudan I in a mixture solution containing Rhodamine 6G, while SERS sensing was achieved by spraying gold colloidal nanoparticles into the sensing spot. Such plasmonics-enhanced diatomaceous film can effectively detect Sudan I with more than 10 times improvement of the Raman signal intensity than commercial silica gel TLC plates. We applied this lab-on-a-chip device for real food samples and successfully detected Sudan I in chili sauce and chili oil down to 1 ppm, or 0.5 ng/spot. This on-chip TLC-SERS biosensor based on diatomite biosilica can function as a cost-effective, ultra-sensitive, and reliable technology for screening Sudan I and many other illicit ingredients to enhance food safety.

  18. Micro-supercapacitors from carbide derived carbon (CDC) films on silicon chips

    Science.gov (United States)

    Huang, Peihua; Heon, Min; Pech, David; Brunet, Magali; Taberna, Pierre-Louis; Gogotsi, Yury; Lofland, Samuel; Hettinger, Jeffrey D.; Simon, Patrice

    2013-03-01

    Interdigitated on-chip micro-supercapacitors based on Carbide Derived Carbon (CDC) films were fabricated and tested. A titanium carbide (TiC) film was patterned and treated with chlorine to obtain a TiC derived carbon (TiC-CDC) film, followed by the deposition of two types of current collectors (Ti/Au and Al) using standard micro-fabrication processes. CDC based micro-supercapacitors were electrochemically characterized by cyclic voltammetry and impedance spectroscopy using a 1 M tetraethylammonium tetrafluoroborate, NEt4BF4, in propylene carbonate (PC) electrolyte. A capacitance of 0.78 mF for the device and 1.5 mF cm-2 as the specific capacitance for the footprint of the device was measured for a 2 V potential range at 100 mV s-1. A specific energy of 3.0 mJ cm-2 and a specific power of 84 mW cm-2 were calculated for the devices. These devices provide a pathway for fabricating pure carbon-based micro-supercapacitors by micro-fabrication, and can be used for powering micro-electromechanical systems (MEMS) and electronic devices.

  19. Functionalized polymer film surfaces via surface-initiated atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Hu, Y.; Li, J.S.; Yang, W.T.; Xu, F.J.

    2013-01-01

    The ability to manipulate and control the surface properties of polymer films, without altering the substrate properties, is crucial to their wide-spread applications. In this work, a simple one-step method for the direct immobilization of benzyl chloride groups (as the effective atom transfer radical polymerization (ATRP) initiators) on the polymer films was developed via benzophenone-induced coupling of 4-vinylbenzyl chloride (VBC). Polyethylene (PE) and nylon films were selected as examples of polymer films to illustrate the functionalization of film surfaces via surface-initiated ATRP. Functional polymer brushes of (2-dimethylamino)ethyl methacrylate, sodium 4-styrenesulfonate, 2-hydroxyethyl methacrylate and glycidyl methacrylate, as well as their block copolymer brushes, have been prepared via surface-initiated ATRP from the VBC-coupled PE or nylon film surfaces. With the development of a simple approach to the covalent immobilization of ATRP initiators on polymer film surfaces and the inherent versatility of surface-initiated ATRP, the surface functionality of polymer films can be precisely tailored. - Highlights: ► Atom transfer radical polymerization initiators were simply immobilized. ► Different functional polymer brushes were readily prepared. ► Their block copolymer brushes were also readily prepared

  20. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  1. Analysis of deuterium in V-Fe5at.% film by atom probe tomography (APT)

    International Nuclear Information System (INIS)

    Gemma, R.; Al-Kassab, T.; Kirchheim, R.; Pundt, A.

    2011-01-01

    Research highlights: → Deuterium distribution in V-Fe thin film was investigated by atom probe tomography. → Correct analysis was possible at analysis temperatures below 30 K. → Inhomogeneous distribution of D atoms was nevertheless observed. → This was interpreted by trapping effect at misfit dislocation. → Atom probe analysis provides detailed information on local chemistry of M-D system. - Abstract: V-Fe5at.% 2 and 10-nm thick single layered films were prepared by ion beam sputtering on W substrate. They were loaded with D from gas phase at 0.2 Pa and at 1 Pa, respectively. Both lateral and depth D distribution of these films was investigated in detail by atom probe tomography. The results of analysis are in good agreement between the average deuterium concentration and the value, expected from electromotive force measurement on a similar flat film. An enrichment of deuterium at the V/W interface was observed for both films. The origin of this D-accumulation was discussed in respect to electron transfer, mechanical stress and misfit dislocations.

  2. Direct observation of atomic-level nucleation and growth processes from an ultrathin metallic glass films

    Energy Technology Data Exchange (ETDEWEB)

    Huang, K. Q.; Cao, C. R.; Sun, Y. T.; Li, J.; Bai, H. Y.; Zheng, D. N., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn; Wang, W. H., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Gu, L., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-01-07

    Till date, there have been no direct atomic-level experimental observations of the earliest stages of the nucleation and growth processes of nanocrystals formed by thermally induced crystallization in ultrathin metallic glasses (MGs). Here, we present a study of the crystallization process in atomically thin and highly stable MG films using double spherical aberration-corrected scanning transmission electron microscopy (Cs-TEM). Taking advantage of the stability of MG films with a slow crystallization process and the atomic-level high resolution of Cs-TEM, we observe the formation of the nucleus precursor of nanocrystals formed by atom aggregation followed by concomitant coalescence and stepwise evolution of the shape of the nanocrystals with a monodispersed and separated bimodal size distribution. Molecular dynamics simulation of the atomic motion in the glass film on a rigid amorphous substrate confirms the stepwise evolution processes of atom aggregation, cluster formation, cluster movement on the substrate, and cluster coalescence into larger crystalline particles. Our results might provide a better fundamental understanding of the nucleation and growth processes of nanocrystals in thin MG films.

  3. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  4. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  5. Robust design and thermal fatigue life prediction of anisotropic conductive film flip chip package

    International Nuclear Information System (INIS)

    Nam, Hyun Wook

    2004-01-01

    The use of flip-chip technology has many advantages over other approaches for high-density electronic packaging. ACF(Anisotropic Conductive Film) is one of the major flip-chip technologies, which has short chip-to-chip interconnection length, high productivity, and miniaturization of package. In this study, thermal fatigue life of ACF bonding flip-chip package has been predicted. Elastic and thermal properties of ACF were measured by using DMA and TMA. Temperature dependent nonlinear bi-thermal analysis was conducted and the result was compared with Moire interferometer experiment. Calculated displacement field was well matched with experimental result. Thermal fatigue analysis was also conducted. The maximum shear strain occurs at the outmost located bump. Shear stress-strain curve was obtained to calculate fatigue life. Fatigue model for electronic adhesives was used to predict thermal fatigue life of ACF bonding flip-chip packaging. DOE (Design Of Experiment) technique was used to find important design factors. The results show that PCB CTE (Coefficient of Thermal Expansion) and elastic modulus of ACF material are important material parameters. And as important design parameters, chip width, bump pitch and bump width were chose. 2 nd DOE was conducted to obtain RSM equation for the choose 3 design parameter. The coefficient of determination (R 2 ) for the calculated RSM equation is 0.99934. Optimum design is conducted using the RSM equation. MMFD (Modified Method for Feasible Direction) algorithm is used to optimum design. The optimum value for chip width, bump pitch and bump width were 7.87mm, 430μm, and 78μm, respectively. Approximately, 1400 cycles have been expected under optimum conditions. Reliability analysis was conducted to find out guideline for control range of design parameter. Sigma value was calculated with changing standard deviation of design variable. To acquire 6 sigma level thermal fatigue reliability, the Std. Deviation of design parameter

  6. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  7. Influence of ion/atom arrival ratio on structure and optical properties of AlN films by ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Jian-ping [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Fu, Zhi-qiang, E-mail: fuzq@cugb.edu.cn [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China); Liu, Xiao-peng [Department of Energy Material and Technology, General Research Institute for Nonferrous Metals, Beijing 100088 (China); Yue, Wen; Wang, Cheng-biao [School of Engineering and Technology, China University of Geosciences, Beijing 100083 (China)

    2014-10-30

    Highlights: • AlN films were fabricated by dual ion beam sputtering. • Chemical bond status and phase composition of the films were studied by XPS and XRD. • Optical constants were measured by spectroscopic ellipsometry. • Influence of ion/atom arrival ratio on the films was studied. - Abstract: In order to improve the optical properties of AlN films, the influence of the ion/atom arrival ratio on the structure and optical characteristics of AlN films deposited by dual ion beam sputtering was studied by using X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy, spectroscopic ellipsometry and UV–vis spectroscopy. The films prepared at the ion/atom arrival ratio of 1.4 are amorphous while the crystalline quality is improved with the increase of the ion/atom arrival ratio. The films deposited at the ion/atom arrival ratio of no less than 1.8 have an approximately stoichiometric ratio and mainly consist of aluminum nitride with little aluminum oxynitride, while metallic aluminum component appears in the films deposited at the ion/atom arrival ratio of 1.4. When the ion/atom arrival ratio is not less than 1.8, films are smooth, high transmitting and dense. The films prepared with high ion/atom arrival ratio (≥1.8) display the characteristic of a dielectric. The films deposited at the ion/atom arrival ratio of 1.4 are coarse, opaque and show characteristic of cermet.

  8. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  9. Comparison of Ultrasonic Welding and Thermal Bonding for the Integration of Thin Film Metal Electrodes in Injection Molded Polymeric Lab-on-Chip Systems for Electrochemistry

    DEFF Research Database (Denmark)

    Matteucci, Marco; Heiskanen, Arto; Zor, Kinga

    2016-01-01

    We compare ultrasonic welding (UW) and thermal bonding (TB) for the integration of embedded thin-film gold electrodes for electrochemical applications in injection molded (IM) microfluidic chips. The UW bonded chips showed a significantly superior electrochemical performance compared to the ones ...

  10. Distortion of liquid film discharging from twin-fluid atomizer

    Science.gov (United States)

    Mehring, C.; Sirignano, W. A.

    2001-11-01

    The nonlinear distortion and disintegration of a thin liquid film exiting from a two-dimensional twin-fluid atomizer is analyzed numerically. Pulsed gas jets impacting on both sides of the discharging liquid film at the atomizer exit generate dilational and/or sinuous deformations of the film. Both liquid phase and gas phase are inviscid and incompressible. For the liquid phase the so-called long-wavelength approximation is employed yielding a system of unsteady one-dimensional equations for the planar film. Solution of Laplace's equation for the velocity potential yields the gas-phase velocity field on both sides of the liquid stream. Coupling between both phases is described through kinematic and dynamic boundary conditions at the phase interfaces, and includes the solution of the unsteady Bernoulli equation to determine the gas-phase pressure along the interfaces. Both gas- and liquid-phase equations are solved simultaneously. Solution of Laplace's equation for the gas streams is obtained by means of a boundary-element method. Numerical solutions for the liquid phase use the Lax-Wendroff method with Richtmyer splitting. Sheet distortion resulting from the stagnation pressure of the impacting gas jets and subsequent disturbance amplification due to Kelvin-Helmholtz effects are studied for various combinations of gas-pulse timing, gas-jet impact angles, gas-to-liquid-density ratio, liquid-phase Weber number and gas-jet-to-liquid-jet-momentum ratio. Dilational and sinuous oscillations of the liquid are examined and film pinch-off is predicted.

  11. Atomic-Oxygen-Durable and Electrically-Conductive CNT-POSS-Polyimide Flexible Films for Space Applications.

    Science.gov (United States)

    Atar, Nurit; Grossman, Eitan; Gouzman, Irina; Bolker, Asaf; Murray, Vanessa J; Marshall, Brooks C; Qian, Min; Minton, Timothy K; Hanein, Yael

    2015-06-10

    In low Earth orbit (LEO), hazards such as atomic oxygen (AO) or electrostatic discharge (ESD) degrade polymeric materials, specifically, the extensively used polyimide (PI) Kapton. We prepared PI-based nanocomposite films that show both AO durability and ESD protection by incorporating polyhedral oligomeric silsesquioxane (POSS) and carbon nanotube (CNT) additives. The unique methods that are reported prevent CNT agglomeration and degradation of the CNT properties that are common in dispersion-based processes. The influence of the POSS content on the electrical, mechanical, and thermo-optical properties of the CNT-POSS-PI films was investigated and compared to those of control PI and CNT-PI films. CNT-POSS-PI films with 5 and 15 wt % POSS content exhibited sheet resistivities as low as 200 Ω/□, and these resistivities remained essentially unchanged after exposure to AO with a fluence of ∼2.3 × 10(20) O atoms cm(-2). CNT-POSS-PI films with 15 wt % POSS content exhibited an erosion yield of 4.8 × 10(-25) cm(3) O atom(-1) under 2.3 × 10(20) O atoms cm(-2) AO fluence, roughly one order of magnitude lower than that of pure PI films. The durability of the conductivity of the composite films was demonstrated by rolling film samples with a tight radius up to 300 times. The stability of the films to thermal cycling and ionizing radiation was also demonstrated. These properties make the prepared CNT-POSS-PI films with 15 wt % POSS content excellent candidates for applications where AO durability and electrical conductivity are required for flexible and thermally stable materials. Hence, they are suggested here for LEO applications such as the outer layers of spacecraft thermal blankets.

  12. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  13. Interaction of atomic hydrogen with ethylene adsorbed on nickel films

    International Nuclear Information System (INIS)

    Korchak, V.N.; Tret'yakov, I.I.; Kislyuk, M.U.

    1976-01-01

    The reactivity of ethylene adsorbed on the pure films of nickel at various temperatures was studied with respect to hydrogen atoms generated in the gaseous phase. The experiments were conducted in a glass vacuum apparatus enabling one to obtain the highest vacuum up to 2x20 -10 torr. The catalyst, nickel films, was produced by their deposition onto the walls of the glass reactor at a pressure of the residual gas of 10 -9 torr and a temperature of the walls of 25 deg C. Gas purity was analyzed by the mass spectrometric method. The ethylene adsorbed at the temperatures below 173 deg K reacted readily with the hydrogen atoms to yield ethane. The process ran without practically any activation energy involved and was limited by the attachment of the first hydrogen atom to the ethylene molecule. The efficiency of this interaction was 0.02 of the number of the hydrogen atoms collisions against the surface occupied by the ethylene. The adsorption of the ethylene at room and higher temperatures was accompanied by its disproportioning with the release of the hydrogen into the gaseous phase and a serious destruction of the ethylene molecules adsorbed to produce hydrogen residues interacting with neither molecular nor atomic hydrogen [ru

  14. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  15. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Linjie; Wang, Lixin [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Qin, Xiujuan, E-mail: qinxj@ysu.edu.cn [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Cui, Li [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); Shao, Guangjie [Hebei Key Laboratory of Applied Chemistry, Yanshan University, Qinhuangdao 066004 (China); State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao 066004 (China)

    2016-04-30

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  16. Effects of intermittent atomization on the properties of Al-doped ZnO thin films deposited by aerosol-assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Linjie; Wang, Lixin; Qin, Xiujuan; Cui, Li; Shao, Guangjie

    2016-01-01

    Al-doped ZnO (AZO) thin films were prepared on glass substrates with different atomization interval times by aerosol-assisted chemical vapor deposition method. The structure, morphology, and optical and electrical properties were investigated by X-ray diffractometer, atomic force microscope, UV-vis double beam spectrophotometer and 4 point probe method. ZnO thin films exhibited strong growth orientation along the (002) plane and the crystalline was affected by the atomization interval time. All the films had high transmittance and the films with interval times of 2 min and 4 min had good haze values for the transparent conducting oxide silicon solar cell applications. The AZO thin film had the best optical and electrical properties when the atomization interval time was 4 min. This is very important for the optoelectronic device applications. The surface morphology of AZO films depended on the atomization interval time. - Highlights: • Intermittent atomization is proved to be an effective measure. • Atomization interval time has an important influence on the crystallinity of films. • The surface morphology of ZnO films depends on atomization interval time. • Different hazes can be obtained by changing the atomization interval time.

  17. Magnetically engineered smart thin films: toward lab-on-chip ultra-sensitive molecular imaging.

    Science.gov (United States)

    Hassan, Muhammad A; Saqib, Mudassara; Shaikh, Haseeb; Ahmad, Nasir M; Elaissari, Abdelhamid

    2013-03-01

    Magnetically responsive engineered smart thin films of nanoferrites as contrast agent are employed to develop surface based magnetic resonance imaging to acquire simple yet fast molecular imaging. The work presented here can be of significant potential for future lab-on-chip point-of-care diagnostics from the whole blood pool on almost any substrates to reduce or even prevent clinical studies involve a living organism to enhance the non-invasive imaging to advance the '3Rs' of work in animals-replacement, refinement and reduction.

  18. Preparation and characterization of thin organosilicon films deposited on SPR chip

    Energy Technology Data Exchange (ETDEWEB)

    Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France)], E-mail: sabine.szunerits@lepmi.inpg.fr; Rich, Sami Abou [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Coffinier, Yannick [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Languille, Marie-Angelique [Unite de Catalyse et de Chimie du Solide, UCCS UMR CNRS-8181, Universite des Sciences et Technologies de Lille, Bat. C3, 59655 Villeneuve d' Ascq (France); Supiot, Philippe [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France)], E-mail: rabah.boukherroub@iemn.univ-lille1.fr

    2008-04-20

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N{sub 2} plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  19. Preparation and characterization of thin organosilicon films deposited on SPR chip

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Rich, Sami Abou; Coffinier, Yannick; Languille, Marie-Angelique; Supiot, Philippe; Boukherroub, Rabah

    2008-01-01

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N 2 plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  20. Thin film metal sensors in fusion bonded glass chips for high-pressure microfluidics

    International Nuclear Information System (INIS)

    Andersson, Martin; Ek, Johan; Hedman, Ludvig; Johansson, Fredrik; Sehlstedt, Viktor; Stocklassa, Jesper; Snögren, Pär; Pettersson, Victor; Larsson, Jonas; Vizuete, Olivier; Hjort, Klas; Klintberg, Lena

    2017-01-01

    High-pressure microfluidics offers fast analyses of thermodynamic parameters for compressed process solvents. However, microfluidic platforms handling highly compressible supercritical CO 2 are difficult to control, and on-chip sensing would offer added control of the devices. Therefore, there is a need to integrate sensors into highly pressure tolerant glass chips. In this paper, thin film Pt sensors were embedded in shallow etched trenches in a glass wafer that was bonded with another glass wafer having microfluidic channels. The devices having sensors integrated into the flow channels sustained pressures up to 220 bar, typical for the operation of supercritical CO 2 . No leakage from the devices could be found. Integrated temperature sensors were capable of measuring local decompression cooling effects and integrated calorimetric sensors measured flow velocities over the range 0.5–13.8 mm s −1 . By this, a better control of high-pressure microfluidic platforms has been achieved. (paper)

  1. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  2. A 240-channel thick film multi-chip module for readout of silicon drift detectors

    International Nuclear Information System (INIS)

    Lynn, D.; Bellwied, R.; Beuttenmueller, R.; Caines, H.; Chen, W.; DiMassimo, D.; Dyke, H.; Elliott, D.; Grau, M.; Hoffmann, G.W.; Humanic, T.; Jensen, P.; Kleinfelder, S.A.; Kotov, I.; Kraner, H.W.; Kuczewski, P.; Leonhardt, B.; Li, Z.; Liaw, C.J.; LoCurto, G.; Middelkamp, P.; Minor, R.; Mazeh, N.; Nehmeh, S.; O'Conner, P.; Ott, G.; Pandey, S.U.; Pruneau, C.; Pinelli, D.; Radeka, V.; Rescia, S.; Rykov, V.; Schambach, J.; Sedlmeir, J.; Sheen, J.; Soja, B.; Stephani, D.; Sugarbaker, E.; Takahashi, J.; Wilson, K.

    2000-01-01

    We have developed a thick film multi-chip module for readout of silicon drift (or low capacitance ∼200 fF) detectors. Main elements of the module include a custom 16-channel NPN-BJT preamplifier-shaper (PASA) and a custom 16-channel CMOS Switched Capacitor Array (SCA). The primary design criteria of the module were the minimizations of the power (12 mW/channel), noise (ENC=490 e - rms), size (20.5 mmx63 mm), and radiation length (1.4%). We will discuss various aspects of the PASA design, with emphasis on the preamplifier feedback network. The SCA is a modification of an integrated circuit that has been previously described [1]; its design features specific to its application in the SVT (Silicon Vertex Tracker in the STAR experiment at RHIC) will be discussed. The 240-channel multi-chip module is a circuit with five metal layers fabricated in thick film technology on a beryllia substrate and contains 35 custom and commercial integrated circuits. It has been recently integrated with silicon drift detectors in both a prototype system assembly for the SVT and a silicon drift array for the E896 experiment at the Alternating Gradient Synchrotron at the Brookhaven National Laboratory. We will discuss features of the module's design and fabrication, report the test results, and emphasize its performance both on the bench and under experimental conditions

  3. Alloying behaviour of electroplated Ag film with its underlying Pd/Ti film stack for low resistivity interconnect metallization

    International Nuclear Information System (INIS)

    Ezawa, Hirokazu; Miyata, Masahiro; Tatsumi, Kohei

    2014-01-01

    Highlights: • Alloying behavior of Ag/Pd/Ti film stack was studied by annealing at 400-800 °C. • The Ag film resistivity decreased with increasing annealing temperature. • Formation of the Pd-Ti intermetallics was found to be dominant over Ag-Pd alloying. • The excess Ti was consumed to form Ti oxides, which inhibited Ti alloying with Ag. -- Abstract: In this paper, viability of electroplated Ag film into device application was studied. Alloying behavior of the Ag film with its underlying Pd(50 nm)/Ti(100 nm) film stack was investigated with respect to heat treatment at different temperatures from 400 °C to 800 °C in an argon ambient. After annealing at 400 °C, the electrical resistivity of the Ag film increased due to Pd alloying with Ag. Formation of Pd–Ti intermetallic phases became dominant over Ag–Pd alloying with increasing annealing temperature, leading to the resistivity decrease of the Ag film. The resistivity of the 800 °C annealed Ag film approached that of its as-plated Ag film. The excess Ti atoms which were not consumed to form the intermetallic phases with the Pd atoms migrated to the Ag film surface to form Ti oxides along the Ag grain boundaries on the topmost film surface. The Ag/Pd/Ti film stack has been confirmed to maintain the resistivity of the Ag film at as-plated low levels after high temperature annealing. This paper also discusses process integration issues to enable the Ag metallization process for future scaled and three dimensionally chip stacked devices

  4. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  5. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  6. Thin film coatings which inhibit spin relaxation of polarized potassium atoms

    International Nuclear Information System (INIS)

    Thomas, G.E.; Holt, R.J.; Boyer, D.; Green, M.C.; Kowalczyk, R.S.; Young, L.

    1986-01-01

    A prototype of a polarized deuterium target which employs the spin exchange method is being developed. The mixing cell for mixing deuterium atoms and potassium vapor requires a surface that will reflect these atoms without being destroyed by the corrosive potassium. Thin film coating methods and a technique for coating pyrex are described. Results of spin relaxation measurements are given

  7. Atomic structure of Fe thin-films on Cu(0 0 1) studied with stereoscopic photography

    International Nuclear Information System (INIS)

    Hattori, Azusa N.; Fujikado, M.; Uchida, T.; Okamoto, S.; Fukumoto, K.; Guo, F.Z.; Matsui, F.; Nakatani, K.; Matsushita, T.; Hattori, K.; Daimon, H.

    2004-01-01

    The complex magnetic properties of Fe films epitaxially grown on Cu(0 0 1) have been discussed in relation to their atomic structure. We have studied the Fe films on Cu(0 0 1) by a new direct method for three-dimensional (3D) atomic structure analysis, so-called 'stereoscopic photography'. The forward-focusing peaks in the photoelectron angular distribution pattern excited by the circularly polarized light rotate around the light axis in either clockwise or counterclockwise direction depending on the light helicity. By using a display-type spherical mirror analyzer for this phenomenon, we can obtain stereoscopic photographs of atomic structure. The photographs revealed that the iron structure changes from bcc to fcc and almost bcc structure with increasing iron film thickness

  8. Optics and molecules on atom chips

    International Nuclear Information System (INIS)

    Tscherneck, M; Holmes, M E; Quinto-Su, P A; Haimberger, C; Kleinert, J; Bigelow, N P

    2005-01-01

    In this paper we will report on four experiments which have been carried out in the last year in our group. All of these experiments are necessary steps towards the trapping and probing of ultracold molecules on a chip surface

  9. Annihilation of positronium atoms confined in mesoporous and macroporous SiO2 films

    Science.gov (United States)

    Cooper, B. S.; Boilot, J.-P.; Corbel, C.; Guillemot, F.; Gurung, L.; Liszkay, L.; Cassidy, D. B.

    2018-05-01

    We report experiments in which positronium (Ps) atoms were created in thin, porous silica films containing isolated voids with diameters ranging from 5 to 75 nm. Ps lifetimes in the pore structures were measured directly via time-delayed laser excitation of 13S1→23PJ transitions. In a film containing 5-nm pores Ps was predominantly emitted into vacuum, with a small component of confined Ps with a lifetime of 75 ns also observed. In films with larger pores Ps atoms were not emitted into vacuum except from the film surface, and confined Ps lifetimes of ≈90 ns were measured with no dependence on the pore size. However, for such large pores, extended Tao-Eldrup (ETE)-type models predict Ps lifetimes close to the 142-ns vacuum value. Moreover, 13S1→23PJ excitation of Ps atoms inside the pores was found to result in annihilation and exhibited an extremely broad (≈10 THz) linewidth. We attribute these observations to a process in which nonthermal Ps atoms in the isolated voids become temporarily trapped in a series of surface states that dissociate following excitation. The occurrence of this mechanism is not necessarily apparent from ground-state Ps decay rates without some prior knowledge of the sample structure, and it precludes the application of ETE-type models as they do not take into account surface interactions other than pickoff annihilation.

  10. Effect of deposition rate on melting point of copper film catalyst substrate at atomic scale

    Science.gov (United States)

    Marimpul, Rinaldo; Syuhada, Ibnu; Rosikhin, Ahmad; Winata, Toto

    2018-03-01

    Annealing process of copper film catalyst substrate was studied by molcular dynamics simulation. This copper film catalyst substrate was produced using thermal evaporation method. The annealing process was limited in nanosecond order to observe the mechanism at atomic scale. We found that deposition rate parameter affected the melting point of catalyst substrate. The change of crystalline structure of copper atoms was observed before it had been already at melting point. The optimum annealing temperature was obtained to get the highest percentage of fcc structure on copper film catalyst substrate.

  11. Superconducting microtraps for ultracold atoms

    International Nuclear Information System (INIS)

    Hufnagel, C.

    2011-01-01

    Atom chips are integrated devices in which atoms and atomic clouds are stored and manipulated in miniaturized magnetic traps. State of the art fabrication technologies allow for a flexible design of the trapping potentials and consequently provide extraordinary control over atomic samples, which leads to a promising role of atom chips in the engineering and investigation of quantum mechanical systems. Naturally, for quantum mechanical applications, the atomic coherence has to be preserved. Using room temperature circuits, the coherence time of atoms close to the surface was found to be drastically limited by thermal current fluctuations in the conductors. Superconductors offer an elegant way to circumvent thermal noise and therefore present a promising option for the coherent manipulation of atomic quantum states. In this thesis trapping and manipulation of ultracold Rubidium atoms in superconducting microtraps is demonstrated. In this connection the unique properties of superconductors are used to build traps based on persistent currents, the Meissner effect and remanent magnetization. In experiment it is shown, that in superconducting atom chips, thermal magnetic field noise is significantly reduced. Furthermore it is demonstrated, that atomic samples can be employed to probe the properties of superconducting materials. (author) [de

  12. Enhanced atom mobility on the surface of a metastable film.

    Science.gov (United States)

    Picone, A; Riva, M; Fratesi, G; Brambilla, A; Bussetti, G; Finazzi, M; Duò, L; Ciccacci, F

    2014-07-25

    A remarkable enhancement of atomic diffusion is highlighted by scanning tunneling microscopy performed on ultrathin metastable body-centered tetragonal Co films grown on Fe(001). The films follow a nearly perfect layer-by-layer growth mode with a saturation island density strongly dependent on the layer on which the nucleation occurs, indicating a lowering of the diffusion barrier. Density functional theory calculations reveal that this phenomenon is driven by the increasing capability of the film to accommodate large deformations as the thickness approaches the limit at which a structural transition occurs. These results disclose the possibility of tuning surface diffusion dynamics and controlling cluster nucleation and self-organization.

  13. Chemical reaction of atomic oxygen with evaporated films of copper, part 4

    Science.gov (United States)

    Fromhold, A. T.; Williams, J. R.

    1990-01-01

    Evaporated copper films were exposed to an atomic oxygen flux of 1.4 x 10(exp 17) atoms/sq cm per sec at temperatures in the range 285 to 375 F (140 to 191 C) for time intervals between 2 and 50 minutes. Rutherford backscattering spectroscopy (RBS) was used to determine the thickness of the oxide layers formed and the ratio of the number of copper to oxygen atoms in the layers. Oxide film thicknesses ranged from 50 to 3000 A (0.005 to 0.3 microns, or equivalently, 5 x 10(exp -9) to 3 x 10(exp -7); it was determined that the primary oxide phase was Cu2O. The growth law was found to be parabolic (L(t) varies as t(exp 1/2)), in which the oxide thickness L(t) increases as the square root of the exposure time t. The analysis of the data is consistent with either of the two parabolic growth laws. (The thin-film parabolic growth law is based on the assumption that the process is diffusion controlled, with the space charge within the growing oxide layer being negligible. The thick-film parabolic growth law is also based on a diffusion controlled process, but space-charge neutrality prevails locally within very thick oxides.) In the absence of a voltage measurement across the growing oxide, a distinction between the two mechanisms cannot be made, nor can growth by the diffusion of neutral atomic oxygen be entirely ruled out. The activation energy for the reaction is on the order of 1.1 eV (1.76 x 10(exp -19) joule, or equivalently, 25.3 kcal/mole).

  14. A single-walled carbon nanotube thin film-based pH-sensing microfluidic chip.

    Science.gov (United States)

    Li, Cheng Ai; Han, Kwi Nam; Pham, Xuan-Hung; Seong, Gi Hun

    2014-04-21

    A novel microfluidic pH-sensing chip was developed based on pH-sensitive single-walled carbon nanotubes (SWCNTs). In this study, the SWCNT thin film acted both as an electrode and a pH-sensitive membrane. The potentiometric pH response was observed by electronic structure changes in the semiconducting SWCNTs in response to the pH level. In a microfluidic chip consisting of a SWCNT pH-sensing working electrode and an Ag/AgCl reference electrode, the calibration plot exhibited promising pH-sensing performance with an ideal Nernstian response of 59.71 mV pH(-1) between pH 3 and 11 (standard deviation of the sensitivity is 1.5 mV pH(-1), R(2) = 0.985). Moreover, the SWCNT electrode in the microfluidic device showed no significant variation at any pH value in the range of the flow rate between 0.1 and 15 μl min(-1). The selectivity coefficients of the SWCNT electrode revealed good selectivity against common interfering ions.

  15. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  16. Local, atomic-level elastic strain measurements of metallic glass thin films by electron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Ebner, C. [Physics of Nanostructured Materials, Faculty of Physics, University of Vienna, Boltzmanngasse 5, 1090 Vienna (Austria); Sarkar, R. [Department of Materials Science and Engineering, School for Engineering of Matter Transport and Energy, Arizona State University, Tempe 85287 (United States); Rajagopalan, J. [Department of Materials Science and Engineering, School for Engineering of Matter Transport and Energy, Arizona State University, Tempe 85287 (United States); Department of Mechanical and Aerospace Engineering, School for Engineering of Matter Transport and Energy, Arizona State University, Tempe 85287 (United States); Rentenberger, C., E-mail: christian.rentenberger@univie.ac.at [Physics of Nanostructured Materials, Faculty of Physics, University of Vienna, Boltzmanngasse 5, 1090 Vienna (Austria)

    2016-06-15

    A novel technique is used to measure the atomic-level elastic strain tensor of amorphous materials by tracking geometric changes of the first diffuse ring of selected area electron diffraction patterns (SAD). An automatic procedure, which includes locating the centre and fitting an ellipse to the diffuse ring with sub-pixel precision is developed for extracting the 2-dimensional strain tensor from the SAD patterns. Using this technique, atomic-level principal strains from micrometre-sized regions of freestanding amorphous Ti{sub 0.45}Al{sub 0.55} thin films were measured during in-situ TEM tensile deformation. The thin films were deformed using MEMS based testing stages that allow simultaneous measurement of the macroscopic stress and strain. The calculated atomic-level principal strains show a linear dependence on the applied stress, and good correspondence with the measured macroscopic strains. The calculated Poisson’s ratio of 0.23 is reasonable for brittle metallic glasses. The technique yields a strain accuracy of about 1×10{sup −4} and shows the potential to obtain localized strain profiles/maps of amorphous thin film samples. - Highlights: • A TEM method to measure elastic strain in metallic glass films is proposed. • Method is based on tracking geometric changes in TEM diffraction patterns. • An automatic procedure is developed for extracting the local strain tensor. • Atomic-level strain in amorphous TiAl film was analysed during in-situ deformation. • Capability of the method to obtain micrometer scale strain profiles/maps is shown.

  17. Optical bistability of a thin film of resonant atoms in a phase-sensitive thermostate

    International Nuclear Information System (INIS)

    Basharov, A.M.

    1995-01-01

    It is shown theoretically that when a thin film of two-level atoms interacting with a resonant coherent electromagnetic wave is additionally illuminated with a squeezed field, a bistable transmission/reflection regime for coherent waves is obtained. This regime depends strongly on the phase difference between the coherent and the squeezed fields. New regimes, including a bistable regime, for the interaction of a coherent field with a film of resonant atoms are predicted based on this phenomenon. 14 refs., 5 figs

  18. Short- and medium-range order of atomic structure and electronic properties of arsenic salinide and sulphide amorphous films

    International Nuclear Information System (INIS)

    Sarsembinov, Sh.Sh.; Prikhodko, O.Yu.; Ryaguzov, A.P.; Maksimova, S.Ya.; Ushanov, V.Zh.

    2004-01-01

    Full text: The relationship between short- and medium-range order of atomic structure and electronic properties is the object of invariable attention of disordered matter physics. This problem is the most important for non-crystalline semiconductors, and specifically for chalcogenide glassy semiconductors (ChGS) films due to low co-ordination of atoms, which leads to lability of their structure. In this report we present results of atomic structure, electric, optical properties and carrier drift investigation in amorphous films of As 2 Se 3 and As 2 S 3 prepared by thermal evaporation in a vacuum (TE films) and by RF ion-plasma sputtering (RF films). These techniques strongly differing in the conditions of substance vaporization and condensation atoms on a substrate. The short- and medium-range order of the films atomic structure has been studied by X-ray diffraction analysis using the CuKα radiation (λ=1.5418 Angstrom) and by Raman spectroscopy. Raman spectra were measured at room temperature on Perkin-Elmer Spectrum GX Raman FT-IR Spectrometer (180 deg. backscattering). Vibrational modes were excited vertically polarized light of DPY Nd:YAG laser (1.064 μm). The radii of the first and second coordination spheres, number of the nearest neighbours of As and Se (S) atoms in the first coordination sphere, dimension of the medium-range order domain and 'quasi-period' in that region have been determined for the studied samples. The identification of the structural units in matrix of the film has been carried out, too. It is established that the films prepared by different methods have differences in the parameters of short- and medium range orders. It follows from the comparative analysis of Raman spectra that spectrum of RF films is significantly more complex than that of glass and TE films. The matrix of RF films contains, along with the structural units AsSe 3/2 (AsS 3/2 ) inherent in TE films and glass, other structural units with As and Se (S) excess. It may be

  19. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  20. Oxidant-Dependent Thermoelectric Properties of Undoped ZnO Films by Atomic Layer Deposition

    KAUST Repository

    Kim, Hyunho

    2017-02-27

    Extraordinary oxidant-dependent changes in the thermoelectric properties of undoped ZnO thin films deposited by atomic layer deposition (ALD) have been observed. Specifically, deionized water and ozone oxidants are used in the growth of ZnO by ALD using diethylzinc as a zinc precursor. No substitutional atoms have been added to the ZnO films. By using ozone as an oxidant instead of water, a thermoelectric power factor (σS) of 5.76 × 10 W m K is obtained at 705 K for undoped ZnO films. In contrast, the maximum power factor for the water-based ZnO film is only 2.89 × 10 W m K at 746 K. Materials analysis results indicate that the oxygen vacancy levels in the water- and ozone-grown ZnO films are essentially the same, but the difference comes from Zn-related defects present in the ZnO films. The data suggest that the strong oxidant effect on thermoelectric performance can be explained by a mechanism involving point defect-induced differences in carrier concentration between these two oxides and a self-compensation effect in water-based ZnO due to the competitive formations of both oxygen and zinc vacancies. This strong oxidant effect on the thermoelectric properties of undoped ZnO films provides a pathway to improve the thermoelectric performance of this important material.

  1. Hybrid Quantum Information Processing with Superconductors and Neutral Atoms

    Science.gov (United States)

    McDermott, Robert

    Hybrid approaches to quantum information processing (QIP) aim to capitalize on the strengths of disparate quantum technologies to realize a system whose capabilities exceed those of any single experimental platform. At the University of Wisconsin, we are working toward integration of a fast superconducting quantum processor with a stable, long-lived quantum memory based on trapped neutral atoms. Here we describe the development of a quantum interface between superconducting thin-film cavity circuits and trapped Rydberg atoms, the key technological obstacle to realization of superconductor-atom hybrid QIP. Specific accomplishments to date include development of a theoretical protocol for high-fidelity state transfer between the atom and the cavity; fabrication and characterization of high- Q superconducting cavities with integrated trapping electrodes to enhance zero-point microwave fields at a location remote from the chip surface; and trapping and Rydberg excitation of single atoms within 1 mm of the cavity. We discuss the status of experiments to probe the strong coherent coupling of single Rydberg atoms and the superconducting cavity. Supported by ARO under contract W911NF-16-1-0133.

  2. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  3. Structure of ordered polyelectrolyte films from atomic-force microscopy and X-ray reflectivity data

    International Nuclear Information System (INIS)

    Belyaev, V.V.; Tolstikhina, A.L.; Stepina, N.D.; Kayushina, R.L.

    1998-01-01

    The possible application of atomic-force microscopy and X-ray reflectometry methods to structural studies of polyelectrolyte films obtained due to alternating adsorption of oppositely charged polyanion [sodium polysterenesulfonate (PSS)] and polycation [poly(allylamine) hydrochloride (PAA)] layers on solid substrates has been considered. The atomic-force microscopy study has revealed the characteristic features of the surface topography of samples consisting of different numbers of polyelectrolyte layers deposited from solutions characterized by different ionic strength values. It is shown that the shape of the reflectivity curves obtained from thin polyelectrolyte films depends on their surface structure

  4. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  5. Magnetic atom optics: mirrors, guides, traps, and chips for atoms

    Energy Technology Data Exchange (ETDEWEB)

    Hinds, E.A.; Hughes, I.G. [Sussex Centre for Optical and Atomic Physics, University of Sussex, Brighton (United Kingdom)

    1999-09-21

    For the last decade it has been possible to cool atoms to microkelvin temperatures ({approx}1 cm s{sup -1}) using a variety of optical techniques. Light beams provide the very strong frictional forces required to slow atoms from room temperature ({approx}500 m s{sup -1}). However, once the atoms are cold, the relatively weak conservative forces of static electric and magnetic fields play an important role. In our group we have been studying the interaction of cold rubidium atoms with periodically magnetized data storage media. Here we review the underlying principles of the forces acting on atoms above a suitably magnetized substrate or near current-carrying wires. We also summarize the status of experiments. These structures can be used as smooth or corrugated reflectors for controlling the trajectories of cold atoms. Alternatively, they may be used to confine atoms to a plane, a line, or a dot and in some cases to reach the quantum limit of confinement. Atoms levitated above a magnetized surface can be guided electrostatically by wires deposited on the surface. The flow and interaction of atoms in such a structure may form the basis of a new technology, 'integrated atom optics' which might ultimately be capable of realizing a quantum computer. (author)

  6. Chemical environment of iron atoms in iron oxynitride films synthesized by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Grafoute, M.; Petitjean, C.; Rousselot, C.; Pierson, J.F.; Greneche, J.M.

    2007-01-01

    An iron oxynitride film was deposited on silicon and glass substrates by magnetron sputtering in an Ar-N 2 -O 2 reactive mixture. Rutherford back-scattering spectrometry was used to determine the film composition (Fe 1.06 O 0.35 N 0.65 ). X-ray diffraction revealed the formation of a face-centred cubic (fcc) structure with a lattice parameter close to that of γ'''-FeN. X-ray photoelectron spectroscopy showed the occurrence of Fe-N and Fe-O bonds in the film. The local environment of iron atoms studied by 57 Fe Moessbauer spectrometry at both 300 and 77 K gives clear evidence that the Fe 1.06 O 0.35 N 0.65 is not a mixture of iron oxide and iron nitride phases. Despite a small amount of an iron nitride phase, the main sample consists of an iron oxynitride phase with an NaCl-type structure where oxygen atoms partially substitute for nitrogen atoms, thus indicating the formation of a iron oxynitride with an fcc structure

  7. On the modification of metal/ceramic interfaces by low energy ion/atom bombardment during film growth

    International Nuclear Information System (INIS)

    Rigsbee, J.M.; Scott, P.A.; Knipe, R.K.; Hock, V.F.

    1986-01-01

    Elemental Cu and Ti films have been deposited onto ceramic substrates with a plasma-aided physical vapor deposition (ion-plating) process. This paper discusses how the structure and chemistry of the metallic film and the metal/ceramic interface are modified by low energy ion and neutral atom bombardment. Emphasis is placed on determining how low energy ion/neutral atom bombardment affects the strength of the metal/ceramic interface. Analyses of the film, interface and substrate regions have employed scanning Auger microprobe, secondary ion mass spectroscopy, SEM/STEM-energy dispersive X-ray and TEM/STEM imaging and microdiffraction techniques. (Auth.)

  8. Microtraps for neutral atoms using superconducting structures in the critical state

    International Nuclear Information System (INIS)

    Emmert, A.; Brune, M.; Raimond, J.-M.; Nogues, G.; Lupascu, A.; Haroche, S.

    2009-01-01

    Recently demonstrated superconducting atom chips provide a platform for trapping atoms and coupling them to solid-state quantum systems. Controlling these devices requires a full understanding of the supercurrent distribution in the trapping structures. For type-II superconductors, this distribution is hysteretic in the critical state due to the partial penetration of the magnetic field in the thin superconducting film through pinned vortices. We report here an experimental observation of this memory effect. Our results are in good agreement with the predictions of the Bean model of the critical state without adjustable parameters. The memory effect allows to write and store permanent currents in micron-sized superconducting structures and paves the way toward engineered trapping potentials.

  9. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  10. Quantitative measurement of local elasticity of SiOx film by atomic force acoustic microscopy

    International Nuclear Information System (INIS)

    Cun-Fu, He; Gai-Mei, Zhang; Bin, Wu

    2010-01-01

    In this paper the elastic properties of SiO x film are investigated quantitatively for local fixed point and qualitatively for overall area by atomic force acoustic microscopy (AFAM) in which the sample is vibrated at the ultrasonic frequency while the sample surface is touched and scanned with the tip contacting the sample respectively for fixed point and continuous measurements. The SiO x films on the silicon wafers are prepared by the plasma enhanced chemical vapour deposition (PECVD). The local contact stiffness of the tip-SiO x film is calculated from the contact resonance spectrum measured with the atomic force acoustic microscopy. Using the reference approach, indentation modulus of SiO x film for fixed point is obtained. The images of cantilever amplitude are also visualized and analysed when the SiO x surface is excited at a fixed frequency. The results show that the acoustic amplitude images can reflect the elastic properties of the sample. (classical areas of phenomenology)

  11. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  12. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  13. A full-wafer fabrication process for glass microfluidic chips with integrated electroplated electrodes by direct bonding of dry film resist

    International Nuclear Information System (INIS)

    Vulto, Paul; Urban, G A; Huesgen, Till; Albrecht, Björn

    2009-01-01

    A full-wafer process is presented for fast and simple fabrication of glass microfluidic chips with integrated electroplated electrodes. The process employs the permanent dry film resist (DFR) Ordyl SY300 to create microfluidic channels, followed by electroplating of silver and subsequent chlorination. The dry film resist is bonded directly to a second substrate, without intermediate gluing layers, only by applying pressure and moderate heating. The process of microfluidic channel fabrication, electroplating and wafer bonding can be completed within 1 day, thus making it one of the fastest and simplest full-wafer fabrication processes. (note)

  14. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  15. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  16. Highly Sensitive and Selective Sensor Chips with Graphene-Oxide Linking Layer

    DEFF Research Database (Denmark)

    Stebunov, Yury V.; Aftenieva, Olga A.; Arsenin, Aleksey V.

    2015-01-01

    sensor chip for SPR biosensors based on graphene-oxide linking layers. The biosensing assay model was based on a graphene oxide film containing streptavidin. The proposed sensor chip has three times higher sensitivity than the carboxymethylated dextran surface of a commercial sensor chip. Moreover...

  17. Nanofabrication for On-Chip Optical Levitation, Atom-Trapping, and Superconducting Quantum Circuits

    Science.gov (United States)

    Norte, Richard Alexander

    a final value of Qm = 5.8(1.1) x 105, representing more than an order of magnitude improvement over the conventional limits of SiO2 for a pendulum geometry. Our technique may enable new opportunities for mechanical sensing and facilitate observations of quantum behavior in this class of mechanical systems. We then give a detailed overview of the techniques used to produce high-aspect-ratio nanostructures with applications in a wide range of quantum optics experiments. The ability to fabricate such nanodevices with high precision opens the door to a vast array of experiments which integrate macroscopic optical setups with lithographically engineered nanodevices. Coupled with atom-trapping experiments in the Kimble Lab, we use these techniques to realize a new waveguide chip designed to address ultra-cold atoms along lithographically patterned nanobeams which have large atom-photon coupling and near 4pi Steradian optical access for cooling and trapping atoms. We describe a fully integrated and scalable design where cold atoms are spatially overlapped with the nanostring cavities in order to observe a resonant optical depth of d0 ≈ 0.15. The nanodevice illuminates new possibilities for integrating atoms into photonic circuits and engineering quantum states of atoms and light on a microscopic scale. We then describe our work with superconducting microwave resonators coupled to a phononic cavity towards the goal of building an integrated device for quantum-limited microwave-to-optical wavelength conversion. We give an overview of our characterizations of several types of substrates for fabricating a low-loss high-frequency electromechanical system. We describe our electromechanical system fabricated on a SiN membrane which consists of a 12 GHz superconducting LC resonator coupled capacitively to the high frequency localized modes of a phononic nanobeam. Using our suspended membrane geometry we isolate our system from substrates with significant loss tangents

  18. A flip chip process based on electroplated solder bumps

    Science.gov (United States)

    Salonen, J.; Salmi, J.

    1994-01-01

    Compared to wire bonding and TAB, flip chip technology using solder joints offers the highest pin count and packaging density and superior electrical performance. The chips are mounted upside down on the substrate, which can be made of silicon, ceramic, glass or - in some cases - even PCB. The extra processing steps required for chips are the deposition of a suitable thin film metal layer(s) on the standard Al pad and the formation of bumps. Also, the development of new fine line substrate technologies is required to utilize the full potential of the technology. In our bumping process, bump deposition is done by electroplating, which was chosen for its simplicity and economy. Sputter deposited molybdenum and copper are used as thin film layers between the aluminum pads and the solder bumps. A reason for this choice is that the metals can be selectively etched after bumping using the bumps as a mask, thus circumventing the need for a separate mask for etching the thin film metals. The bumps are electroplated from a binary Pb-Sn bath using a thick liquid photoresist. An extensively modified commercial flip chip bonder is used for alignment and bonding. Heat assisted tack bonding is used to attach the chips to the substrate, and final reflow joining is done without flux in a vacuum furnace.

  19. The effect of deposition energy of energetic atoms on the growth and structure of ultrathin amorphous carbon films studied by molecular dynamics simulations

    KAUST Repository

    Wang, N

    2014-05-16

    The growth and structure of ultrathin amorphous carbon films was investigated by molecular dynamics simulations. The second-generation reactive-empirical-bond-order potential was used to model atomic interactions. Films with different structures were simulated by varying the deposition energy of carbon atoms in the range of 1-120 eV. Intrinsic film characteristics (e.g. density and internal stress) were determined after the system reached equilibrium. Short- and intermediate-range carbon atom ordering is examined in the context of atomic hybridization and ring connectivity simulation results. It is shown that relatively high deposition energy (i.e., 80 eV) yields a multilayer film structure consisting of an intermixing layer, bulk film and surface layer, consistent with the classical subplantation model. The highest film density (3.3 g cm-3), sp3 fraction (∼43%), and intermediate-range carbon atom ordering correspond to a deposition energy of ∼80 eV, which is in good agreement with experimental findings. © 2014 IOP Publishing Ltd.

  20. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  1. Dependence of atomic oxygen resistance and the tribological properties on microstructures of WS2 films

    International Nuclear Information System (INIS)

    Xu, Shusheng; Gao, Xiaoming; Hu, Ming; Sun, Jiayi; Jiang, Dong; Wang, Desheng; Zhou, Feng; Weng, Lijun; Liu, Weimin

    2014-01-01

    Graphical abstract: - Highlights: • Pure WS 2 and WS 2 -Al composite films with different structures were prepared. • The compactness of WS 2 film was significantly improved due to incorporation of Al. • Different mechanisms of atomic oxygen resistance of both the films were discussed. • Films before and after AO irradiation kept the unchanged tribological properties. • The composite films showed much better wear resistance than pure WS 2 film. - Abstract: To study the anti-oxidation mechanism of WS 2 films, the pure WS 2 , and Al doped WS 2 composite films were prepared via radio frequency sputtering and the atomic oxygen (AO) irradiation tests were conducted using a ground AO simulation facility. The tribological properties of both films before and after AO irradiation were evaluated using vacuum ball-on-disk tribo-tester. The incorporation of a small fraction of Al dopant resulted in microstructure change from loose columnar platelet with significant porosity for pure WS 2 film to very dense structure. In pure WS 2 film, WS 2 exists as crystalline phase with edge-plane preferential orientation, but nanocrystalline and amorphous phase coexists for the WS 2 -Al composite film. Even if large amount of AO transported into the interior through the longitudinal pores, the pure film showed good AO irradiation resistance owing to the basal plane of WS 2 crystal exhibiting much higher anti-oxidation capacity than the edge-plane. The composite film also had excellent AO irradiation resistance due to the formation of effective thinner WO 3 cladding layer in the sub-surface layer. Tribological results revealed that the composite films showed a significantly improved wear resistance, in comparison to the pure WS 2 film. Besides, due to the effective AO resistance, the tribological properties of WS 2 films remained almost unchanged before and after AO irradiation

  2. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  3. Note: A silicon-on-insulator microelectromechanical systems probe scanner for on-chip atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fowler, Anthony G.; Maroufi, Mohammad; Moheimani, S. O. Reza, E-mail: Reza.Moheimani@newcastle.edu.au [School of Electrical Engineering and Computer Science, University of Newcastle, Callaghan, NSW 2308 (Australia)

    2015-04-15

    A new microelectromechanical systems-based 2-degree-of-freedom (DoF) scanner with an integrated cantilever for on-chip atomic force microscopy (AFM) is presented. The silicon cantilever features a layer of piezoelectric material to facilitate its use for tapping mode AFM and enable simultaneous deflection sensing. Electrostatic actuators and electrothermal sensors are used to accurately position the cantilever within the x-y plane. Experimental testing shows that the cantilever is able to be scanned over a 10 μm × 10 μm window and that the cantilever achieves a peak-to-peak deflection greater than 400 nm when excited at its resonance frequency of approximately 62 kHz.

  4. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  5. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  6. Electrical charging characteristics of the hetero layer film for reducing water-borne paint contamination in electrostatic rotary atomizers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Y.; Imanishi, T.; Yoshida, O.; Mizuno, A. [ABB Japan, Tokyo (Japan)

    2010-07-01

    The electrostatic rotary atomizer is the most efficient of all liquid spray painting methods. Its use minimizes the waste of paint and reduces emissions of volatile organic compounds (VOCs). Water-borne painting processes which use water-soluble paint also reduce VOC emissions, but the atomizer body is easily contaminated by the paint mists. The Institute of Electrical and Electronics Engineers (IEEE) considered the causes of water-borne paint contamination and presented the experimental results of a contamination proof system in which the atomizer is surrounded by the repelling film that is charged and repels the incoming paint droplets. Among the key factors for repelling film were electrical properties, such as low capacitance and high insulation to keep high surface potential. Charging uniformity was found to be among the most important characteristic to avoid contamination. The pulse electro-acoustic (PEA) method was used to check these features using space charge measurements inside the repelling film. It was concluded that hetero layer films have more uniform charging characteristics than single layer films.

  7. Influence of ion-to-atom ratio on the microstructure of evaporated molybdenum thin films grown using low energy argon ions

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Praveen Kumar, E-mail: praveenyadav@rrcat.gov.in; Nayak, Maheswar; Rai, Sanjay Kumar; Lodha, Gyanendra Singh [X-ray Optics Section, Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Sant, Tushar; Sharma, Surinder Mohan [High Pressure and Synchrotron Radiation Physics Division, Bhabha Atomic Research Centre, Mumbai 400085 (India); Mukherjee, Chandrachur [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-03-15

    The authors report the effect of argon ion to molybdenum atom ratio (r) on the microstructure of low energy (70 eV) argon ion assisted electron beam evaporated Mo thin films. Surface roughness, morphology, and crystallinity of Mo films are found to strongly depend on “r.” Increase of “r” from 0 to 100 induces gradual loss in crystallinity, reduction in surface roughness and systematic increase in density of the film. For “r” ∼ 100, average atomic density of the film approaches the bulk value (97%) with lowest surface roughness. Further, increasing “r” up to 170 reduces the atomic density, increases roughness, and increase in crystallinity induced by low energy Ar ion beam. The observed surface roughness and grain size determined by x-ray reflectivity and glancing incidence x-ray diffraction correlate well with atomic force microscopy measurements. This study demonstrates that for r = 100 one gets lowest roughness Mo film with highest density and nearly amorphous microstructure. The growth model is discussed by structural zone model.

  8. Three-dimensional ordered titanium dioxide-zirconium dioxide film-based microfluidic device for efficient on-chip phosphopeptide enrichment.

    Science.gov (United States)

    Zhao, De; He, Zhongyuan; Wang, Gang; Wang, Hongzhi; Zhang, Qinghong; Li, Yaogang

    2016-09-15

    Microfluidic technology plays a significant role in separating biomolecules, because of its miniaturization, integration, and automation. Introducing micro/nanostructured functional materials can improve the properties of microfluidic devices, and extend their application. Inverse opal has a three-dimensional ordered net-like structure. It possesses a large surface area and exhibits good mass transport, making it a good candidate for bio-separation. This study exploits inverse opal titanium dioxide-zirconium dioxide films for on-chip phosphopeptide enrichment. Titanium dioxide-zirconium dioxide inverse opal film-based microfluidic devices were constructed from templates of 270-, 340-, and 370-nm-diameter poly(methylmethacrylate) spheres. The phosphopeptide enrichments of these devices were determined by matrix-assisted laser desorption/ionization time-of-flight (MALDI-TOF) mass spectrometry. The device constructed from the 270-nm-diameter sphere template exhibited good comprehensive phosphopeptide enrichment, and was the best among these three devices. Because the size of opal template used in construction was the smallest, the inverse opal film therefore had the smallest pore sizes and the largest surface area. Enrichment by this device was also better than those of similar devices based on nanoparticle films and single component films. The titanium dioxide-zirconium dioxide inverse opal film-based device provides a promising approach for the efficient separation of various biomolecules. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Characterization of MHPPV films by atomic force and Brewster angle microscopy

    International Nuclear Information System (INIS)

    Mello, S.V.; Bianchi, R.F.; Balogh, D.T.; Oliveira Junior, O.N.; Faria, R.M.

    1999-01-01

    We report on the characterization of MHPPV film morphology using Atomic Force Microscopy (AFM) and Brewster Angle Microscopy (BAM) with films deposited by spin coating and casting onto solid substrates such as glass, glass/indium tin oxide (ITO) and quartz. MH-PPV was synthesized according to standard routes, and its properties - obtained from UV-vis. Fourier Transform infrared and Nuclear Magnetic resonance spectroscopy, and high performance size exclusion chromatography - are essentially the same as reported in the literature. From BAM images no significant difference could be observed when comparing cast and spin coated films, since all samples appeared homogeneous under the conditions adopted. Major differences, however, were observed by AFM in the contact mode, especially in roughness values. For a range of temperatures, from 22 deg C up to the transition glass temperature (Tg) of the polymer (ca. 160 deg C), the mean roughness lied in the range 3-5 nm for spin coated films, while for cast films it was 4-10 nm. Samples treated at temperatures above 100 deg C appeared more compact and in all cases the film appeared soft to some extend, which could be the result of the conditions employed. (author)

  10. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  11. Preparation of high-content hexagonal boron nitride composite film and characterization of atomic oxygen erosion resistance

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yu; Li, Min; Gu, Yizhuo; Wang, Shaokai, E-mail: wsk@buaa.edu.cn; Zhang, Zuoguang

    2017-04-30

    Highlights: • Hexagonal boron nitride nanosheets can be well exfoliated with the help of nanofibrillated cellulose. • A carpet-like rough surface and distortion in crystal structure of h-BN are found in both h-BN film and h-BN/epoxy film after AO exposure. • H-BN/epoxy film exhibits a higher mass loss and erosion yield, different element content changes and chemical oxidations compared with h-BN film. - Abstract: Space aircrafts circling in low earth orbit are suffered from highly reactive atomic oxygen (AO). To shield AO, a flexible thin film with 80 wt.% hexagonal boron nitride (h-BN) and h-BN/epoxy film were fabricated through vacuum filtration and adding nanofibrillated cellulose fibers. H-BN nanosheets were hydroxylated for enhancing interaction in the films. Mass loss and erosion yield at accumulated AO fluence about 3.04 × 10{sup 20} atoms/cm{sup 2} were adopted to evaluate the AO resistance properties of the films. A carpet-like rough surface, chemical oxidations and change in crystal structure of h-BN were found after AO treatment, and the degrading mechanism was proposed. The mass loss and erosion yield under AO attack were compared between h-BN film and h-BN/epoxy film, and the comparison was also done for various types of shielding AO materials. Excellent AO resistance property of h-BN film is shown, and the reasons are analyzed.

  12. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  13. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Comparison of Ultrasonic Welding and Thermal Bonding for the Integration of Thin Film Metal Electrodes in Injection Molded Polymeric Lab-on-Chip Systems for Electrochemistry

    Directory of Open Access Journals (Sweden)

    Marco Matteucci

    2016-10-01

    Full Text Available We compare ultrasonic welding (UW and thermal bonding (TB for the integration of embedded thin-film gold electrodes for electrochemical applications in injection molded (IM microfluidic chips. The UW bonded chips showed a significantly superior electrochemical performance compared to the ones obtained using TB. Parameters such as metal thickness of electrodes, depth of electrode embedding, delivered power, and height of energy directors (for UW, as well as pressure and temperature (for TB, were systematically studied to evaluate the two bonding methods and requirements for optimal electrochemical performance. The presented technology is intended for easy and effective integration of polymeric Lab-on-Chip systems to encourage their use in research, commercialization and education.

  15. Portable low-power thermal cycler with dual thin-film Pt heaters for a polymeric PCR chip.

    Science.gov (United States)

    Jeong, Sangdo; Lim, Juhun; Kim, Mi-Young; Yeom, JiHye; Cho, Hyunmin; Lee, Hyunjung; Shin, Yong-Beom; Lee, Jong-Hyun

    2018-01-29

    Polymerase chain reaction (PCR) has been widely used for major definite diagnostic tool, but very limited its place used only indoor such as hospital or diagnosis lab. For the rapid on-site detection of pathogen in an outdoor environment, a low-power cordless polymerase chain reaction (PCR) thermal cycler is crucial module. At this point of view, we proposed a low-power PCR thermal cycler that could be operated in an outdoor anywhere. The disposable PCR chip was made of a polymeric (PI/PET) film to reduce the thermal mass. A dual arrangement of the Pt heaters, which were positioned on the top and bottom of the PCR chip, improved the temperature uniformity. The temperature sensor, which was made of the same material as the heater, utilized the temperature dependence of the Pt resistor to ensure simple fabrication of the temperature sensor. Cooling the PCR chip using dual blower fans enabled thermal cycling to operate with a lower power than that of a Peltier element with a high power consumption. The PCR components were electrically connected to a control module that could be operated with a Li-ion battery (12 V), and the PCR conditions (temperature, time, cycle, etc.) were inputted on a touch screen. For 30 PCR cycles, the accumulated power consumption of heating and cooling was 7.3 Wh, which is easily available from a compact battery. Escherichia coli genomic DNA (510 bp) was amplified using the proposed PCR thermal cycler and the disposable PCR chip. A similar DNA amplification capability was confirmed using the proposed portable and low-power thermal cycler compared with a conventional thermal cycler.

  16. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  17. Crystallization and atomic diffusion behavior of high coercive Ta/Nd-Fe-B/Ta-based permanent magnetic thin film

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Na; Zhang, Xiao; You, Caiyin; Fu, Huarui [Xi' an University of Technology, School of Materials Science and Engineering, Xi' an (China); Shen, Qianlong [Logistics University of People' s Armed Police Force, Tianjin (China)

    2017-06-15

    A high coercivity of about 20.4 kOe was obtained through post-annealing the sputtered Ta/Nd-Fe-B/Ta-based permanent magnetic thin films. Transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) analyses were performed to investigate the crystallization and atomic diffusion behaviors during post-annealing. The results show that the buffer and capping Ta layers prefered to intermix with Fe and B atoms, and Nd tends to be combined with O atoms. The preferred atomic combination caused the appearance of the soft magnetic phase of Fe-Ta-B, resulting in a kink of the second quadratic magnetic hysteresis loop. The preferred atomic diffusion and phase formation of the thin films were well explained in terms of the formation enthalpy of the various compounds. (orig.)

  18. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films

    International Nuclear Information System (INIS)

    Blauth, David

    2010-01-01

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO 2 /Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  19. Investigating the crystal growth behavior of biodegradable polymer blend thin films using in situ atomic force microscopy

    CSIR Research Space (South Africa)

    Malwela, T

    2014-01-01

    Full Text Available This article reports the crystal growth behavior of biodegradable polylactide (PLA)/poly[(butylene succinate)-co-adipate] (PBSA) blend thin films using atomic force microscopy (AFM). Currently, polymer thin films have received increased research...

  20. Contact stiffness and damping of liquid films in dynamic atomic force microscope

    International Nuclear Information System (INIS)

    Xu, Rong-Guang; Leng, Yongsheng

    2016-01-01

    The mechanical properties and dissipation behaviors of nanometers confined liquid films have been long-standing interests in surface force measurements. The correlation between the contact stiffness and damping of the nanoconfined film is still not well understood. We establish a novel computational framework through molecular dynamics (MD) simulation for the first time to study small-amplitude dynamic atomic force microscopy (dynamic AFM) in a simple nonpolar liquid. Through introducing a tip driven dynamics to mimic the mechanical oscillations of the dynamic AFM tip-cantilever assembly, we find that the contact stiffness and damping of the confined film exhibit distinct oscillations within 6-7 monolayer distances, and they are generally out-of-phase. For the solid-like film with integer monolayer thickness, further compression of the film before layering transition leads to higher stiffness and lower damping, while much lower stiffness and higher damping occur at non-integer monolayer distances. These two alternating mechanisms dominate the mechanical properties and dissipation behaviors of simple liquid films under cyclic elastic compression and inelastic squeeze-out. Our MD simulations provide a direct picture of correlations between the structural property, mechanical stiffness, and dissipation behavior of the nanoconfined film.

  1. Contact stiffness and damping of liquid films in dynamic atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Rong-Guang; Leng, Yongsheng, E-mail: leng@gwu.edu [Department of Mechanical and Aerospace Engineering, The George Washington University, Washington, DC 20052 (United States)

    2016-04-21

    The mechanical properties and dissipation behaviors of nanometers confined liquid films have been long-standing interests in surface force measurements. The correlation between the contact stiffness and damping of the nanoconfined film is still not well understood. We establish a novel computational framework through molecular dynamics (MD) simulation for the first time to study small-amplitude dynamic atomic force microscopy (dynamic AFM) in a simple nonpolar liquid. Through introducing a tip driven dynamics to mimic the mechanical oscillations of the dynamic AFM tip-cantilever assembly, we find that the contact stiffness and damping of the confined film exhibit distinct oscillations within 6-7 monolayer distances, and they are generally out-of-phase. For the solid-like film with integer monolayer thickness, further compression of the film before layering transition leads to higher stiffness and lower damping, while much lower stiffness and higher damping occur at non-integer monolayer distances. These two alternating mechanisms dominate the mechanical properties and dissipation behaviors of simple liquid films under cyclic elastic compression and inelastic squeeze-out. Our MD simulations provide a direct picture of correlations between the structural property, mechanical stiffness, and dissipation behavior of the nanoconfined film.

  2. Chip-based microtrap arrays for cold polar molecules

    Science.gov (United States)

    Hou, Shunyong; Wei, Bin; Deng, Lianzhong; Yin, Jianping

    2017-12-01

    Compared to the atomic chip, which has been a powerful platform to perform an astonishing range of applications from rapid Bose-Einstein condensate (BEC) production to the atomic clock, the molecular chip is only in its infant stages. Recently a one-dimensional electric lattice was demonstrated to trap polar molecules on a chip. This excellent work opens up the way to building a molecular chip laboratory. Here we propose a two-dimensional (2D) electric lattice on a chip with concise and robust structure, which is formed by arrays of squared gold wires. Arrays of microtraps that originate in the microsize electrodes offer a steep gradient and thus allow for confining both light and heavy polar molecules. Theoretical analysis and numerical calculations are performed using two types of sample molecules, N D3 and SrF, to justify the possibility of our proposal. The height of the minima of the potential wells is about 10 μm above the surface of the chip and can be easily adjusted in a wide range by changing the voltages applied on the electrodes. These microtraps offer intriguing perspectives for investigating cold molecules in periodic potentials, such as quantum computing science, low-dimensional physics, and some other possible applications amenable to magnetic or optical lattice. The 2D adjustable electric lattice is expected to act as a building block for a future gas-phase molecular chip laboratory.

  3. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  4. Direct observation of phase transition of GeSbTe thin films by Atomic Force Microscope

    Energy Technology Data Exchange (ETDEWEB)

    Yang Fei [National Laboratory of Solid State Microstructures and Jiangsu Provincial Key Laboratory of Photonic and Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Xu Ling, E-mail: xuling@nju.edu.cn [National Laboratory of Solid State Microstructures and Jiangsu Provincial Key Laboratory of Photonic and Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Zhang Rui; Geng Lei; Tong Liang; Xu Jun [National Laboratory of Solid State Microstructures and Jiangsu Provincial Key Laboratory of Photonic and Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Su Weining; Yu Yao [National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Ma Zhongyuan; Chen Kunji [National Laboratory of Solid State Microstructures and Jiangsu Provincial Key Laboratory of Photonic and Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2012-10-01

    Graphical abstract: Nano-sized marks on GST thin film were fabricated using Conductive-AFM (Atomic Force Microscope). The AFM morphology images show that the marks are ablated at the center and a raised ring surrounding it. Highlights: Black-Right-Pointing-Pointer Microstructure of GeSbTe thin films was characterized by XRD and AFM. Black-Right-Pointing-Pointer Annealing and applying electrical field can induce crystallization on thin film. Black-Right-Pointing-Pointer Conductive-AFM was used to modify the surface of GeSbTe thin film. - Abstract: GeSbTe (GST) thin films were deposited on quartz substrates using electron beam evaporation system and then annealed in nitrogen atmosphere at different temperatures, ranging from 20 Degree-Sign C to 300 Degree-Sign C. X-ray diffraction (XRD) and Atomic Force microscope (AFM) measurements were used to characterize the as-deposited and post-annealed thin films. Annealing treatment was found to induce changes on microstructure, surface roughness and grain size, indicating that with the increase of annealing temperature, the amorphous GST films first changed to face-centered-cubic (fcc) phase and then the stable hexagonal (hex) phase. Meanwhile, conductive-AFM (C-AFM) was used to produce crystallized GST dots on thin films. I-V spectroscopy results show that GST films can switch from amorphous state to crystalline state at threshold voltage. After switching, I-V curve exhibits ohmic characteristic, which is usually observed in crystallized GST films. By applying repeated I-V spectroscopies on the thin films, crystallized nuclei were observed. As the times of I-V spectroscopies increases, the area of written dots increases, and the center of the mark begin to ablate. The AFM images show that the shape of marks is an ablated center with a raised ring surrounding it.

  5. Lithographically patterned thin activated carbon films as a new technology platform for on-chip devices.

    Science.gov (United States)

    Wei, Lu; Nitta, Naoki; Yushin, Gleb

    2013-08-27

    Continuous, smooth, visibly defect-free, lithographically patterned activated carbon films (ACFs) are prepared on the surface of silicon wafers. Depending on the synthesis conditions, porous ACFs can either remain attached to the initial substrate or be separated and transferred to another dense or porous substrate of interest. Tuning the activation conditions allows one to change the surface area and porosity of the produced carbon films. Here we utilize the developed thin ACF technology to produce prototypes of functional electrical double-layer capacitor devices. The synthesized thin carbon film electrodes demonstrated very high capacitance in excess of 510 F g(-1) (>390 F cm(-3)) at a slow cyclic voltammetry scan rate of 1 mV s(-1) and in excess of 325 F g(-1) (>250 F cm(-3)) in charge-discharge tests at an ultrahigh current density of 45,000 mA g(-1). Good stability was demonstrated after 10,000 galvanostatic charge-discharge cycles. The high values of the specific and volumetric capacitances of the selected ACF electrodes as well as the capacity retention at high current densities demonstrated great potential of the proposed technology for the fabrication of various on-chip devices, such as micro-electrochemical capacitors.

  6. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  7. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    Science.gov (United States)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  8. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  9. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  10. Structural and chemical analysis of annealed plasma-enhanced atomic layer deposition aluminum nitride films

    Energy Technology Data Exchange (ETDEWEB)

    Broas, Mikael, E-mail: mikael.broas@aalto.fi; Vuorinen, Vesa [Department of Electrical Engineering and Automation, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sippola, Perttu; Pyymaki Perros, Alexander; Lipsanen, Harri [Department of Micro- and Nanosciences, Aalto University, P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35, FIN-40014 Jyväskylä (Finland); Paulasto-Kröckel, Mervi [Department of Electrical Engineering and Automation, Aalto University. P.O. Box 13500, FIN-00076 Aalto, Espoo (Finland)

    2016-07-15

    Plasma-enhanced atomic layer deposition was utilized to grow aluminum nitride (AlN) films on Si from trimethylaluminum and N{sub 2}:H{sub 2} plasma at 200 °C. Thermal treatments were then applied on the films which caused changes in their chemical composition and nanostructure. These changes were observed to manifest in the refractive indices and densities of the films. The AlN films were identified to contain light element impurities, namely, H, C, and excess N due to nonideal precursor reactions. Oxygen contamination was also identified in the films. Many of the embedded impurities became volatile in the elevated annealing temperatures. Most notably, high amounts of H were observed to desorb from the AlN films. Furthermore, dinitrogen triple bonds were identified with infrared spectroscopy in the films. The triple bonds broke after annealing at 1000 °C for 1 h which likely caused enhanced hydrolysis of the films. The nanostructure of the films was identified to be amorphous in the as-deposited state and to become nanocrystalline after 1 h of annealing at 1000 °C.

  11. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  12. Activation of boron and phosphorus atoms implanted in polycrystalline silicon films at low temperatures

    International Nuclear Information System (INIS)

    Andoh, Nobuyuki; Sameshima, Toshiyuki; Andoh, Yasunori

    2005-01-01

    Phosphorus atoms implanted in laser crystallized polycrystalline silicon films were activated by a heat treatment in air at 260 deg. C for 1, 3 and 24 h. Analysis of ultraviolet reflectivity of phosphorus-doped silicon films implanted by ion doping method at 4 keV revealed that the thickness of the top disordered layer formed by ion bombardment was 6 nm. It is reduced to 4 nm by a 3 h heat treatment at 260 deg. C by recrystallization of disordered region. The electrical conductance of silicon films implanted increased to 1.7x10 5 S/sq after 3 h heat treatment

  13. Cold atoms near surfaces: designing potentials by sculpturing wires

    International Nuclear Information System (INIS)

    Della Pietra, Leonardo; Aigner, Simon; Hagen, Christoph vom; Lezec, Henri J; Schmiedmayer, Joerg

    2005-01-01

    The magnetic trapping potentials for atoms on atom chips are determined by the current flow pattern in the chip wires. By modifying the wire shape using focused ion beam nano-machining we can design specialized current flow patterns and therefore micro-design the magnetic trapping potentials. We give designs for a barrier, a quantum dot, and a double well or double barrier and show preliminary experiments with ultra cold atoms in these designed potentials

  14. Atomic structure and work function of the metal-film systems: lithium-(011) face of tungsten or molybdenum

    International Nuclear Information System (INIS)

    Kanash, O.V.; Fedorus, A.G.

    1984-01-01

    The atomic structure and phase transitions in lithium films and also the variation of the work function under lithium adsorption on the (011) face of W or Mo are studied by the low electron diffraction and contact potential difference methods in a wide range of submonolayer coverage. In the low coverage range (theta 5/9), identical sets of anisotropic structures are formed on both substrates which are specific for localized adsorption. In the coverage range between 1/4 for W (011) or 1/6 for Mo (011) and 5/9 (for both substrates) the film grows by virtue of two consecutive first order phase transitions. In the remaining theta region the film compression proceeds continuously. A model of mixing of cells of various sizes is used to explain the continuity of the compression process. At low coverage the film atomic structure corresponds to a predominant effect of dipole-dipole interaction betWeen the adatoms, whereas at high coverage it corresponds to an indirect interaction. The temperature stability of the films at different theta is studied. The effect of the film structure on the work function and surface diffusion is discussed

  15. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  16. Inhomogeneous distribution of manganese atoms in ferromagnetic ZnSnAs{sub 2}:Mn thin films on InP revealed by three-dimensional atom probe investigation

    Energy Technology Data Exchange (ETDEWEB)

    Uchitomi, Naotaka, E-mail: uchitomi@nagaokaut.ac.jp; Inoue, Hiroaki; Kato, Takahiro; Toyota, Hideyuki [Nagaoka University of Technology, 1603-1 Kamitomioka-cho, Nagaoka 940-2188 (Japan); Uchida, Hiroshi [Toshiba Nanoanalysis Corporation, 8 Shinsugita-cho, Isogo-ku, Yokohama 235-8522 (Japan)

    2015-05-07

    Atomic-scale Mn distributions in ferromagnetic ZnSnAs{sub 2}:Mn thin films grown on InP substrates have been studied by applying three-dimensional atom probe (3DAP) microscopy. It is found that Mn atoms in cross-sectional 3DAP maps show the presence of inhomogeneities in Mn distribution, which is characteristic patterns of a spinoidal decomposition phase with slightly high and low concentration regions. The high Mn concentration regions are expected to be coherently clustered MnAs in the zinc-blende structure, resulting in the formation of Mn-As random connecting patterns. The origin of room-temperature ferromagnetism in ZnSnAs{sub 2}:Mn on InP can be well explained by the formation of atomic-scale magnetic clustering by spinoidal decomposition without breaking the continuity of the zinc-blende structure, which has been suggested by previous theoretical works. The lattice-matching between magnetic epi-layers and substrates should be one of the most important factors to avoid the formation of secondary hexagonal MnAs phase precipitates in preparing ferromagnetic semiconductor thin films.

  17. Prototyping chips in minutes: Direct Laser Plotting (DLP) of functional microfluidic structures

    KAUST Repository

    Wang, Limu; Kodzius, Rimantas; Yi, Xin; Li, Shunbo; Hui, Yu Sanna; Wen, Weijia

    2013-01-01

    and bio-compatible polymer films by manipulating the strength and density of laser pulses. With the DLP technique, chip-embedded micro-electrodes, micro-mixers and 3D microfluidic chips with 5 layers, which normally require several days of work in a

  18. Atomic structures of Ruddlesden-Popper faults in LaCoO3/SrRuO3 multilayer thin films induced by epitaxial strain

    Science.gov (United States)

    Wang, Wei; Zhang, Hui; Shen, Xi; Guan, Xiangxiang; Yao, Yuan; Wang, Yanguo; Sun, Jirong; Yu, Richeng

    2018-05-01

    In this paper, scanning transmission electron microscopy is used to study the microstructures of the defects in LaCoO3/SrRuO3 multilayer films grown on the SrTiO3 substrates, and these films have different thickness of SrRuO3 (SRO) layers. Several types of Ruddlesden-Popper (R.P.) faults at an atomic level are found, and these chemical composition fluctuations in the growth process are induced by strain fields originating from the film-film and film-substrate lattice mismatches. Furthermore, we propose four types of structural models based on the atomic arrangements of the R.P. planar faults, which severely affect the functional properties of the films.

  19. Analysis of deuterium in V-Fe5at.% film by atom probe tomography (APT)

    KAUST Repository

    Gemma, Ryota

    2011-09-01

    V-Fe5at.% 2 and 10-nm thick single layered films were prepared by ion beam sputtering on W substrate. They were loaded with D from gas phase at 0.2 Pa and at 1 Pa, respectively. Both lateral and depth D distribution of these films was investigated in detail by atom probe tomography. The results of analysis are in good agreement between the average deuterium concentration and the value, expected from electromotive force measurement on a similar flat film. An enrichment of deuterium at the V/W interface was observed for both films. The origin of this D-accumulation was discussed in respect to electron transfer, mechanical stress and misfit dislocations. © 2010 Elsevier B.V. All rights reserved.

  20. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  1. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  2. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  3. Building blocks for a polarimeter-on-a-chip

    International Nuclear Information System (INIS)

    Stevenson, Thomas R.; Hsieh, W.-T.; Schneider, Gideon; Travers, Douglas; Cao, Nga; Wollack, Edward; Limon, Michele; Kogut, Alan

    2006-01-01

    For the 'Primordial Anisotropy Polarization Pathfinder Array (PAPPA)' balloon flight project, we have designed and made thin-film niobium microstrip circuits as building blocks for a 'polarimeter-on-a-chip' in which superconducting transmission lines are used to couple millimeter wave signals from planar antennas to superconducting transition edge sensor (TES) detectors. Our goal is to demonstrate technology for precision measurements of the polarization of the cosmic microwave background. To enable characterization and verification of our microstrip components, we have incorporated waveguide probes on each chip that can bring millimeter wave signals from a room temperature vector network analyzer to the superconducting circuits on the chip and back again for S-parameter measurements. We have designed a planar antenna and RF choke on the probes to efficiently couple radiation between waveguide and thin-film microstrip. To support the probe antennas in waveguides, we sculpted thin silicon cantilevers that extend from an edge of each silicon chip into a pair of waveguides within a specially designed split-block mount. This technique will allow us to make calibrated measurements at low temperatures of the velocity, impedance, and loss properties of our niobium transmission lines, the frequency response of microstrip filters, hybrid couplers, or terminations, and the performance of integrated detectors

  4. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  5. Characterization and gas-sensing behavior of an iron oxide thin film prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Aronniemi, Mikko; Saino, J.; Lahtinen, J.

    2008-01-01

    In this work we investigate an iron oxide thin film grown with atomic layer deposition for a gas sensor application. The objective is to characterize the structural, chemical, and electrical properties of the film, and to demonstrate its gas-sensitivity. The obtained scanning electron microscopy and atomic force microscopy results indicate that the film has a granular structure and that it has grown mainly on the glass substrate leaving the platinum electrodes uncovered. X-ray diffraction results show that iron oxide is in the α-Fe 2 O 3 (hematite) phase. X-ray photoelectron spectra recorded at elevated temperature imply that the surface iron is mainly in the Fe 3+ state and that oxygen has two chemical states: one corresponding to the lattice oxygen and the other to adsorbed oxygen species. Electric conductivity has an activation energy of 0.3-0.5 eV and almost Ohmic current-voltage dependency. When exposed to O 2 and CO, a typical n-type response is observed

  6. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  7. Design of Rotary Atomizer Using Characteristics of Thin Film Flow on Solid Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Park, Boo Seong; Kim, Bo Hung [Univ. of Ulsan, Ulsan (Korea, Republic of)

    2013-12-15

    A disc-type rotary atomizer affords advantages such as superior paint transfer efficiency, uniformity of paint pattern and particle size, and less consumption of compressed air compared to a spray-gun-type atomizer. Furthermore, it can be applied to all types of painting materials, and it is suitable for large-scale processes such as car painting. The painting quality, which is closely related to the atomizer performance, is determined by the uniformity and droplet size in accordance with the design of the bell disc surface. This study establishes the basics of how to design a surface by modeling the operating bell disc's RPM, diameter, surface angle, and film thickness considering dye characteristics such as the viscosity, density, and surface affinity.

  8. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  9. Manipulating cold atoms for quantum information processing

    International Nuclear Information System (INIS)

    Knight, P.

    2005-01-01

    Full text: I will describe how cold atoms can be manipulated to realize arrays of addressable qbits as prototype quantum registers, focussing on how atom chips can be used in combination with cavity qed techniques to form such an array. I will discuss how the array can be generated and steered using optical lattices and the Mott transition, and describe the sources of noise and how these place limits on the use of such chips in quantum information processing. (author)

  10. Technology for On-Chip Qubit Control with Microfabricated Surface Ion Traps

    Energy Technology Data Exchange (ETDEWEB)

    Highstrete, Clark [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Quantum Information Sciences Dept.; Scott, Sean Michael [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). RF/Optoelectronics Dept.; Nordquist, Christopher D. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). RF/Optoelectronics Dept.; Sterk, Jonathan David [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Photonic Microsystem Technologies Dept.; Maunz, Peter Lukas Wilhelm [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Photonic Microsystem Technologies Dept.; Tigges, Christopher P. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Photonic Microsystem Technologies Dept.; Blain, Matthew Glenn [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Photonic Microsystem Technologies Dept.; Heller, Edwin J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Microsystems Integration Dept.; Stevens, James E. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). MESAFab Operations 2 Dept.

    2013-11-01

    Trapped atomic ions are a leading physical system for quantum information processing. However, scalability and operational fidelity remain limiting technical issues often associated with optical qubit control. One promising approach is to develop on-chip microwave electronic control of ion qubits based on the atomic hyperfine interaction. This project developed expertise and capabilities at Sandia toward on-chip electronic qubit control in a scalable architecture. The project developed a foundation of laboratory capabilities, including trapping the 171Yb+ hyperfine ion qubit and developing an experimental microwave coherent control capability. Additionally, the project investigated the integration of microwave device elements with surface ion traps utilizing Sandia’s state-of-the-art MEMS microfabrication processing. This effort culminated in a device design for a multi-purpose ion trap experimental platform for investigating on-chip microwave qubit control, laying the groundwork for further funded R&D to develop on-chip microwave qubit control in an architecture that is suitable to engineering development.

  11. Cold atoms in a cryogenic environment

    International Nuclear Information System (INIS)

    Haslinger, S.

    2011-01-01

    The idea of quantum information processing attracts increasingly interest, where a complex collection of quantum objects and quantum bits are employed to find the ideal building blocks for quantum information systems. Hybrid quantum systems are therefore promising objects as they countervail the particular drawbacks of single quantum objects. Based on superconducting resonator technology, microwave coplanar waveguides provide a well suited interconnection for photons and solid-state quantum bits (qubits), extensively investigated in recent years. Since a quantum memory is presently missing in those electrical accessible circuit cavity quantum devices, connecting the fast processing in a solid sate device to the exceptional long coherence times in atomic ensembles, the presented work is focused to establish the technological foundations for the hybridization of such quantum systems. The microwave photons stored in a superconducting high finesse microwave resonator are therefore an ideal connection between the atom and the solid state quantum world. In the last decade, the miniaturization and integration of quantum optics and atomic physics manipulation techniques on to a single chip was successfully established. Such atom chips are capable of detailed quantum manipulation of ultra-cold atoms and provide a versatile platform to combine the manipulation techniques from atomic physics with the capability of nano-fabrication. In recent years several experiments succeeded in realization of superconducting atom chips in cryogenic environments which opens the road for integrating super-conductive microwave resonators to magnetically couple an atomic ensemble to photons stored in the coplanar high finesse cavity. This thesis presents the concept, design and experimental setup of two approaches to establish an atomic ensemble of rubidium atoms inside a cryogenic environment, based on an Electron beam driven alkali metal atom source for loading a magneto optical trap in a

  12. Capture of impurity atoms by defects and the distribution of the complexes under ion bormbardment of growing films

    International Nuclear Information System (INIS)

    Radzhabov, T.D.; Iskanderova, Z.A.; Arutyunova, E.O.; Samigulin, K.R.

    1982-01-01

    Theoretical study of capture of impurity gas atoms with defects during ion introduction of the impurity in the process of film growth with simultaneous diffusion has been carried out. Concentration profiles of forned impurity-defect complexes have been calculated analytically and numerically by means of a computer in film depth and in a substrate; basic peculiarities of impurity component formation captured with defects in a wide range of changing basic experimental parameters have been revealed. Effect of impurity capture with defects on amount and distribution of total concentration of impurity atoms and intensity of complete absorption of bombarding ions in films have been analyzed. Shown is a possibility for producing films with a high concentration level and almost uniform distribution of the impurity-defect complexes for real, achievable an experiment, values of process parameters as well as a possibility for increasing complete absorption of gaseous impurity wiht concentration growth of capture defects-traps

  13. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Energy Technology Data Exchange (ETDEWEB)

    Hoye, Robert L. Z., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk; MacManus-Driscoll, Judith L., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Muñoz-Rojas, David [LMGP, University Grenoble-Alpes, CNRS, F-3800 Grenoble (France); Nelson, Shelby F. [Kodak Research Laboratories, Eastman Kodak Company, Rochester, New York 14650 (United States); Illiberi, Andrea; Poodt, Paul [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Roozeboom, Fred [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven, 5600 MB (Netherlands)

    2015-04-01

    Atmospheric pressure spatial atomic layer deposition (AP-SALD) has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  14. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  15. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  16. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  17. Directed Atom-by-Atom Assembly of Dopants in Silicon.

    Science.gov (United States)

    Hudak, Bethany M; Song, Jiaming; Sims, Hunter; Troparevsky, M Claudia; Humble, Travis S; Pantelides, Sokrates T; Snijders, Paul C; Lupini, Andrew R

    2018-05-17

    The ability to controllably position single atoms inside materials is key for the ultimate fabrication of devices with functionalities governed by atomic-scale properties. Single bismuth dopant atoms in silicon provide an ideal case study in view of proposals for single-dopant quantum bits. However, bismuth is the least soluble pnictogen in silicon, meaning that the dopant atoms tend to migrate out of position during sample growth. Here, we demonstrate epitaxial growth of thin silicon films doped with bismuth. We use atomic-resolution aberration-corrected imaging to view the as-grown dopant distribution and then to controllably position single dopants inside the film. Atomic-scale quantum-mechanical calculations corroborate the experimental findings. These results indicate that the scanning transmission electron microscope is of particular interest for assembling functional materials atom-by-atom because it offers both real-time monitoring and atom manipulation. We envision electron-beam manipulation of atoms inside materials as an achievable route to controllable assembly of structures of individual dopants.

  18. Nanofiber Anisotropic Conductive Films (ACF) for Ultra-Fine-Pitch Chip-on-Glass (COG) Interconnections

    Science.gov (United States)

    Lee, Sang-Hoon; Kim, Tae-Wan; Suk, Kyung-Lim; Paik, Kyung-Wook

    2015-11-01

    Nanofiber anisotropic conductive films (ACF) were invented, by adapting nanofiber technology to ACF materials, to overcome the limitations of ultra-fine-pitch interconnection packaging, i.e. shorts and open circuits as a result of the narrow space between bumps and electrodes. For nanofiber ACF, poly(vinylidene fluoride) (PVDF) and poly(butylene succinate) (PBS) polymers were used as nanofiber polymer materials. For PVDF and PBS nanofiber ACF, conductive particles of diameter 3.5 μm were incorporated into nanofibers by electrospinning. In ultra-fine-pitch chip-on-glass assembly, insulation was significantly improved by using nanofiber ACF, because nanofibers inside the ACF suppressed the mobility of conductive particles, preventing them from flowing out during the bonding process. Capture of conductive particles was increased from 31% (conventional ACF) to 65%, and stable electrical properties and reliability were achieved by use of nanofiber ACF.

  19. Stripping scattering of fast atoms on surfaces of metal-oxide crystals and ultrathin films; Streifende Streuung schneller Atome an Oberflaechen von Metalloxid-Kristallen und ultraduennen Filmen

    Energy Technology Data Exchange (ETDEWEB)

    Blauth, David

    2010-03-11

    In the framework of the present dissertation the interactions of fast atoms with surfaces of bulk oxides, metals and thin films on metals were studied. The experiments were performed in the regime of grazing incidence of atoms with energies of some keV. The advantage of this scattering geometry is the high surface sensibility and thus the possibility to determine the crystallographic and electronic characteristics of the topmost surface layer. In addition to these experiments, the energy loss and the electron emission induced by scattered projectiles was investigated. The energy for electron emission and exciton excitation on Alumina/NiAl(110) and SiO{sub 2}/Mo(112) are determined. By detection of the number of projectile induced emitted electrons as function of azimuthal angle for the rotation of the target surface, the geometrical structure of atoms forming the topmost layer of different adsorbate films on metal surfaces where determined via ion beam triangulation. (orig.)

  20. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  1. Near-room temperature deposition of W and WO3 thin films by hydrogen atom assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Lee, W.W.; Reeves, R.R.

    1992-01-01

    A novel near-room temperatures CVD process has been developed using H-atoms reaction with WF 6 to produced tungsten and tungsten oxide films. The chemical, physical and electrical properties of these films were studied. Good adhesion and low resistivity of W films were measured. Conformal WO 3 films were obtained on columnar tungsten using a small amount of molecular oxygen in the gas stream. A reaction mechanism was evaluated on the basis of experimental results. The advantages of the method include deposition of adherent films in a plasma-free environment, near-room temperature, with a low level of impurity

  2. Modeling of thin films growth processes in the early stage for atoms with covalent bonds

    International Nuclear Information System (INIS)

    Tupik, V A; Margolin, V I; Su, Chu Trong

    2017-01-01

    Computer simulation for obtaining thin film’s growth process at an early stage with the proposed model of atoms with isotropic and anisotropic interactions been considered. Carrying out the procedure for analyzing the problem on the basis of the program being implemented, computer simulation of thin film growth processes has been carried out on several examples. The results of computer simulation of the growth process of thin film on a given substrate and an aggregate in a vacuum condition are shown. Some characteristic distributions of the obtained structure have been carried out to evaluate the proposed adequate model and to reflect the high complexity of thin films growth process. (paper)

  3. Complementary Characterization of Cu(In,Ga)Se₂ Thin-Film Photovoltaic Cells Using Secondary Ion Mass Spectrometry, Auger Electron Spectroscopy, and Atom Probe Tomography.

    Science.gov (United States)

    Jang, Yun Jung; Lee, Jihye; Jeong, Jeung-Hyun; Lee, Kang-Bong; Kim, Donghwan; Lee, Yeonhee

    2018-05-01

    To enhance the conversion performance of solar cells, a quantitative and depth-resolved elemental analysis of photovoltaic thin films is required. In this study, we determined the average concentration of the major elements (Cu, In, Ga, and Se) in fabricated Cu(In,Ga)Se2 (CIGS) thin films, using inductively coupled plasma atomic emission spectroscopy, X-ray fluorescence, and wavelengthdispersive electron probe microanalysis. Depth profiling results for CIGS thin films with different cell efficiencies were obtained using secondary ion mass spectrometry and Auger electron spectroscopy to compare the atomic concentrations. Atom probe tomography, a characterization technique with sub-nanometer resolution, was used to obtain three-dimensional elemental mapping and the compositional distribution at the grain boundaries (GBs). GBs are identified by Na increment accompanied by Cu depletion and In enrichment. Segregation of Na atoms along the GB had a beneficial effect on cell performance. Comparative analyses of different CIGS absorber layers using various analytical techniques provide us with understanding of the compositional distributions and structures of high efficiency CIGS thin films in solar cells.

  4. Resonator quantum electrodynamics on a microtrap chip

    International Nuclear Information System (INIS)

    Steinmetz, Tilo

    2008-01-01

    In the present dissertation experiments on resonator quantum electrodynamics on a microtrap chip are described. Thereby for the first time single atoms catched in a chip trap could be detected. For this in the framework of this thesis a novel optical microresonator was developed, which can because of its miniaturization be combined with the microtrap technique introduced in our working group for the manipulation of ultracold atoms. For this resonator glass-fiber ends are used as mirror substrates, between which a standing light wave is formed. With such a fiber Fabry-Perot resonator we obtain a finess of up to ∼37,000. Because of the small mode volumina in spite of moderate resonator quality the coherent interaction between an atom and a photon can be made so large that the regime of the strong atom-resonator coupling is reached. For the one-atom-one-photon coupling rate and the one-atom-one-photon cooperativity thereby record values of g 0 =2π.300 MHz respectively C 0 =210 are reached. Just so for the first time the strong coupling regime between a Bose-Einstein condensate (BEC) and the field of a high-quality resonator could be reached. The BEC was thereby by means of the magnetic microtrap potentials deterministically brought to a position within the resonator and totally transformed in a well defined antinode of an additionally optical standing-wave trap. The spectrum of the coupled atom-resonator system was measured for different atomic numbers and atom-resonator detunings, whereby a collective vacuum Rabi splitting of more than 20 GHz could be reached. [de

  5. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  6. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Directory of Open Access Journals (Sweden)

    Robert L. Z. Hoye

    2015-04-01

    Full Text Available Atmospheric pressure spatial atomic layer deposition (AP-SALD has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  7. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  8. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  9. Local photoconductivity of microcrystalline silicon thin films measured by conductive atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ledinsky, Martin; Fejfar, Antonin; Vetushka, Aliaksei; Stuchlik, Jiri; Rezek, Bohuslav; Kocka, Jan [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i. Cukrovarnicka 10, 162 00 Praha 6 (Czech Republic)

    2011-11-15

    Local currents measured under standard conductive atomic force microscopy (C-AFM) conditions on microcrystalline silicon ({mu}c-Si:H) thin films were studied. It was shown that the AFM detection diode illuminating the AFM cantilever (see the figure on the right side) 100 x enhanced the current flows through the photosensitive {mu}c-Si:H layer. The local current map and current-voltage characteristics were measured under dark conditions. This study enables mapping of both the dark current and photocurrent. C-AFM cantilever illuminated by the detection diode during measurement on {mu}c-Si:H thin film. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. On-chip highly sensitive saliva glucose sensing using multilayer films composed of single-walled carbon nanotubes, gold nanoparticles, and glucose oxidase

    Directory of Open Access Journals (Sweden)

    Wenjun Zhang

    2015-06-01

    Full Text Available It is very important for human health to rapidly and accurately detect glucose levels in biological environments, especially for diabetes mellitus. We proposed a simple, highly sensitive, accurate, convenient, low-cost, and disposable glucose biosensor on a single chip. A working (sensor electrode, a counter electrode, and a reference electrode are integrated on a single chip through micro-fabrication. The working electrode is functionalized through a layer-by-layer (LBL assembly of single-walled carbon nanotubes (SWNTs and multilayer films composed of chitosan (CS, gold nanoparticles (GNp, and glucose oxidase (GOx to obtain high sensitivity and accuracy. The glucose sensor has following features: (1 direct electron transfer between GOx and the electrode surface; (2 on-a-chip; (3 glucose detection down to 0.1 mg/dL (5.6 μM; (4 good sensing linearity over 0.017–0.81 mM; (5 high sensitivity (61.4 μA/mM-cm2 with a small reactive area (8 mm2; (6 fast response; (7 high reproducibility and repeatability; (8 reliable and accurate saliva glucose detection. Thus, this disposable biosensor will be an alternative for real time tracking of glucose levels from body fluids, e.g. saliva, in a noninvasive, pain-free, accurate, and continuous way. In addition to being used as a disposable glucose biosensor, it also provides a suitable platform for on-chip electrochemical sensing for other chemical agents and biomolecules.

  11. Cold atoms close to surfaces

    DEFF Research Database (Denmark)

    Krüger, Peter; Wildermuth, Stephan; Hofferberth, Sebastian

    2005-01-01

    Microscopic atom optical devices integrated on atom chips allow to precisely control and manipulate ultra-cold (T atoms and Bose-Einstein condensates (BECs) close to surfaces. The relevant energy scale of a BEC is extremely small (down to ... be utilized as a sensor for variations of the potential energy of the atoms close to the surface. Here we describe how to use trapped atoms as a measurement device and analyze the performance and flexibility of the field sensor. We demonstrate microscopic magnetic imaging with simultaneous high spatial...

  12. Mode and polarization state selected guided wave spectroscopy of orientational anisotrophy in model membrane cellulosic polymer films: relevance to lab-on-a-chip

    Science.gov (United States)

    Andrews, Mark P.; Kanigan, Tanya

    2007-06-01

    Orientation anisotropies in structural properties relevant to the use of cellulosic polymers as membranes for lab-on-chips were investigated for cellulose acetate (CA) and regenerated cellulose (RC) films deposited as slab waveguides. Anisotropy was probed with mode and polarization state selected guided wave Raman spectroscopy. CA exhibits partial chain orientation in the plane of the film, and this orientation is independent of sample substrate and film preparation conditions. RC films also show in-plane anisotropy, where the hexose sugar rings lie roughly in the plane of the film. Explanations are given of the role of artifacts in interpreting waveguide Raman spectra, including anomalous contributions to Raman spectra that arise from deviations from right angle scattering geometry, mode-dependent contributions to longitudinal electric field components and TETM mode conversion. We explore diffusion profiles of small molecules in cellulosic films by adaptations of an inverse-Wentzel-Kramers-Brillouin (iWKB) recursive, noninteger virtual mode index algorithm. Perturbations in the refractive index distribution, n(z), are recovered from the measured relative propagation constants, neffective,m, of the planar waveguide. The refractive index distribution then yields the diffusion profile.

  13. Electrical characterization of grain boundaries of CZTS thin films using conductive atomic force microscopy techniques

    Energy Technology Data Exchange (ETDEWEB)

    Muhunthan, N.; Singh, Om Pal [Compound Semiconductor Solar Cell, Physics of Energy Harvesting Division, New Delhi 110012 (India); Toutam, Vijaykumar, E-mail: toutamvk@nplindia.org [Quantum Phenomena and Applications Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Singh, V.N., E-mail: singhvn@nplindia.org [Compound Semiconductor Solar Cell, Physics of Energy Harvesting Division, New Delhi 110012 (India)

    2015-10-15

    Graphical abstract: Experimental setup for conducting AFM (C-AFM). - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin film was grown by reactive co-sputtering. • The electronic properties were probed using conducting atomic force microscope, scanning Kelvin probe microscopy and scanning capacitance microscopy. • C-AFM current flow mainly through grain boundaries rather than grain interiors. • SKPM indicated higher potential along the GBs compared to grain interiors. • The SCM explains that charge separation takes place at the interface of grain and grain boundary. - Abstract: Electrical characterization of grain boundaries (GB) of Cu-deficient CZTS (Copper Zinc Tin Sulfide) thin films was done using atomic force microscopic (AFM) techniques like Conductive atomic force microscopy (CAFM), Kelvin probe force microscopy (KPFM) and scanning capacitance microscopy (SCM). Absorbance spectroscopy was done for optical band gap calculations and Raman, XRD and EDS for structural and compositional characterization. Hall measurements were done for estimation of carrier mobility. CAFM and KPFM measurements showed that the currents flow mainly through grain boundaries (GB) rather than grain interiors. SCM results showed that charge separation mainly occurs at the interface of grain and grain boundaries and not all along the grain boundaries.

  14. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  15. Laser-induced atomic assembling of periodic layered nanostructures of silver nanoparticles in fluoro-polymer film matrix

    International Nuclear Information System (INIS)

    Bagratashvili, V N; Minaev, N V; Timashev, P S; Yusupov, V I; Rybaltovsky, A O; Firsov, V V

    2010-01-01

    Fluorinated acrylic polymer (FAP) films have been impregnated with silver precursor (Ag(hfac)COD) by supercritical fluid technique and next irradiated with laser (λ = 532 nm). Laser-chemically reduced Ag atoms have been assembled into massifs of Ag nanoparticles (3 – 8 nm) in FAP/Ag(hfac)COD films matrix in the form of periodic layered nanostructures (horizontal to film surface) with unexpectedly short period (90 – 180 nm). The wavelet analysis of TEM images reveals the existence of even shorter-period structures in such films. Photolysis with non-coherent light or pyrolysis of FAP/Ag(hfac)COD film results in formation of Ag nanoparticles massifs but free of any periodic nanoparticle assemblies. Our interpretation of the observed effect of laser formation of short-period nano-sized Ag nanoparticle assemblies is based on self-enhanced interference process in the course of modification of optical properties of film

  16. Sputtered Pd as hydrogen storage for a chip-integrated microenergy system.

    Science.gov (United States)

    Slavcheva, E; Ganske, G; Schnakenberg, U

    2014-01-01

    The work presents a research on preparation and physical and electrochemical characterisation of dc magnetron sputtered Pd films envisaged for application as hydrogen storage in a chip-integrated hydrogen microenergy system. The influence of the changes in the sputtering pressure on the surface structure, morphology, and roughness was analysed by X-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AMF). The electrochemical activity towards hydrogen adsorption/desorption and formation of PdH were investigated in 0.5 M H2SO4 using the methods of cyclic voltammetry and galvanostatic polarisation. The changes in the electrical properties of the films as a function of the sputtering pressure and the level of hydrogenation were evaluated before and immediately after the electrochemical charging tests, using a four-probe technique. The research resulted in establishment of optimal sputter regime, ensuring fully reproducible Pd layers with highly developed surface, moderate porosity, and mechanical stability. Selected samples were integrated as hydrogen storage in a newly developed unitized microenergy system and tested in charging (water electrolysis) and discharging (fuel cell) operative mode at ambient conditions demonstrating a stable recycling performance.

  17. A novel double-layer molecularly imprinted polymer film based surface plasmon resonance for determination of testosterone in aqueous media

    International Nuclear Information System (INIS)

    Tan, Yuan; Jing, Lijing; Ding, Yonghong; Wei, Tianxin

    2015-01-01

    Highlights: • The in-situ photo-grafting polymerization method was used to prepare the polymer film. • The synthesized MIF was layer stucture film. • The MIF exhibited good imprinting effect and highly selectivity. - Abstract: This work aimed to prepare a novel double-layer structure molecularly imprinted polymer film (MIF) on the surface plasmon resonance (SPR) sensor chips for detection of testosterone in aqueous media. The film was synthesized by in-situ UV photo polymerization. Firstly, the modification of gold surface of SPR chip was performed by 1-dodecanethiol. Then double-layer MIF was generated on the 1-dodecanethiol modified gold surface. The non-modified and imprinted surfaces were characterized by atomic force microscopy (AFM), fourier transform infrared (FTIR) spectroscopy and contact angle measurements. Analysis of SPR spectroscopy showed that the imprinted sensing film displayed good selectivity for testosterone compared to other analogues and the non-imprinted polymer film (NIF). Within the concentrations range of 1 × 10 −12 –1 × 10 −8 mol/L, the coupling angle changes of SPR were linear with the negative logarithm of testosterone concentrations (R 2 = 0.993). Based on a signal/noise ratio of three, the detection limit was estimated to be 10 −12 mol/L. Finally, the developed MIF was successfully applied to the seawater detection of testosterone. The results in the experiments suggested that a combination of SPR sensing with MIF was a promising alternative method for detection of testosterone in aqueous media

  18. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  19. Substrate dependent morphologies of self-assembled nanocrystalline manganite films: An atomic force microscopy study

    International Nuclear Information System (INIS)

    Kale, S.N.; Mona, J.; Ganesan, V.; Choudhary, R.J.; Phase, D.M.

    2009-06-01

    Thin films of La 0 .7Sr 0 .3MnO 3 (LSMO) have been deposited on different substrates: Si (001), Al 2 O 3 (AlO) (0001) and LaAlO 3 (LAO) (001), using a pulsed laser deposition system. 100 nm films have been deposited at substrate temperature of 700 deg C and oxygen partial pressure of 400 mTorr. X-Ray diffraction analysis shows a polycrystalline growth of both layers on Si and Al 2 O 3 substrates, while a c-axis oriented growth on LAO substrate. Atomic force microscopy images exhibit interesting island-like morphology of grain size ∼ 250 nm on Si substrate. Similar morphology with much smaller (∼ 150 nm), closely packed islands are seen to grow on AlO substrate. Films on LAO show comparatively a smooth morphology with the grains size less than 100 nm, decorated by characteristic depressions at the grain boundaries. The formation of self-assembled nanostructures can be understood on the basis of film-substrate lattice misfit, strains in the systems and eventual growth of the films to attain energy minimization (author)

  20. Analysis of a flip-chip bonded tunable high-temperature superconducting coplanar waveguide resonator using the conformal mapping technique

    CERN Document Server

    Misra, M; Murakami, H; Tonouchi, M

    2003-01-01

    We have studied the tuning properties of a high-temperature superconducting (HTS) half-wavelength coplanar waveguide (CPW) resonator operating at 5 GHz. The tuning schemes are based on flip-chip bonding of an electrically tunable ferroelectric (FE) thin film and a mechanically movable low-loss single crystal on top of the resonator. Using the conformal mapping method, closed-form analytical expressions have been derived for a flip-chip bonded conductor-backed and top-shielded CPW transmission line. The obtained expressions are used to analyse the volume effect of the FE thin film and the gap between the flip-chip and the CPW resonator on the tuning properties of the device. It has been found that large frequency modulation of the resonator produces impedance mismatch, which can considerably enhance the insertion loss of high-performance HTS microwave devices. Analysis also suggests that, for electrically tunable devices, flip-chip bonded FE thin films on HTS CPW devices provide a relatively higher performance...

  1. Ultra-Thin Atomic Layer Deposited TiN Films: Non-Linear I–V Behaviour and the Importance of Surface Passivation

    NARCIS (Netherlands)

    Van Hao, B.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.

    2011-01-01

    We report the electrical resistivity of atomic layer deposited TiN thin films in the thickness range 2.5-20 nm. The measurements were carried out using the circular transfer length method structures. For the films with thickness in the range of 10-20 nm, the measurements exhibited linear

  2. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  3. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  4. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  5. Surface and electron emission properties of hydrogen-free diamond-like carbon films investigated by atomic force microscopy

    International Nuclear Information System (INIS)

    Liu Dongping; Zhang, Sam; Ong, S.-E.; Benstetter, Guenther; Du Hejun

    2006-01-01

    In this study, we have deposited hydrogen-free diamond-like carbon (DLC) films by using DC magnetron sputtering of graphite target at various r.f. bias voltages. Surface and nanoscale emission properties of these DLC films have been investigated using a combination of atomic force microscopy (AFM)-based nanowear tests and conducting-AFM, by simultaneously measuring the topography and the conductivity of the samples. Nanowear tests show that these DLC films are covered with the thin (1.5-2.0 nm) graphite-like layers at surfaces. Compared to the film bulk structure, the graphite-like surface layers are more conductive. The graphite-like surface layers significantly influence the electron emission properties of these films. Low-energy carbon species can be responsible for the formation of graphite-like surface layers. Nanoscale electron emission measurements have revealed the inhomogeneous emission nature of these films. The low-field emission from these films can be attributed to the existence of sp 2 -configured nanoclusters inside the films

  6. The temperature dependence of atomic incorporation characteristics in growing GaInNAs films

    International Nuclear Information System (INIS)

    Li, Jingling; Gao, Fangliang; Wen, Lei; Zhou, Shizhong; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    We have systematically studied the temperature dependence of incorporation characteristics of nitrogen (N) and indium (In) in growing GaInNAs films. With the implementation of Monte-Carlo simulation, the low N adsorption energy (−0.10 eV) is demonstrated. To understand the atomic incorporation mechanism, temperature dependence of interactions between Group-III and V elements are subsequently discussed. We find that the In incorporation behaviors rather than that of N are more sensitive to the T g , which can be experimentally verified by exploring the compositional modulation and structural changes of the GaInNAs films by means of high-resolution X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscope, and secondary ion mass spectroscopy

  7. On the integration of ultrananocrystalline diamond (UNCD with CMOS chip

    Directory of Open Access Journals (Sweden)

    Hongyi Mi

    2017-03-01

    Full Text Available A low temperature deposition of high quality ultrananocrystalline diamond (UNCD film onto a finished Si-based CMOS chip was performed to investigate the compatibility of the UNCD deposition process with CMOS devices for monolithic integration of MEMS on Si CMOS platform. DC and radio-frequency performances of the individual PMOS and NMOS devices on the CMOS chip before and after the UNCD deposition were characterized. Electrical characteristics of CMOS after deposition of the UNCD film remained within the acceptable ranges, namely showing small variations in threshold voltage Vth, transconductance gm, cut-off frequency fT and maximum oscillation frequency fmax. The results suggest that low temperature UNCD deposition is compatible with CMOS to realize monolithically integrated CMOS-driven MEMS/NEMS based on UNCD.

  8. Study on VCSEL laser heating chip in nuclear magnetic resonance gyroscope

    Science.gov (United States)

    Liang, Xiaoyang; Zhou, Binquan; Wu, Wenfeng; Jia, Yuchen; Wang, Jing

    2017-10-01

    In recent years, atomic gyroscope has become an important direction of inertial navigation. Nuclear magnetic resonance gyroscope has a stronger advantage in the miniaturization of the size. In atomic gyroscope, the lasers are indispensable devices which has an important effect on the improvement of the gyroscope performance. The frequency stability of the VCSEL lasers requires high precision control of temperature. However, the heating current of the laser will definitely bring in the magnetic field, and the sensitive device, alkali vapor cell, is very sensitive to the magnetic field, so that the metal pattern of the heating chip should be designed ingeniously to eliminate the magnetic field introduced by the heating current. In this paper, a heating chip was fabricated by MEMS process, i.e. depositing platinum on semiconductor substrates. Platinum has long been considered as a good resistance material used for measuring temperature The VCSEL laser chip is fixed in the center of the heating chip. The thermometer resistor measures the temperature of the heating chip, which can be considered as the same temperature of the VCSEL laser chip, by turning the temperature signal into voltage signal. The FPGA chip is used as a micro controller, and combined with PID control algorithm constitute a closed loop control circuit. The voltage applied to the heating resistor wire is modified to achieve the temperature control of the VCSEL laser. In this way, the laser frequency can be controlled stably and easily. Ultimately, the temperature stability can be achieved better than 100mK.

  9. Invited Article: Terahertz microfluidic chips sensitivity-enhanced with a few arrays of meta-atoms

    Directory of Open Access Journals (Sweden)

    Kazunori Serita

    2018-05-01

    Full Text Available We present a nonlinear optical crystal (NLOC-based terahertz (THz microfluidic chip with a few arrays of split ring resonators (SRRs for ultra-trace and quantitative measurements of liquid solutions. The proposed chip operates on the basis of near-field coupling between the SRRs and a local emission of point like THz source that is generated in the process of optical rectification in NLOCs on a sub-wavelength scale. The liquid solutions flowing inside the microchannel modify the resonance frequency and peak attenuation in the THz transmission spectra. In contrast to conventional bio-sensing with far/near-field THz waves, our technique can be expected to compactify the chip design as well as realize high sensitive near-field measurement of liquid solutions without any high-power optical/THz source, near-field probes, and prisms. Using this chip, we have succeeded in observing the 31.8 fmol of ion concentration in actual amount of 318 pl water solutions from the shift of the resonance frequency. The technique opens the door to microanalysis of biological samples with THz waves and accelerates development of THz lab-on-chip devices.

  10. Invited Article: Terahertz microfluidic chips sensitivity-enhanced with a few arrays of meta-atoms

    Science.gov (United States)

    Serita, Kazunori; Matsuda, Eiki; Okada, Kosuke; Murakami, Hironaru; Kawayama, Iwao; Tonouchi, Masayoshi

    2018-05-01

    We present a nonlinear optical crystal (NLOC)-based terahertz (THz) microfluidic chip with a few arrays of split ring resonators (SRRs) for ultra-trace and quantitative measurements of liquid solutions. The proposed chip operates on the basis of near-field coupling between the SRRs and a local emission of point like THz source that is generated in the process of optical rectification in NLOCs on a sub-wavelength scale. The liquid solutions flowing inside the microchannel modify the resonance frequency and peak attenuation in the THz transmission spectra. In contrast to conventional bio-sensing with far/near-field THz waves, our technique can be expected to compactify the chip design as well as realize high sensitive near-field measurement of liquid solutions without any high-power optical/THz source, near-field probes, and prisms. Using this chip, we have succeeded in observing the 31.8 fmol of ion concentration in actual amount of 318 pl water solutions from the shift of the resonance frequency. The technique opens the door to microanalysis of biological samples with THz waves and accelerates development of THz lab-on-chip devices.

  11. Effects of Substrate and Post-Growth Treatments on the Microstructure and Properties of ZnO Thin Films Prepared by Atomic Layer Deposition

    Science.gov (United States)

    Haseman, Micah; Saadatkia, P.; Winarski, D. J.; Selim, F. A.; Leedy, K. D.; Tetlak, S.; Look, D. C.; Anwand, W.; Wagner, A.

    2016-12-01

    Aluminum-doped zinc oxide (ZnO:Al) thin films were synthesized by atomic layer deposition on silicon, quartz and sapphire substrates and characterized by x-ray diffraction (XRD), high-resolution scanning electron microscopy, optical spectroscopy, conductivity mapping, Hall effect measurements and positron annihilation spectroscopy. XRD showed that the as-grown films are of single-phase ZnO wurtzite structure and do not contain any secondary or impurity phases. The type of substrate was found to affect the orientation and degree of crystallinity of the films but had no effect on the defect structure or the transport properties of the films. High conductivity of 10-3 Ω cm, electron mobility of 20 cm2/Vs and carrier density of 1020 cm-3 were measured in most films. Thermal treatments in various atmospheres induced a large effect on the thickness, structure and electrical properties of the films. Annealing in a Zn and nitrogen environment at 400°C for 1 h led to a 16% increase in the thickness of the film; this indicates that Zn extracts oxygen atoms from the matrix and forms new layers of ZnO. On the other hand, annealing in a hydrogen atmosphere led to the emergence of an Al2O3 peak in the XRD pattern, which implies that hydrogen and Al atoms compete to occupy Zn sites in the ZnO lattice. Only ambient air annealing had an effect on film defect density and electrical properties, generating reductions in conductivity and electron mobility. Depth-resolved measurements of positron annihilation spectroscopy revealed short positron diffusion lengths and high concentrations of defects in all as-grown films. However, these defects did not diminish the electrical conductivity in the films.

  12. Formation and characterization of thin films from phthalocyanine complexes: An electrosynthesis study using the atomic-force microscope

    International Nuclear Information System (INIS)

    Sanchez Vergara, M.E.; Islas Bernal, I.F.; Rivera, M.; Ortiz Rebollo, A.; Alvarez Bada, J.R.

    2007-01-01

    (μ-Cyano)(phthalocyaninato)metal(III) [PcMCN] n species with a central transition metal ion, such as Fe(III) and Co(III), were used to prepare molecular films on a highly oriented pyrolytic graphite electrode substrate by using the cyclic voltammetry technique. In order to investigate the influence of the ligand on the film properties, 1,8-dihydroxyanthraquinone and 2,6-dihydroxyanthraquinone as bivalent ligands were employed. The structure of the molecular materials was analyzed by infrared spectroscopy. The in situ film formation, texture, composition and conductivity of each film were further investigated using atomic force microscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy and the four-probe technique, respectively. The [PcMCN] n complexes provided conductive films with an electrical conductivity of 1 x 10 -6 Ω -1 cm -1 at 298 K

  13. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  14. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  15. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  16. On-Chip Sensing of Thermoelectric Thin Film’s Merit

    OpenAIRE

    Xiao, Zhigang; Zhu, Xiaoshan

    2015-01-01

    Thermoelectric thin films have been widely explored for thermal-to-electrical energy conversion or solid-state cooling, because they can remove heat from integrated circuit (IC) chips or micro-electromechanical systems (MEMS) devices without involving any moving mechanical parts. In this paper, we report using silicon diode-based temperature sensors and specific thermoelectric devices to characterize the merit of thermoelectric thin films. The silicon diode temperature sensors and thermoelect...

  17. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  18. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  19. Intrinsically conductive polymer thin film piezoresistors

    DEFF Research Database (Denmark)

    Lillemose, Michael; Spieser, Martin; Christiansen, N.O.

    2008-01-01

    We report on the piezoresistive effect in the intrinsically conductive polymer, polyaniline. A process recipe for indirect patterning of thin film polyaniline has been developed. Using a specially designed chip, the polyaniline thin films have been characterised with respect to resistivity...

  20. The Effect of Cu:Ag Atomic Ratio on the Properties of Sputtered Cu–Ag Alloy Thin Films

    Directory of Open Access Journals (Sweden)

    Janghsing Hsieh

    2016-11-01

    Full Text Available Cu–Ag thin films with various atomic ratios were prepared using a co-sputtering technique, followed by rapid thermal annealing at various temperatures. The films’ structural, mechanical, and electrical properties were then characterized using X-ray diffractometry (XRD, atomic force microscopy (AFM, FESEM, nano-indentation, and TEM as functions of compositions and annealing conditions. In the as-deposited condition, the structure of these films transformed from a one-phase to a dual-phase state, and the resistivity shows a twin-peak pattern, which can be explained in part by Nordheim’s Rule and the miscibility gap of Cu–Ag alloy. After being annealed, the films’ resistivity followed the mixture rule in general, mainly due to the formation of a dual-phase structure containing Ag-rich and Cu-rich phases. The surface morphology and structure also varied as compositions and annealing conditions changed. The recrystallization of these films varied depending on Ag–Cu compositions. The annealed films composed of 40 at % to 60 at % Cu had higher hardness and lower roughness than those with other compositions. Particularly, the Cu50Ag50 film had the highest hardness after being annealed. From the dissolution testing, it was found that the Cu-ion concentration was about 40 times higher than that of Ag. The galvanic effect and over-saturated state could be the cause of the accelerated Cu dissolution and the reduced dissolution of the Ag.

  1. Polypyrrole Porous Micro Humidity Sensor Integrated with a Ring Oscillator Circuit on Chip

    Science.gov (United States)

    Yang, Ming-Zhi; Dai, Ching-Liang; Lu, De-Hao

    2010-01-01

    This study presents the design and fabrication of a capacitive micro humidity sensor integrated with a five-stage ring oscillator circuit on chip using the complimentary metal oxide semiconductor (CMOS) process. The area of the humidity sensor chip is about 1 mm2. The humidity sensor consists of a sensing capacitor and a sensing film. The sensing capacitor is constructed from spiral interdigital electrodes that can enhance the sensitivity of the sensor. The sensing film of the sensor is polypyrrole, which is prepared by the chemical polymerization method, and the film has a porous structure. The sensor needs a post-CMOS process to coat the sensing film. The post-CMOS process uses a wet etching to etch the sacrificial layers, and then the polypyrrole is coated on the sensing capacitor. The sensor generates a change in capacitance when the sensing film absorbs or desorbs vapor. The ring oscillator circuit converts the capacitance variation of the sensor into the oscillation frequency output. Experimental results show that the sensitivity of the humidity sensor is about 99 kHz/%RH at 25 °C. PMID:22163459

  2. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  3. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  4. Formation and characterization of thin films from phthalocyanine complexes: An electrosynthesis study using the atomic-force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez Vergara, M.E. [Departamento de Ingenieria Mecatronica, Escuela de Ingenieria, Universidad Anahuac del Norte, Avenida Lomas de la Anahuac s/n, Col. Lomas Anahuac, 52786, Huixquilucan (Mexico)]. E-mail: elena.sanchez@anahuac.mx; Islas Bernal, I.F. [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, Circuito Exterior, Ciudad Universitaria, 04510, Mexico D.F. (Mexico); Rivera, M. [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, Circuito Exterior, Ciudad Universitaria, 04510, Mexico D.F. (Mexico); Ortiz Rebollo, A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, A.P. 70-360, Coyoacan, 04510, Mexico, D.F. (Mexico); Alvarez Bada, J.R. [Instituto Tecnologico y de Estudios Superiores de Monterrey, Campus Ciudad de Mexico, Calle del Puente 222, Col. Ejidos de Huipulco, 14380, Mexico D.F. (Mexico)

    2007-05-07

    ({mu}-Cyano)(phthalocyaninato)metal(III) [PcMCN]{sub n} species with a central transition metal ion, such as Fe(III) and Co(III), were used to prepare molecular films on a highly oriented pyrolytic graphite electrode substrate by using the cyclic voltammetry technique. In order to investigate the influence of the ligand on the film properties, 1,8-dihydroxyanthraquinone and 2,6-dihydroxyanthraquinone as bivalent ligands were employed. The structure of the molecular materials was analyzed by infrared spectroscopy. The in situ film formation, texture, composition and conductivity of each film were further investigated using atomic force microscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy and the four-probe technique, respectively. The [PcMCN]{sub n} complexes provided conductive films with an electrical conductivity of 1 x 10{sup -6} {omega}{sup -1} cm{sup -1} at 298 K.

  5. Photon up-converting (Yb,Er){sub 2}O{sub 3} thin films by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, Minnea [Department of Chemistry, University of Turku (Finland); Doctoral Programme in Physical and Chemical Sciences, University of Turku Graduate School (UTUGS), Turku (Finland); Giedraityte, Zivile; Karppinen, Maarit [Department of Chemistry and Materials Science, School of Chemical Engineering, Aalto University (Finland); Lastusaari, Mika [Department of Chemistry, University of Turku (Finland); Turku University Centre for Materials and Surfaces (MatSurf), Turku (Finland)

    2017-06-15

    We report up-converting (Yb,Er){sub 2}O{sub 3} thin films grown with the atomic layer deposition (ALD) technique. The films are crystalline and show a homogeneous morphology with a roughness less than 1 nm for 40 nm thick films. High-intensity near-infrared (NIR) to green and red two-photon up-conversion emission is obtained with 974 nm excitation through an absorption by Yb{sup 3+}, followed by a Yb{sup 3+}-Er{sup 3+} energy transfer and emission from Er{sup 3+}. The ALD technique promises to be excellent for producing up-converting films for many applications such as near-infrared radiation absorbing layers for solar cells and sensors in point-of-care biomedical diagnostics. Schematic picture of the ALD-grown (Yb,Er){sub 2}O{sub 3} thin film including the up-conversion emission spectra. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. A novel double-layer molecularly imprinted polymer film based surface plasmon resonance for determination of testosterone in aqueous media

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Yuan; Jing, Lijing; Ding, Yonghong; Wei, Tianxin, E-mail: txwei@bit.edu.cn

    2015-07-01

    Highlights: • The in-situ photo-grafting polymerization method was used to prepare the polymer film. • The synthesized MIF was layer stucture film. • The MIF exhibited good imprinting effect and highly selectivity. - Abstract: This work aimed to prepare a novel double-layer structure molecularly imprinted polymer film (MIF) on the surface plasmon resonance (SPR) sensor chips for detection of testosterone in aqueous media. The film was synthesized by in-situ UV photo polymerization. Firstly, the modification of gold surface of SPR chip was performed by 1-dodecanethiol. Then double-layer MIF was generated on the 1-dodecanethiol modified gold surface. The non-modified and imprinted surfaces were characterized by atomic force microscopy (AFM), fourier transform infrared (FTIR) spectroscopy and contact angle measurements. Analysis of SPR spectroscopy showed that the imprinted sensing film displayed good selectivity for testosterone compared to other analogues and the non-imprinted polymer film (NIF). Within the concentrations range of 1 × 10{sup −12}–1 × 10{sup −8} mol/L, the coupling angle changes of SPR were linear with the negative logarithm of testosterone concentrations (R{sup 2} = 0.993). Based on a signal/noise ratio of three, the detection limit was estimated to be 10{sup −12} mol/L. Finally, the developed MIF was successfully applied to the seawater detection of testosterone. The results in the experiments suggested that a combination of SPR sensing with MIF was a promising alternative method for detection of testosterone in aqueous media.

  7. Atom beam sputtered Ag-TiO{sub 2} plasmonic nanocomposite thin films for photocatalytic applications

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Jaspal; Sahu, Kavita [School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, Dwarka, NewDelhi 110078 (India); Pandey, A. [Solid State Physics Laboratory, Defence Research and Development Organization, Timarpur, Delhi 110054 (India); Kumar, Mohit [Institute of Physics, Sachivalaya Marg, Bhubaneswar, Odisha 751005 (India); Ghosh, Tapas; Satpati, B. [Saha Institute of Nuclear Physics, HBNI, 1/AF, Bidhannagar, Kolkata 700064 (India); Som, T.; Varma, S. [Institute of Physics, Sachivalaya Marg, Bhubaneswar, Odisha 751005 (India); Avasthi, D.K. [Amity Institute of Nanotechnology, Noida 201313, Uttar Pradesh (India); Mohapatra, Satyabrata, E-mail: smiuac@gmail.com [School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, Dwarka, NewDelhi 110078 (India)

    2017-07-31

    The development of nanocomposite coatings with highly enhanced photocatalytic activity is important for photocatalytic purification of water and air. We report on the synthesis of Ag-TiO{sub 2} nanocomposite thin films with highly enhanced photocatalytic activity by atom beam co-sputtering technique. The effects of Ag concentration on the structural, morphological, optical, plasmonic and photocatalytic properties of the nanocomposite thin films were investigated. UV–visible DRS studies revealed the presence of surface plasmon resonance (SPR) peak characteristic of Ag nanoparticles together with the excitonic absorption peak originating from TiO{sub 2} nanoparticles in the nanocomposites. XRD studies showed that the nanocomposite thin films consist of Ag nanoparticles and rutile TiO{sub 2} nanoparticles. The synthesized Ag-TiO{sub 2} nanocomposite thin films with 5 at% Ag were found to exhibit highly enhanced photocatalytic activity for sun light driven photocatalytic degradation of methylene blue in water, indicating their potential application in water purification.

  8. The effect of deposition energy of energetic atoms on the growth and structure of ultrathin amorphous carbon films studied by molecular dynamics simulations

    KAUST Repository

    Wang, N; Komvopoulos, K

    2014-01-01

    The growth and structure of ultrathin amorphous carbon films was investigated by molecular dynamics simulations. The second-generation reactive-empirical-bond-order potential was used to model atomic interactions. Films with different structures

  9. Fabrication and characterization of SPR chips with the modified bovine serum albumin

    Science.gov (United States)

    Chen, Xing; Zhang, Lu-lu; Cui, Da-fu

    2016-03-01

    A facile surface plasmon resonance (SPR) chip is developed for small molecule determination and analysis. The SPR chip was prepared based on a self assembling principle, in which the modified bovine serum albumin (BSA) was directly self-assembled onto the bare gold surface. The surface morphology of the chip with the modified BSA was investigated by atomic force microscopy (AFM) and its optical properties were characterized. The surface binding capacity of the bare facile SPR chip with a uniform morphology is 8 times of that of the bare control SPR chip. Based on the experiments of immune reaction between cortisol antibody and cortisol derivative, the sensitivity of the facile SPR chip with the modified BSA is much higher than that of the control SPR chip with the un-modified BSA. The facile SPR chip has been successfully used to detect small molecules. The lowest detection limit is 5 ng/mL with a linear range of 5—100 ng/mL for cortisol analysis. The novel facile SPR chip can also be applied to detect other small molecules.

  10. Adhesion strength of a living cell to various substrates measured using a cup-attached atomic force microscopy chip

    Science.gov (United States)

    Kim, Hyonchol; Ishibashi, Kenta; Matsuo, Kosuke; Kira, Atsushi; Onomura, Yui; Okada, Tomoko; Nakamura, Chikashi

    2018-03-01

    Cell adhesion strengths to various substrates were quantitatively measured using atomic force microscopy (AFM). A cup-shaped metal hemisphere was attached to the apex of the AFM cantilever, the “cup-chip” approached a cell (FP10SC2) to pick it up, the captured cell approached any one of six different substrates [gold (Au), nickel (Ni), bovine serum albumin (BSA), an amino group (NH2), poly(tetrafluoroethylene) (PTFE), and structured PTFE (sPTFE)], and the cell adhesion strength at the initial contact period was evaluated by detaching the cell from the substrate. The results obtained showed that the force needed to detach the cell from the NH2 substrate was more than 3-fold larger than that of metal substrates (Au and Ni), more than 15-fold larger than that of biochemically treated substrates (BSA), and more than 20-fold larger than that of hydrophobic substrates (PTFE and sPTFE). Using differences in adhesion strengths, a cell on a sPTFE substrate was picked up using a BSA-coated cup-chip, placed on a NH2 substrate, repeating this cell manipulation five times, and line patterning of cells was achieved. These results indicate that measurements of cell adhesion strength are fundamental to fabricate desired cell networks and the cup-chip is a useful tool for achieving easy cell manipulation.

  11. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  12. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  13. Water-induced morphology changes in an ultrathin silver film studied by ultraviolet-visible, surface-enhanced Raman scattering spectroscopy and atomic force microscopy

    International Nuclear Information System (INIS)

    Li Xiaoling; Xu Weiqing; Jia Huiying; Wang Xu; Zhao Bing; Li Bofu; Ozaki, Yukihiro

    2005-01-01

    Water-induced changes in the morphology and optical properties of an ultrathin Ag film (3 nm thickness) have been studied by use of ultraviolet-visible (UV-Vis) spectroscopy, atomic force microscopy (AFM) and surface-enhanced Raman scattering (SERS) spectroscopy. A confocal micrograph shows that infinite regular Ag rings with almost uniform size (4 μm) emerge on the film surface after the ultrathin Ag film was immersed into water. The AFM measurement further confirms that the Ag rings consist of some metal holes with pillared edges. The UV-Vis spectrum shows that an absorption band at 486 nm of the Ag film after the immersion in water (I-Ag film) blue shifts by 66 nm with a significant decrease in absorbance, which is attributed to the macroscopic loss of some Ag atoms and the change in the morphology of the Ag film. The polarized UV-Vis spectra show that a band at 421 nm due to the normal component of the plasmon oscillation blue shifts after immersing the ultrathin Ag film into water. This band is found to be strongly angle-dependent for p-polarized light, indicating that the optical properties of the ultrathin Ag film are changed. The I-Ag film is SERS-active, and the SERS enhancement depends on different active sites on the film surface. Furthermore, it seems that the orientation of an adsorbate is related to the morphology of the I-Ag film

  14. Gold atoms and clusters on MgO(100) films; an EPR and IRAS study

    Science.gov (United States)

    Yulikov, M.; Sterrer, M.; Risse, T.; Freund, H.-J.

    2009-06-01

    Single gold atoms deposited on single crystalline MgO(1 0 0) films grown on Mo(1 0 0) are characterized by electron paramagnetic resonance spectroscopy as well as IR spectroscopy using CO as probe molecules. In this article we describe the first angular dependent measurements to determine the principal hyperfine components of a secondary hyperfine interaction, namely, with 17O of the MgO. The values determined here are in perfect agreement with theoretical expectations and corroborate the previously reported binding mechanism of Au atoms on the oxygen anions of the MgO terrace. The temperature dependent EPR data reveal an onset of Au atom mobility at about 80 K while the formation of Au particles occurs only above 125 K. By an analysis of the EPR line width in combination with STM measurements it is possible to deduce an increase of the interatomic distance above 80 K. The Au/CO complexes show a somewhat smaller temperature stability as compared to the Au atoms. The observed thermal stability is in perfect agreement with theoretical predictions for CO desorption.

  15. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  16. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  17. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    Science.gov (United States)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  18. Influences of different oxidants on the characteristics of HfAlOx films deposited by atomic layer deposition

    International Nuclear Information System (INIS)

    Fan Ji-Bin; Liu Hong-Xia; Ma Fei; Zhuo Qing-Qing; Hao Yue

    2013-01-01

    A comparative study of two kinds of oxidants (H 2 O and O 3 ) with the combinations of two metal precursors [trimethylaluminum (TMA) and tetrakis(ethylmethylamino) hafnium (TEMAH)] for atomic layer deposition (ALD) hafnium aluminum oxide (HfAlO x ) films is carried out. The effects of different oxidants on the physical properties and electrical characteristics of HfAlO x films are studied. The preliminary testing results indicate that the impurity level of HfAlO x films grown with both H 2 O and O 3 used as oxidants can be well controlled, which has significant effects on the dielectric constant, valence band, electrical properties, and stability of HfAlO x film. Additional thermal annealing effects on the properties of HfAlO x films grown with different oxidants are also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Estimating the thickness of hydrated ultrathin poly(o-phenylenediamine) film by atomic force microscopy

    International Nuclear Information System (INIS)

    Wu, C.-C.; Chang, H.-C.

    2004-01-01

    A novel method to measure ultrathin poly(o-phenylenediamine) (PPD) film electropolymerized on gold electrode in liquid was developed. It is based on the force versus distance curve (force curve) of atomic force microscopy (AFM). When 1-0.25 μm/s was chosen as the rising rate of the scanner, and 50% of the confidence interval (CI) as the qualifying threshold value, the thickness of the hydrated polymer film could be calculated. This result was compared with one obtained from an AFM image. A step-like electrode fabricated by a photolithographic process was used. The height difference of the electrode before and after the PPD coating was imaged in liquid, and then the real thickness, 19.6±5.2 nm, was obtained. The sample was also measured by estimating the transition range of the force curve of hydrated PPD film, and the thickness of the hydrated PPD film was determined to be 19.3±8.2 nm. However, the results calculated by integrating the electropolymerized charge for the oxidation process of o-phenylenediamine (o-PD) was only one-third as large as it was when using the two previously described methods. This indicated that the structure of hydrated PPD film might have been swollen

  20. A „Hybrid“ Thin-Film pH Sensor with Integrated Thick-Film Reference

    OpenAIRE

    Simonis, Anette; Krings, Thomas; Lüth, Hans; Wang, Joseph; Schöning, Michael J.

    2001-01-01

    A reference electrode fabricated by means of thick-film technique is deposited onto a silicon substrate and combined with a thin-film pH sensor to a “hybrid†chip system. To evaluate the suitability of this combination, first investigations were carried out. The characteristics of the thin-film pH sensor were studied towards the thick-film Ag/AgCl reference electrode. Measurements were performed in the capacitance/voltage (C/V) and constant capacitance (Concap) mode for different pH ...

  1. Characterization of gold nanoparticle films: Rutherford backscattering spectroscopy, scanning electron microscopy with image analysis, and atomic force microscopy

    Directory of Open Access Journals (Sweden)

    Pia C. Lansåker

    2014-10-01

    Full Text Available Gold nanoparticle films are of interest in several branches of science and technology, and accurate sample characterization is needed but technically demanding. We prepared such films by DC magnetron sputtering and recorded their mass thickness by Rutherford backscattering spectroscopy. The geometric thickness dg—from the substrate to the tops of the nanoparticles—was obtained by scanning electron microscopy (SEM combined with image analysis as well as by atomic force microscopy (AFM. The various techniques yielded an internally consistent characterization of the films. In particular, very similar results for dg were obtained by SEM with image analysis and by AFM.

  2. Electronic structure of thin films by the self-consistent numerical-basis-set linear combination of atomic orbitals method: Ni(001)

    International Nuclear Information System (INIS)

    Wang, C.S.; Freeman, A.J.

    1979-01-01

    We present the self-consistent numerical-basis-set linear combination of atomic orbitals (LCAO) discrete variational method for treating the electronic structure of thin films. As in the case of bulk solids, this method provides for thin films accurate solutions of the one-particle local density equations with a non-muffin-tin potential. Hamiltonian and overlap matrix elements are evaluated accurately by means of a three-dimensional numerical Diophantine integration scheme. Application of this method is made to the self-consistent solution of one-, three-, and five-layer Ni(001) unsupported films. The LCAO Bloch basis set consists of valence orbitals (3d, 4s, and 4p states for transition metals) orthogonalized to the frozen-core wave functions. The self-consistent potential is obtained iteratively within the superposition of overlapping spherical atomic charge density model with the atomic configurations treated as adjustable parameters. Thus the crystal Coulomb potential is constructed as a superposition of overlapping spherically symmetric atomic potentials and, correspondingly, the local density Kohn-Sham (α = 2/3) potential is determined from a superposition of atomic charge densities. At each iteration in the self-consistency procedure, the crystal charge density is evaluated using a sampling of 15 independent k points in (1/8)th of the irreducible two-dimensional Brillouin zone. The total density of states (DOS) and projected local DOS (by layer plane) are calculated using an analytic linear energy triangle method (presented as an Appendix) generalized from the tetrahedron scheme for bulk systems. Distinct differences are obtained between the surface and central plane local DOS. The central plane DOS is found to converge rapidly to the DOS of bulk paramagnetic Ni obtained by Wang and Callaway. Only a very small surplus charge (0.03 electron/atom) is found on the surface planes, in agreement with jellium model calculations

  3. Reducing the Edge Chipping for Capillary End Face Grinding and Polishing

    Directory of Open Access Journals (Sweden)

    Hošek J.

    2013-05-01

    Full Text Available This paper presents results of glass capillary end face grinding and polishing by approach that reduces the edge chipping. Brittle materials have natural tendency for edge chipping what leads to beveling the sharp edges. Not beveled sharp edges on glass capillary are important for special applications like surface tension measurement of small liquid samples. We use common grinding and polishing process for capillary end face machining modified with gradual decreasing of grinding load based on the relation of the critical chipping load. Achieved surface roughness is measured using atomic force microscopy (AFM. Capillary inner edge quality is checked both with optical microscopes and electron microscope too. We achieved a non-chipped capillary inner edge with radius down to 100 nm.

  4. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  5. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming [Department of Electro-Optical Engineering, Southern Taiwan University of Science and Technology, Tainan 71005, Taiwan (China)

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, and smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.

  6. Morphology and current-voltage characteristics of nanostructured pentacene thin films probed by atomic force microscopy.

    Science.gov (United States)

    Zorba, S; Le, Q T; Watkins, N J; Yan, L; Gao, Y

    2001-09-01

    Atomic force microscopy was used to study the growth modes (on SiO2, MoS2, and Au substrates) and the current-voltage (I-V) characteristics of organic semiconductor pentacene. Pentacene films grow on SiO2 substrate in a layer-by-layer manner with full coverage at an average thickness of 20 A and have the highest degree of molecular ordering with large dendritic grains among the pentacene films deposited on the three different substrates. Films grown on MoS2 substrate reveal two different growth modes, snowflake-like growth and granular growth, both of which seem to compete with each other. On the other hand, films deposited on Au substrate show granular structure for thinner coverages (no crystal structure) and dendritic growth for higher coverages (crystal structure). I-V measurements were performed with a platinum tip on a pentacene film deposited on a Au substrate. The I-V curves on pentacene film reveal symmetric tunneling type character. The field dependence of the current indicates that the main transport mechanism at high field intensities is hopping (Poole-Frenkel effect). From these measurements, we have estimated a field lowering coefficient of 9.77 x 10(-6) V-1/2 m1/2 and an ideality factor of 18 for pentacene.

  7. Interface doping of conjugated organic films by means of diffusion of atomic components from the surfaces of semiconductors and of metal oxides.

    Science.gov (United States)

    Komolov, A S; Akhremtchik, S N; Lazneva, E F

    2011-08-15

    The paper reports the results on the interface formation of 5-10 nm thick conjugated layers of Cu-phthalocyanine (CuPc) with a number of solid surfaces: polycrystalline Au, (SiO(2))n-Si, ZnO(0 0 0 1), Si(1 0 0), Ge(1 1 1), CdS(0 0 0 1) and GaAs(1 0 0). The results were obtained using Auger electron spectroscopy (AES) and low-energy target current electron spectroscopy (TCS). The organic overlayers were thermally deposited in situ in UHV onto substrate surfaces. The island-like organic deposits were excluded from the analysis so that only uniform organic deposits were considered. In the cases of polycrystalline Au, Si(1 0 0) and Ge(1 1 1) substrates the AES peaks of the substrate material attenuated down to the zero noise level upon the increase of the CuPc film thickness of 8-10 nm. The peaks corresponding to oxygen atoms in the case of SiO(2) substrate, and to atoms from the ZnO, GaAs and CdS substrates were clearly registered in the AES spectra of the 8-10 nm thick CuPc deposits. The relative concentration of the substrate atomic components diffused into the film was different from their relative concentration at the pure substrate surface. The concentration of the substrate dopant atoms in the CuPc film was estimated as one atom per one CuPc molecule. Using the target current electron spectroscopy, it was shown that the substrate atoms admixed in the CuPc film account for the appearance of a new peak in the density of unoccupied electronic states. Formation of intermediate TCS spectra until the CuPc deposit reaches 2-3 nm was observed in the cases of GaAs(1 0 0), ZnO(0 0 0 1), Ge(1 1 1) surfaces. The intermediate spectra show a less pronounced peak structure different from the one typical for the CuPc films. It was suggested that the intermediate layer was formed by the CuPc molecules fully or partially decomposed due to the interaction with the relatively reactive semiconductor surfaces. Copyright © 2010 Elsevier B.V. All rights reserved.

  8. Fabrication Localized Surface Plasmon Resonance sensor chip of gold nanoparticles and detection lipase–osmolytes interaction

    Energy Technology Data Exchange (ETDEWEB)

    Ghodselahi, T., E-mail: t_ghodselahi@yahoo.com [Nano Mabna Iranian Inc., PO Box 1676664116, Tehran (Iran, Islamic Republic of); School of Physics, Institute for Research in Fundamental Sciences, PO Box 19395-5531, Tehran (Iran, Islamic Republic of); Hoornam, S. [Nano Mabna Iranian Inc., PO Box 1676664116, Tehran (Iran, Islamic Republic of); School of Physics, Institute for Research in Fundamental Sciences, PO Box 19395-5531, Tehran (Iran, Islamic Republic of); Department of Science, Central Tehran Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Vesaghi, M.A. [Department of Physics, Sharif University of Technology, PO Box 11365-9161, Tehran (Iran, Islamic Republic of); Ranjbar, B.; Azizi, A. [Department of Biophysics, Tarbiat Modares University, Tehran (Iran, Islamic Republic of); Mobasheri, H. [Laboratory of Membrane Biophysics, Institute of Biochemistry and Biophysics, University of Tehran, PO Box 13145-1384, Tehran (Iran, Islamic Republic of); Biomaterials Research Institute (BRC), University of Tehran, Tehran (Iran, Islamic Republic of)

    2014-09-30

    Highlights: • We synthesized localized surface plasmon resonance sensor of gold nanoparticles by RF-sputtering and RF-PECVD. • LSPR sensor was characterized by TEM, XPS, AFM. • LSPR sensor was utilized to detect interaction between sorbitol and trehalose, with Pesudomonace Cepacia Lipase (PCL). • Unlike to trehalose, sorbitol interacts with the PCL. • Refractive index of PCL was obtained by Mie theory modeling. - Abstract: Co-deposition of RF-sputtering and RF-PECVD from acetylene gas and Au target were used to prepare sensor chip of gold nanoparticles (Au NPs). Deposition conditions were optimized to reach a Localized Surface Plasmon Resonance (LSPR) sensor chip of Au NPs with particle size less than 10 nm. The RF power was set at 180 W and the initial gas pressure was set at 0.035 mbar. Transmission Electron Microscopy (TEM) images and Atomic Force Microscopy (AFM) data were used to investigate particles size and surface morphology of LSPR sensor chip. The Au and C content of the LSPR sensor chip of Au NPs was obtained from X-ray photoelectron spectroscopy (XPS). The hydrogenated amorphous carbon (a-C:H) thin film was used as intermediate material to immobilize Au NPs on the SiO{sub 2} substrate. The interaction between two types of osmolytes, i.e. sorbitol and trehalose, with Pseudomonas cepacia lipase (PCL) were detected by the prepared LSPR biosensor chip. The detection mechanism is based on LSPR spectroscopy in which the wavelength of absorption peak is sensitive to the refractive index of the environment of the Au NPs. This mechanism eliminates the use of a probe or immobilization of PCL on the Au NPs of LSPR sensor chip. The interaction between PCL and osmolytes can change refractive index of the mixture or solution. We found that unlike to trehalose, sorbitol interacts with the PCL. This interaction increases refractive index of the PCL and sorbitol mixture. Refractive index of PCL in the presence of different concentration of sorbitol was

  9. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    International Nuclear Information System (INIS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G.; Mankad, Ravin; Haight, Richard; Gunawan, Oki; Mitzi, David B.

    2014-01-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10 19 to 10 20 cm −3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10 19 to 10 14 cm −3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications

  10. Local photoconductivity of microcrystalline silicon thin films measured by conductive atomic force microscopy

    Czech Academy of Sciences Publication Activity Database

    Ledinský, Martin; Fejfar, Antonín; Vetushka, Aliaksi; Stuchlík, Jiří; Rezek, Bohuslav; Kočka, Jan

    2011-01-01

    Roč. 5, 10-11 (2011), s. 373-375 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA MŠk(CZ) MEB061012; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521 Keywords : amorphous silicon * nanocrystalline silicon * thin films * atomic force microscopy * photoconductivity Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.218, year: 2011

  11. Nitrogen doping in atomic layer deposition grown titanium dioxide films by using ammonium hydroxide

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, M.-L., E-mail: marja-leena.kaariainen@lut.fi; Cameron, D.C.

    2012-12-30

    Titanium dioxide films have been created by atomic layer deposition using titanium chloride as the metal source and a solution of ammonium hydroxide in water as oxidant. Ammonium hydroxide has been used as a source of nitrogen for doping and three thickness series have been deposited at 350 Degree-Sign C. A 15 nm anatase dominated film was found to possess the highest photocatalytic activity in all film series. Furthermore almost three times better photocatalytic activity was discovered in the doped series compared to undoped films. The doped films also had lower resistivity. The results from X-ray photoemission spectroscopy showed evidence for interstitial nitrogen in the titanium dioxide structure. Besides, there was a minor red shift observable in the thickest samples. In addition the film conductivity was discovered to increase with the feeding pressure of ammonium hydroxide in the oxidant precursor. This may indicate that nitrogen doping has caused the decrease in the resistivity and therefore has an impact as an enhanced photocatalytic activity. The hot probe test showed that all the anatase or anatase dominant films were p-type and all the rutile dominant films were n-type. The best photocatalytic activity was shown by anatase-dominant films containing a small amount of rutile. It may be that p-n-junctions are formed between p-type anatase and n-type rutile which cause carrier separation and slow down the recombination rate. The combination of nitrogen doping and p-n junction formation results in superior photocatalytic performance. - Highlights: Black-Right-Pointing-Pointer We found all N-doped and undoped anatase dominating films p-type. Black-Right-Pointing-Pointer We found all N-doped and undoped rutile dominating films n-type. Black-Right-Pointing-Pointer We propose that p-n junctions are formed in anatase-rutile mixture films. Black-Right-Pointing-Pointer We found that low level N-doping has increased TiO{sub 2} conductivity. Black

  12. Polypyrrole Porous Micro Humidity Sensor Integrated with a Ring Oscillator Circuit on Chip

    Directory of Open Access Journals (Sweden)

    De-Hao Lu

    2010-11-01

    Full Text Available This study presents the design and fabrication of a capacitive micro humidity sensor integrated with a five-stage ring oscillator circuit on chip using the complimentary metal oxide semiconductor (CMOS process. The area of the humidity sensor chip is about 1 mm2. The humidity sensor consists of a sensing capacitor and a sensing film. The sensing capacitor is constructed from spiral interdigital electrodes that can enhance the sensitivity of the sensor. The sensing film of the sensor is polypyrrole, which is prepared by the chemical polymerization method, and the film has a porous structure. The sensor needs a post-CMOS process to coat the sensing film. The post-CMOS process uses a wet etching to etch the sacrificial layers, and then the polypyrrole is coated on the sensing capacitor. The sensor generates a change in capacitance when the sensing film absorbs or desorbs vapor. The ring oscillator circuit converts the capacitance variation of the sensor into the oscillation frequency output. Experimental results show that the sensitivity of the humidity sensor is about 99 kHz/%RH at 25 °C.

  13. Single-atom detection on a chip: from realization to application

    Energy Technology Data Exchange (ETDEWEB)

    Stibor, A; Bender, H; Kuehnhold, S; Fortagh, J; Zimmermann, C; Guenther, A, E-mail: aguenth@pit.physik.uni-tuebingen.d [CQ Center for Collective Quantum Phenomena and their Applications, Eberhard-Karls-Universitaet Tuebingen, Auf der Morgenstelle 14, D-72076 Tuebingen (Germany)

    2010-06-15

    In this paper, we describe the preparation and detection of ultracold atoms on a microchip with single-atom sensitivity. The detection scheme is based on multi-photon ionization of atoms and the subsequent guiding of the generated ions by ion optics to a channel electron multiplier. We resolve single atoms with a detection efficiency above 60%. The detector is suitable for real-time observations of static and dynamic processes in ultracold quantum gases. Although the ionization is destructive, sampling a small subset of the atomic distribution is sufficient for the determination of the desired information. We take full high-resolution spectra of ultracold atoms by ionizing only 5% of the atoms. Using an additional microwave near 6.8 GHz, the detection scheme becomes energy, position and state selective. This can be used for in situ determination of the energy distribution and temperature of atom clouds inside the trap and applied for future correlation measurements.

  14. Highly transparent and thermal-stable silver nanowire conductive film covered with ZnMgO by atomic-layer-deposition

    Science.gov (United States)

    Wang, Lei; Huang, Dongchen; Li, Min; Xu, Hua; Zou, Jianhua; Tao, Hong; Peng, Junbiao; Xu, Miao

    2017-12-01

    Solution-processed silver nanowires (AgNWs) have been considered as a promising material for next generation flexible transparent conductive electrodes. However AgNWs films have several intrinsic drawbacks, such as thermal stability and storage stability. Herein, we demonstrate a laminated ZnO/MgO (ZnMgO, ZMO) as a protective layer on the AgNWs films using atomic layer deposition (ALD). The fabricated films exhibited a low sheet resistance of 16 Ω/sq with high transmittance of 91% at 550 nm, an excellent thermal stability and bending property. The ZMO film grows perpendicularly on the surface of the AgNWs, making a perfect coverage of bulk silver nanowires and junction, which can effectively prompt the electrical transport behavior and enhance stability of the silver nanowires network.

  15. Structural and electrical evolution of He ion irradiated hydrocarbon films observed by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Fan, Hongyu; Yang, Deming; Sun, Li; Yang, Qi; Niu, Jinhai; Bi, Zhenhua; Liu, Dongping

    2013-01-01

    Polymer-like hydrocarbon films are irradiated with 100 keV He ion at the fluences of 1.0 × 10 15 –1.0 × 10 17 ions/cm 2 or at the irradiation temperature ranging from 25 to 600 °C. Conductive atomic force microscopy (CAFM) has been used to evaluate the nanoscale electron conducting properties of these irradiated hydrocarbon films. Nanoscale and conducting defects have been formed in the hydrocarbon films irradiated at a relatively high ion fluence (1.0 × 10 17 ions/cm 2 ) or an elevated sample temperature. Analysis indicates that He ion irradiation results in the evolution of polymer-like hydrocarbon into a dense structure containing a large fraction of sp 2 carbon clusters. The sp 2 carbon clusters formed in irradiated hydrocarbon films can contribute to the formation of filament-like conducting channels with a relatively high local field-enhancing factor. Measurements indicate that the growth of nanoscale defects due to He ion irradiation can result in the surface swelling of irradiated hydrocarbon films at a relatively high ion fluences or elevated temperature

  16. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  17. Structural and optical properties of amorphous oxygenated iron boron nitride thin films produced by reactive co-sputtering

    International Nuclear Information System (INIS)

    Essafti, A.; Abouelaoualim, A.; Fierro, J.L.G.; Ech-chamikh, E.

    2009-01-01

    Amorphous oxygenated iron boron nitride (a-FeBN:O) thin films were prepared by reactive radio-frequency (RF) sputtering, from hexagonal boron nitride chips placed on iron target, under a total pressure of a gas mixture of argon and oxygen maintained at 1 Pa. The films were deposited onto silicon and glass substrates, at room temperature. The power of the generator RF was varied from 150 to 350 W. The chemical and structural analyses were investigated using X-ray photoelectron spectroscopy (XPS), energy dispersive of X-ray and X-ray reflectometry (XRR). The optical properties of the films were obtained from the optical transmittance and reflectance measurements in the ultraviolet-visible-near infrared wavelengths range. XPS reveals the presence of boron, nitrogen, iron and oxygen atoms and also the formation of different chemical bonds such as Fe-O, B-N, B-O and the ternary BNO phase. This latter phase is predominant in the deposited films as observed in the B 1s and N 1s core level spectra. As the RF power increases, the contribution of N-B bonds in the as-deposited films decreases. The XRR results show that the mass density of a-FeBN:O thin films increases from 2.6 to 4.12 g/cm 3 with increasing the RF power from 150 to 350 W. This behavior is more important for films deposited at RF power higher than 150 W, and has been associated with the enhancement of iron atoms in the film structure. The optical band gap decreases from 3.74 to 3.12 eV with increasing the RF power from 150 to 350 W.

  18. Detecting a single molecule using a micropore-nanopore hybrid chip.

    Science.gov (United States)

    Liu, Lei; Zhu, Lizhong; Ni, Zhonghua; Chen, Yunfei

    2013-11-21

    Nanopore-based DNA sequencing and biomolecule sensing have attracted more and more attention. In this work, novel sensing devices were built on the basis of the chips containing nanopore arrays in polycarbonate (PC) membranes and micropores in Si3N4 films. Using the integrated chips, the transmembrane ionic current induced by biomolecule's translocation was recorded and analyzed, which suggested that the detected current did not change linearly as commonly expected with increasing biomolecule concentration. On the other hand, detailed translocation information (such as translocation gesture) was also extracted from the discrete current blockages in basic current curves. These results indicated that the nanofluidic device based on the chips integrated by micropores and nanopores possessed comparative potentials in biomolecule sensing.

  19. Wavy channel Thin Film Transistor for area efficient, high performance and low power applications

    KAUST Repository

    Hanna, Amir

    2014-06-01

    We report a new Thin Film Transistor (TFT) architecture that allows expansion of the device width using wavy (continuous without separation) fin features - termed as wavy channel (WC) architecture. This architecture allows expansion of transistor width in a direction perpendicular to the substrate, thus not consuming extra chip area, achieving area efficiency. The devices have shown for a 13% increase in the device width resulting in a maximum 2.4x increase in \\'ON\\' current value of the WCTFT, when compared to planar devices consuming the same chip area, while using atomic layer deposition based zinc oxide (ZnO) as the channel material. The WCTFT devices also maintain similar \\'OFF\\' current value, similar to 100 pA, when compared to planar devices, thus not compromising on power consumption for performance which usually happens with larger width devices. This work offers a pragmatic opportunity to use WCTFTs as backplane circuitry for large-area high-resolution display applications without any limitation any TFT materials.

  20. Atomization process for metal powder

    International Nuclear Information System (INIS)

    Lagutkin, Stanislav; Achelis, Lydia; Sheikhaliev, Sheikhali; Uhlenwinkel, Volker; Srivastava, Vikas

    2004-01-01

    A new atomization process has been developed, which combines pressure and gas atomization. The melt leaves the pressure nozzle as a hollow thin film cone. After the pre-filming step, the melt is atomized by a gas stream delivered by a ring nozzle. The objectives of this investigation are to achieve a narrow size distribution and low specific gas consumption compared to conventional gas atomization techniques. Both lead to a higher efficiency and low costs. Tin and some alloys have been atomized successfully with this technique. The mass median diameters from different experiments are between 20 and 100 μm. Sieving analysis of the tin powder shows close particle size distributions

  1. Exploring electronic structure of one-atom thick polycrystalline graphene films: A nano angle resolved photoemission study

    Science.gov (United States)

    Avila, José; Razado, Ivy; Lorcy, Stéphane; Fleurier, Romain; Pichonat, Emmanuelle; Vignaud, Dominique; Wallart, Xavier; Asensio, María C.

    2013-01-01

    The ability to produce large, continuous and defect free films of graphene is presently a major challenge for multiple applications. Even though the scalability of graphene films is closely associated to a manifest polycrystalline character, only a few numbers of experiments have explored so far the electronic structure down to single graphene grains. Here we report a high resolution angle and lateral resolved photoelectron spectroscopy (nano-ARPES) study of one-atom thick graphene films on thin copper foils synthesized by chemical vapor deposition. Our results show the robustness of the Dirac relativistic-like electronic spectrum as a function of the size, shape and orientation of the single-crystal pristine grains in the graphene films investigated. Moreover, by mapping grain by grain the electronic dynamics of this unique Dirac system, we show that the single-grain gap-size is 80% smaller than the multi-grain gap recently reported by classical ARPES. PMID:23942471

  2. Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wenhui; Luo, Jun; Meng, Lingkuan; Li, Junjie; Xiang, Jinjuan; Li, Junfeng; Wang, Wenwu; Chen, Dapeng; Ye, Tianchun; Zhao, Chao

    2016-08-31

    As an emerging developing technique for next-generation lithography, directed self-assembly (DSA) of block copolymer (BCP) has attracted numerous attention and has been a potential alternative to supplement the intrinsic limitations of conventional photolithography. In this work, the self-assembling properties of a lamellar diblock copolymer poly(styrene-b-methylmethacrylate) (PS-b-PMMA, 22k-b-22k, L{sub 0} = 25 nm) on Si substrate and an atomic layer deposition (ALD)-assisted pattern transfer technology for the application of DSA beyond 16/14 nm complementary metal oxide semiconductor (CMOS) technology nodes, were investigated. Firstly, two key processing parameters of DSA, i.e. annealing temperatures and durations of BCP films, were optimized to achieve low defect density and high productivity. After phase separation of BCP films, self-assembling patterns of low defect density should be transferred to the substrate. However, due to the nano-scale thickness and the weak resistance of BCP films to dry etching, it is nearly impossible to transfer the BCP patterns directly to the substrate. Therefore, an ALD-based technology was explored in this work, in which deposited Al{sub 2}O{sub 3} selectively reacts with PMMA blocks thus hardening the PMMA patterns. After removing PS blocks by plasma etching, hardened PMMA patterns were left and transferred to underneath SiO{sub 2} hard mask layer. Using this patterned hard mask, nanowire array of 25 nm pitch were realized on Si substrate. From this work, a high-throughput DSA baseline flow and related ALD-assisted pattern transfer technique were developed and proved to have good capability with the mainstream CMOS technology. - Highlights: • Optimization on self-assembly process for high productivity and low defectivity • Enhancement of etching ratio and resistance by atomic layer deposition (ALD) • A hard mask was used for pattern quality improvement and contamination control.

  3. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  4. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  5. Atomic physics and quantum optics using superconducting circuits.

    Science.gov (United States)

    You, J Q; Nori, Franco

    2011-06-29

    Superconducting circuits based on Josephson junctions exhibit macroscopic quantum coherence and can behave like artificial atoms. Recent technological advances have made it possible to implement atomic-physics and quantum-optics experiments on a chip using these artificial atoms. This Review presents a brief overview of the progress achieved so far in this rapidly advancing field. We not only discuss phenomena analogous to those in atomic physics and quantum optics with natural atoms, but also highlight those not occurring in natural atoms. In addition, we summarize several prospective directions in this emerging interdisciplinary field.

  6. Chips 2020 a guide to the future of nanoelectronics

    CERN Document Server

    2012-01-01

    The chips in present-day cell phones already contain billions of sub-100-nanometer transistors. By 2020, however, we will see systems-on-chips with trillions of 10-nanometer transistors. But this will be the end of the miniaturization, because yet smaller transistors, containing just a few control atoms, are subject to statistical fluctuations and thus no longer useful. We also need to worry about a potential energy crisis, because in less than five years from now, with current chip technology, the internet alone would consume the total global electrical power! This book presents a new, sustainable roadmap towards ultra-low-energy (femto-Joule), high-performance electronics. The focus is on the energy-efficiency of the various chip functions: sensing, processing, and communication, in a top-down spirit involving new architectures such as silicon brains, ultra-low-voltage circuits, energy harvesting, and 3D silicon technologies. Recognized world leaders from industry and from the research community share thei...

  7. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  8. Correlation of film density and wet etch rate in hydrofluoric acid of plasma enhanced atomic layer deposited silicon nitride

    Energy Technology Data Exchange (ETDEWEB)

    Provine, J., E-mail: jprovine@stanford.edu; Schindler, Peter; Kim, Yongmin; Walch, Steve P.; Kim, Hyo Jin [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Kim, Ki-Hyun [Manufacturing Technology Center, Samsung Electronics, Suwon, Gyeonggi-Do (Korea, Republic of); Prinz, Fritz B. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-06-15

    The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiN{sub x}), particularly for use a low k dielectric spacer. One of the key material properties needed for SiN{sub x} films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiN{sub x} and evaluate the film’s WER in 100:1 dilutions of HF in H{sub 2}O. The remote plasma capability available in PEALD, enabled controlling the density of the SiN{sub x} film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiN{sub x} of 6.1 Å/min, which is similar to WER of SiN{sub x} from LPCVD reactions at 850 °C.

  9. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  10. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G., E-mail: gordon@chemistry.harvard.edu [Harvard University, Cambridge, Massachusetts 02138 (United States); Mankad, Ravin; Haight, Richard; Gunawan, Oki [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Mitzi, David B. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Duke University, Durham, North Carolina 27708 (United States)

    2014-11-17

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10{sup 19} to 10{sup 20} cm{sup −3} with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10{sup 19} to 10{sup 14} cm{sup −3} for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  11. On-Chip Evaluation of DNA Methylation with Electrochemical Combined Bisulfite Restriction Analysis Utilizing a Carbon Film Containing a Nanocrystalline Structure.

    Science.gov (United States)

    Kurita, Ryoji; Yanagisawa, Hiroyuki; Kamata, Tomoyuki; Kato, Dai; Niwa, Osamu

    2017-06-06

    This paper reports an on-chip electrochemical assessment of the DNA methylation status in genomic DNA on a conductive nanocarbon film electrode realized with combined bisulfite restriction analysis (COBRA). The film electrode consists of sp 2 and sp 3 hybrid bonds and is fabricated with an unbalanced magnetron (UBM) sputtering method. First, we studied the effect of the sp 2 /sp 3 ratio of the UBM nanocarbon film electrode with p-aminophenol, which is a major electro-active product of the labeling enzyme from p-aminophenol phosphate. The signal current for p-aminophenol increases as the sp 2 content in the UBM nanocarbon film electrode increases because of the π-π interaction between aromatic p-aminophenol and the graphene-like sp 2 structure. Furthermore, the capacitative current at the UBM nanocarbon film electrode was successfully reduced by about 1 order of magnitude thanks to the angstrom-level surface flatness. Therefore, a high signal-to-noise ratio was achieved compared with that of conventional electrodes. Then, after performing an ELISA-like hybridization assay with a restriction enzyme, we undertook an electrochemical evaluation of the cytosine methylation status in DNA by measuring the oxidation current derived from p-aminophenol. When the target cytosine in the analyte sequence is methylated (unmethylated), the restriction enzyme of HpyCH4IV is able (unable) to cleave the sequence, that is, the detection probe cannot (can) hybridize. We succeeded in estimating the methylation ratio at a site-specific CpG site from the peak current of a cyclic voltammogram obtained from a PCR product solution ranging from 0.01 to 1 nM.

  12. Trapped atoms along nanophotonic resonators

    Science.gov (United States)

    Fields, Brian; Kim, May; Chang, Tzu-Han; Hung, Chen-Lung

    2017-04-01

    Many-body systems subject to long-range interactions have remained a very challenging topic experimentally. Ultracold atoms trapped in extreme proximity to the surface of nanophotonic structures provides a dynamic system combining the strong atom-atom interactions mediated by guided mode photons with the exquisite control implemented with trapped atom systems. The hybrid system promises pair-wise tunability of long-range interactions between atomic pseudo spins, allowing studies of quantum magnetism extending far beyond nearest neighbor interactions. In this talk, we will discuss our current status developing high quality nanophotonic ring resonators, engineered on CMOS compatible optical chips with integrated nanostructures that, in combination with a side illuminating beam, can realize stable atom traps approximately 100nm above the surface. We will report on our progress towards loading arrays of cold atoms near the surface of these structures and studying atom-atom interaction mediated by photons with high cooperativity.

  13. Atomically Smooth Epitaxial Ferroelectric Thin Films for the Development of a Nonvolatile, Ultrahigh Density, Fast, Low Voltage, Radiation-Hard Memory

    National Research Council Canada - National Science Library

    Ahn, Charles H

    2006-01-01

    The goal of this research is to fabricate atomically smooth, single crystalline, complex oxide thin film nanostructures for use in a nonvolatile, ultrahigh density, fast, low voltage, radiation-hard memory...

  14. Influence of annealing temperature on passivation performance of thermal atomic layer deposition Al2O3 films

    International Nuclear Information System (INIS)

    Zhang Xiang; Liu Bang-Wu; Li Chao-Bo; Xia Yang; Zhao Yan

    2013-01-01

    Chemical and field-effect passivation of atomic layer deposition (ALD) Al 2 O 3 films are investigated, mainly by corona charging measurement. The interface structure and material properties are characterized by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS), respectively. Passivation performance is improved remarkably by annealing at temperatures of 450 °C and 500 °C, while the improvement is quite weak at 600 °C, which can be attributed to the poor quality of chemical passivation. An increase of fixed negative charge density in the films during annealing can be explained by the Al 2 O 3 /Si interface structural change. The Al—OH groups play an important role in chemical passivation, and the Al—OH concentration in an as-deposited film subsequently determines the passivation quality of that film when it is annealed, to a certain degree. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  15. Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures

    Science.gov (United States)

    Ylilammi, Markku; Ylivaara, Oili M. E.; Puurunen, Riikka L.

    2018-05-01

    The conformality of thin films grown by atomic layer deposition (ALD) is studied using all-silicon test structures with long narrow lateral channels. A diffusion model, developed in this work, is used for studying the propagation of ALD growth in narrow channels. The diffusion model takes into account the gas transportation at low pressures, the dynamic Langmuir adsorption model for the film growth and the effect of channel narrowing due to film growth. The film growth is calculated by solving the diffusion equation with surface reactions. An efficient analytic approximate solution of the diffusion equation is developed for fitting the model to the measured thickness profile. The fitting gives the equilibrium constant of adsorption and the sticking coefficient. This model and Gordon's plug flow model are compared. The simulations predict the experimental measurement results quite well for Al2O3 and TiO2 ALD processes.

  16. Surface functionalization of SPR chip for specific molecular interaction analysis under flow condition

    Directory of Open Access Journals (Sweden)

    Tao Ma

    2017-03-01

    Full Text Available Surface functionalization of sensor chip for probe immobilization is crucial for the biosensing applications of surface plasmon resonance (SPR sensors. In this paper, we report a method circulating the dopamine aqueous solution to coat polydopamine film on sensing surface for surface functionalization of SPR chip. The polydopamine film with available thickness can be easily prepared by controlling the circulation time and the biorecognition elements can be immobilized on the polydopamine film for specific molecular interaction analysis. These operations are all performed under flow condition in the fluidic system, and have the advantages of easy implementation, less time consuming, and low cost, because the reagents and devices used in the operations are routinely applied in most laboratories. In this study, the specific absorption between the protein A probe immobilized on the sensing surface and human immunoglobulin G in the buffer is monitored based on this surface functionalization strategy to demonstrated its feasibility for SPR biosensing applications.

  17. Wavy channel thin film transistor architecture for area efficient, high performance and low power displays

    KAUST Repository

    Hanna, Amir

    2013-12-23

    We demonstrate a new thin film transistor (TFT) architecture that allows expansion of the device width using continuous fin features - termed as wavy channel (WC) architecture. This architecture allows expansion of transistor width in a direction perpendicular to the substrate, thus not consuming extra chip area, achieving area efficiency. The devices have shown for a 13% increase in the device width resulting in a maximum 2.5× increase in \\'ON\\' current value of the WCTFT, when compared to planar devices consuming the same chip area, while using atomic layer deposition based zinc oxide (ZnO) as the channel material. The WCTFT devices also maintain similar \\'OFF\\' current value, ~100 pA, when compared to planar devices, thus not compromising on power consumption for performance which usually happens with larger width devices. This work offers an interesting opportunity to use WCTFTs as backplane circuitry for large-area high-resolution display applications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Investigation of Al2O3 barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    International Nuclear Information System (INIS)

    Maindron, Tony; Aventurier, Bernard; Ghazouani, Ahlem; Jullien, Tony; Rochat, Névine; Simon, Jean-Yves; Viasnoff, Emilie

    2013-01-01

    Al 2 O 3 films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ 3 ) films made onto silicon wafers. It has been found that a thick ALD-deposited Al 2 O 3 layer (> 11 nm) greatly prevents the photo-oxidation of AlQ 3 films when exposed to continuous UV irradiation (350 mW/cm 2 ). Thin Al 2 O 3 thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al 2 O 3 layer have been easily observed as non-fluorescent AlQ 3 singularities, or black spots, under UV light on the system Si/AlQ 3 /Al 2 O 3 stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h −1 ·cm −2 and 0.243 h −1 ·cm −2 respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO 2 onto the Si/AlQ 3 /Al 2 O 3 sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h −1 ·cm −2 and 0.04 h −1 ·cm −2 respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al 2 O 3 films and confirm the general idea that a single Al 2 O 3 ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an additional moisture-stable layer. - Highlights: • Thin Al 2 O 3 films have been deposited by atomic layer deposition onto organic films.

  19. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  20. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems

    Directory of Open Access Journals (Sweden)

    Kenji Okabe

    2015-12-01

    Full Text Available In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI chip on the very thin parylene film (5 μm enables the integration of the rectifier circuits and the flexible antenna (rectenna. In the demonstration of wireless power transmission (WPT, the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction.

  1. Co-Design Method and Wafer-Level Packaging Technique of Thin-Film Flexible Antenna and Silicon CMOS Rectifier Chips for Wireless-Powered Neural Interface Systems.

    Science.gov (United States)

    Okabe, Kenji; Jeewan, Horagodage Prabhath; Yamagiwa, Shota; Kawano, Takeshi; Ishida, Makoto; Akita, Ippei

    2015-12-16

    In this paper, a co-design method and a wafer-level packaging technique of a flexible antenna and a CMOS rectifier chip for use in a small-sized implantable system on the brain surface are proposed. The proposed co-design method optimizes the system architecture, and can help avoid the use of external matching components, resulting in the realization of a small-size system. In addition, the technique employed to assemble a silicon large-scale integration (LSI) chip on the very thin parylene film (5 μm) enables the integration of the rectifier circuits and the flexible antenna (rectenna). In the demonstration of wireless power transmission (WPT), the fabricated flexible rectenna achieved a maximum efficiency of 0.497% with a distance of 3 cm between antennas. In addition, WPT with radio waves allows a misalignment of 185% against antenna size, implying that the misalignment has a less effect on the WPT characteristics compared with electromagnetic induction.

  2. The annealing effect on work function variation of WN{sub x}C{sub y} films deposited by remote plasma atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyunjung; Shin, Changhee; Lim, Heewoo; Kim, Manseok [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Jang, Woochool; Lee, Kunyoung [Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of); Yuh, Junhan [Division of Steel Solution, POSCO, Seoul (Korea, Republic of); Jeon, Hyeongtag [Department of Nano-Scale Semiconductor Engineering, Hanyang University, Seoul (Korea, Republic of); Division of Materials Science and Engineering, Hanyang University, Seoul (Korea, Republic of)

    2017-07-15

    Tungsten-nitrogen-carbide (WN{sub x}C{sub y}) thin films were investigated as the metal gate of complementary metal-oxide-semiconductor (CMOS) devices. WN{sub x}C{sub y} thin films were deposited by employing the remote plasma atomic layer deposition (RPALD) using a bis(tert-butylimido) bis (dimethylamido) tungsten (BTBMW) precursor and hydrogen plasma as a reactant. The growth rate of the WN{sub x}C{sub y} films was about 0.12 nm/cycle. X-ray diffraction (XRD) analysis indicated that the films consisted of a mixture of tungsten carbide and tungsten nitride phases. The atomic force microscope (AFM) analysis further confirmed that the WN{sub x}C{sub y} film surfaces deposited by RPALD were smooth. In addition, the chemical bonding state analysis showed that the WN{sub x}C{sub y} films consisted of WN, WC, and WO phases. To measure the work function of the WN{sub x}C{sub y} film, a MOSCAP (metal oxide semiconductor capacitor) stack was fabricated and the flat band voltage was measured by current-voltage (C-V) measurements. A WN{sub x}C{sub y} work function value of 4.91 eV was suitable for p-MOS and the work function of the WN{sub x}C{sub y} films varied depending on the annealing treatment, and was higher than the work function of the as-deposited WN{sub x}C{sub y} film. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Measurement of transient atomic displacements in thin films with picosecond and femtometer resolution

    Directory of Open Access Journals (Sweden)

    M. Kozina

    2014-05-01

    Full Text Available We report measurements of the transient structural response of weakly photo-excited thin films of BiFeO3, Pb(Zr,TiO3, and Bi and time-scales for interfacial thermal transport. Utilizing picosecond x-ray diffraction at a 1.28 MHz repetition rate with time resolution extending down to 15 ps, transient changes in the diffraction angle are recorded. These changes are associated with photo-induced lattice strains within nanolayer thin films, resolved at the part-per-million level, corresponding to a shift in the scattering angle three orders of magnitude smaller than the rocking curve width and changes in the interlayer lattice spacing of fractions of a femtometer. The combination of high brightness, repetition rate, and stability of the synchrotron, in conjunction with high time resolution, represents a novel means to probe atomic-scale, near-equilibrium dynamics.

  4. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  5. Structural and electrical evolution of He ion irradiated hydrocarbon films observed by conductive atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Yang, Deming [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Sun, Li [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Physics, Liaoning Normal University, Dalian 116023 (China); Yang, Qi; Niu, Jinhai; Bi, Zhenhua [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Liu, Dongping, E-mail: dongping.liu@dlnu.edu.cn [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electronic Science, Aeronautics, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China)

    2013-10-01

    Polymer-like hydrocarbon films are irradiated with 100 keV He ion at the fluences of 1.0 × 10{sup 15}–1.0 × 10{sup 17} ions/cm{sup 2} or at the irradiation temperature ranging from 25 to 600 °C. Conductive atomic force microscopy (CAFM) has been used to evaluate the nanoscale electron conducting properties of these irradiated hydrocarbon films. Nanoscale and conducting defects have been formed in the hydrocarbon films irradiated at a relatively high ion fluence (1.0 × 10{sup 17} ions/cm{sup 2}) or an elevated sample temperature. Analysis indicates that He ion irradiation results in the evolution of polymer-like hydrocarbon into a dense structure containing a large fraction of sp{sup 2} carbon clusters. The sp{sup 2} carbon clusters formed in irradiated hydrocarbon films can contribute to the formation of filament-like conducting channels with a relatively high local field-enhancing factor. Measurements indicate that the growth of nanoscale defects due to He ion irradiation can result in the surface swelling of irradiated hydrocarbon films at a relatively high ion fluences or elevated temperature.

  6. Influence of substrate temperature and Zn-precursors on atomic layer deposition of polycrystalline ZnO films on glass

    International Nuclear Information System (INIS)

    Makino, Hisao; Miyake, Aki; Yamada, Takahiro; Yamamoto, Naoki; Yamamoto, Tetsuya

    2009-01-01

    Influence of substrate temperature and Zn-precursors on growth rate, crystal structure, and electrical property of undoped ZnO thin films grown by atomic layer deposition (ALD) have been studied. Differences between dimethylzinc (DMeZn) and diethylzinc (DEtZn) used as Zn-precursors were examined. The ZnO films grown using DMeZn showed higher electrical resistivity compared to that grown using DEtZn. However, the higher resistivity in the case of DMeZn was owing to much amount of residual impurities incorporated during the ALD growth

  7. Atomic layer deposition of cobalt carbide films and their magnetic properties using propanol as a reducing agent

    Energy Technology Data Exchange (ETDEWEB)

    Sarr, Mouhamadou, E-mail: sarrtapha44@yahoo.fr [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Bahlawane, Naoufal; Arl, Didier [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Dossot, Manuel [Laboratory of Physical Chemistry and Microbiology for the Environment, UMR 7564 CNRS-Université de Lorraine, 405 rue de Vandoeuvre, 54601 Villers-lès-Nancy (France); McRae, Edward [Institut Jean Lamour, UMR 7198CNRS-Université de Lorraine, FST, BP 70239, 54506 Vandoeuvre-lès-Nancy (France); Lenoble, Damien, E-mail: damien.lenoble@list.lu [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg)

    2016-08-30

    Highlights: • Conformal carbon-Co-carbide thin films. • Chemically growth carbone-Co-carbide composite. • Tuneable magnetic properties. - Abstract: The investigation of highly conformal thin films using Atomic Layer Deposition (ALD) is driven by a variety of applications in modern technologies. In particular, the emergence of 3D memory device architectures requires conformal materials with tuneable magnetic properties. Here, nanocomposites of carbon, cobalt and cobalt carbide are deposited by ALD using cobalt acetylacetonate with propanol as a reducing agent. Films were grown by varying the ALD deposition parameters including deposition temperature and propanol exposure time. The morphology, the chemical composition and the crystalline structure of the cobalt carbide film were investigated. Vibrating Sample Magnetometer (VSM) measurements revealed magnetic hysteresis loops with a coercivity reaching 500 Oe and a maximal saturation magnetization of 0.9 T with a grain size less than 15 nm. Magnetic properties are shown to be tuneable by adjusting the deposition parameters that significantly affect the microstructure and the composition of the deposited films.

  8. Protein adsorption resistance of PVP-modified polyurethane film prepared by surface-initiated atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Yuan, Huihui; Qian, Bin; Zhang, Wei; Lan, Minbo

    2016-01-01

    Highlights: • Antifouling PVP brushes were successfully grafted on PU films by SI-ATRP. • The effect of polymerization time on surface property and topography was studied. • Hydrophilicity and protein fouling resistance of PVP–PU films were greatly promoted. • Competitive adsorption of three proteins on PVP–PU films was evaluated. - Abstract: An anti-fouling surface of polyurethane (PU) film grafted with Poly(N-vinylpyrrolidone) (PVP) was prepared through surface-initiated atom transfer radical polymerization (SI-ATRP). And the polymerization time was investigated to obtain PU films with PVP brushes of different lengths. The surface properties and protein adsorption of modified PU films were evaluated. The results showed that the hydrophilicity of PU–PVP films were improved with the increase of polymerization time, which was not positive correlation with the surface roughness due to the brush structure. Additionally, the protein resistance performance was promoted when prolonging the polymerization time. The best antifouling PU–PVP (6.0 h) film reduced the adsoption level of bovine serum albumin (BSA), lysozyme (LYS), and brovin serum fibrinogen (BFG) by 93.4%, 68.3%, 85.6%, respectively, compared to the unmodified PU film. The competitive adsorption of three proteins indicated that LYS preferentially adsorbed on the modified PU film, while BFG had the lowest adsorption selectivity. And the amount of BFG on PU–PVP (6.0 h) film reduced greatly to 0.08 μg/cm"2, which was almost one-tenth of its adsorption from the single-protein system. Presented results suggested that both hydrophilicity and surface roughness might be the important factors in all cases of protein adsorption, and the competitive or selective adsorption might be related to the size of the proteins, especially on the non-charged films.

  9. Protein adsorption resistance of PVP-modified polyurethane film prepared by surface-initiated atom transfer radical polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Yuan, Huihui; Qian, Bin; Zhang, Wei [Shanghai Key Laboratory of Functional Materials Chemistry and Research Center of Analysis and Test, East China University of Science and Technology, Shanghai 200237 (China); Lan, Minbo, E-mail: minbolan@ecust.edu.cn [Shanghai Key Laboratory of Functional Materials Chemistry and Research Center of Analysis and Test, East China University of Science and Technology, Shanghai 200237 (China); State Key Laboratory of Bioreactor Engineering, East China University of Science and Technology, Shanghai 200237 (China)

    2016-02-15

    Highlights: • Antifouling PVP brushes were successfully grafted on PU films by SI-ATRP. • The effect of polymerization time on surface property and topography was studied. • Hydrophilicity and protein fouling resistance of PVP–PU films were greatly promoted. • Competitive adsorption of three proteins on PVP–PU films was evaluated. - Abstract: An anti-fouling surface of polyurethane (PU) film grafted with Poly(N-vinylpyrrolidone) (PVP) was prepared through surface-initiated atom transfer radical polymerization (SI-ATRP). And the polymerization time was investigated to obtain PU films with PVP brushes of different lengths. The surface properties and protein adsorption of modified PU films were evaluated. The results showed that the hydrophilicity of PU–PVP films were improved with the increase of polymerization time, which was not positive correlation with the surface roughness due to the brush structure. Additionally, the protein resistance performance was promoted when prolonging the polymerization time. The best antifouling PU–PVP (6.0 h) film reduced the adsoption level of bovine serum albumin (BSA), lysozyme (LYS), and brovin serum fibrinogen (BFG) by 93.4%, 68.3%, 85.6%, respectively, compared to the unmodified PU film. The competitive adsorption of three proteins indicated that LYS preferentially adsorbed on the modified PU film, while BFG had the lowest adsorption selectivity. And the amount of BFG on PU–PVP (6.0 h) film reduced greatly to 0.08 μg/cm{sup 2}, which was almost one-tenth of its adsorption from the single-protein system. Presented results suggested that both hydrophilicity and surface roughness might be the important factors in all cases of protein adsorption, and the competitive or selective adsorption might be related to the size of the proteins, especially on the non-charged films.

  10. Enhanced physicochemical properties of polydimethylsiloxane based microfluidic devices and thin films by incorporating synthetic micro-diamond.

    Science.gov (United States)

    Waheed, Sidra; Cabot, Joan M; Macdonald, Niall P; Kalsoom, Umme; Farajikhah, Syamak; Innis, Peter C; Nesterenko, Pavel N; Lewis, Trevor W; Breadmore, Michael C; Paull, Brett

    2017-11-08

    Synthetic micro-diamond-polydimethylsiloxane (PDMS) composite microfluidic chips and thin films were produced using indirect 3D printing and spin coating fabrication techniques. Microfluidic chips containing up to 60 wt% micro-diamond were successfully cast and bonded. Physicochemical properties, including the dispersion pattern, hydrophobicity, chemical structure, elasticity and thermal characteristics of both chip and films were investigated. Scanning electron microscopy indicated that the micro-diamond particles were embedded and interconnected within the bulk material of the cast microfluidic chip, whereas in the case of thin films their increased presence at the polymer surface resulted in a reduced hydrophobicity of the composite. The elastic modulus increased from 1.28 for a PDMS control, to 4.42 MPa for the 60 wt% composite, along with a three-fold increase in thermal conductivity, from 0.15 to 0.45 W m -1 K -1 . Within the fluidic chips, micro-diamond incorporation enhanced heat dissipation by efficient transfer of heat from within the channels to the surrounding substrate. At a flow rate of 1000 μL/min, the gradient achieved for the 60 wt% composite chip equalled a 9.8 °C drop across a 3 cm long channel, more than twice that observed with the PDMS control chip.

  11. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  12. A double well interferometer on an atom chip

    DEFF Research Database (Denmark)

    Schumm, Thorsten; Krüger, Peter; Hofferberth, S.

    2006-01-01

    Radio-Frequency coupling between magnetically trapped atomic states allows to create versatile adiabatic dressed state potentials for neutral atom manipulation. Most notably, a single magnetic trap can be split into a double well by controlling amplitude and frequency of an oscillating magnetic...... split BECs in time of flight expansion, we realize a matter wave interferometer. The observed interference pattern exhibits a stable relative phase of the two condensates, clearly indicating a coherent splitting process. Furthermore, we measure and control the deterministic phase evolution throughout...

  13. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    International Nuclear Information System (INIS)

    Uudeküll, Peep; Kozlova, Jekaterina; Mändar, Hugo; Link, Joosep; Sihtmäe, Mariliis; Käosaar, Sandra; Blinova, Irina; Kasemets, Kaja; Kahru, Anne; Stern, Raivo; Tätte, Tanel; Kukli, Kaupo; Tamm, Aile

    2017-01-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  14. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    Energy Technology Data Exchange (ETDEWEB)

    Uudeküll, Peep, E-mail: peep.uudekull@ut.ee [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kozlova, Jekaterina; Mändar, Hugo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Link, Joosep [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Sihtmäe, Mariliis [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Käosaar, Sandra [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Faculty of Chemical and Materials Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Blinova, Irina; Kasemets, Kaja; Kahru, Anne [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Stern, Raivo [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Tätte, Tanel [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia)

    2017-05-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  15. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  16. Characterization of Films with Thickness Less than 10 nm by Sensitivity-Enhanced Atomic Force Acoustic Microscopy

    Directory of Open Access Journals (Sweden)

    Muraoka Mikio

    2011-01-01

    Full Text Available Abstract We present a method for characterizing ultrathin films using sensitivity-enhanced atomic force acoustic microscopy, where a concentrated-mass cantilever having a flat tip was used as a sensitive oscillator. Evaluation was aimed at 6-nm-thick and 10-nm-thick diamond-like carbon (DLC films deposited, using different methods, on a hard disk for the effective Young's modulus defined as E/(1 - ν2, where E is the Young's modulus, and ν is the Poisson's ratio. The resonant frequency of the cantilever was affected not only by the film's elasticity but also by the substrate even at an indentation depth of about 0.6 nm. The substrate effect was removed by employing a theoretical formula on the indentation of a layered half-space, together with a hard disk without DLC coating. The moduli of the 6-nm-thick and 10-nm-thick DLC films were 392 and 345 GPa, respectively. The error analysis showed the standard deviation less than 5% in the moduli.

  17. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  18. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    , ultra-thin layer of encapsulating ZnS is coated on the surface of GaSb and GaSb/InAs substrates. The 2 nm-thick ZnS film is found to provide a long-term protection against reoxidation for one order and a half longer times than prior reported passivation likely due to its amorphous structure without pinholes. Finally, a combination of binary ALD processes is developed and demonstrated for the growth of yttria-stabilized zirconia films using alkylamido-cyclopentadiengyls zirconium and tris(isopropyl-cyclopentadienyl)yttrium, as zirconium and yttrium precursors, respectively, with ozone being the oxidant. The desired cubic structure of YSZ films is apparently achieved after post-deposition annealing. Further, platinum is atomic layer deposited as electrode on YSZ (8 mol% of Yttria) within the same system. In order to control the morphology of as-deposited Pt thin structure, the nucleation behavior of Pt on amorphous and cubic YSZ is investigated. Three different morphologies of Pt are observed, including nanoparticle, porous and dense films, which are found to depend on the ALD cycle number and the structure and morphology of they underlying ALD YSZ films.

  19. Study of porogen removal by atomic hydrogen generated by hot wire chemical vapor deposition for the fabrication of advanced low-k thin films

    Energy Technology Data Exchange (ETDEWEB)

    Godavarthi, S., E-mail: srinivas@cinvestav.mx [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); Universidad Nacional Autonoma de Mexico, Instituto de Ciencias Fisicas, Av. Universidad, Cuernavaca, Morelos (Mexico); Wang, C.; Verdonck, P. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Matsumoto, Y.; Koudriavtsev, I. [Program of Nanoscience and Nanotechnology, Cinvestav-IPN (Mexico); SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Dutt, A. [SEES, Electrical Engineering Department, Cinvestav-IPN (Mexico); Tielens, H.; Baklanov, M.R. [imec, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-30

    In order to obtain low-k dielectric films, a subtractive technique, which removes sacrificial porogens from a hydrogenated silicon oxycarbide (SiOC:H) film, has been used successfully by different groups in the past. In this paper, we report on the porogen removal from porogenated SiOC:H films, using a hot wire chemical vapor deposition (HWCVD) equipment. Molecular hydrogen is dissociated into atomic hydrogen by the hot wires and these atoms may successfully remove the hydrocarbon groups from the porogenated SiOC:H films. The temperature of the HWCVD filaments proved to be a determining factor. By Fourier transform infrared spectroscopy, X-ray reflectivity (XRR), secondary ion mass spectrometry (SIMS), ellipsometric porosimetry and capacitance-voltage analyses, it was possible to determine that for temperatures higher than 1700 °C, efficient porogen removal occurred. For temperatures higher than 1800 °C, the presence of OH groups was detected. The dielectric constant was the lowest, 2.28, for the samples processed at a filament temperature of 1800 °C, although porosity measurements showed higher porosity for the films deposited at the higher temperatures. XRR and SIMS analyses indicated densification and Tungsten (W) incorporation at the top few nanometers of the films.

  20. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  1. Tunable superconducting resonators with integrated trap structures for coupling with ultracold atomic gases

    Energy Technology Data Exchange (ETDEWEB)

    Ferdinand, Benedikt; Wiedmaier, Dominik; Koelle, Dieter; Kleiner, Reinhold [Physikalisches Institut and Center for Quantum Science in LISA+, Universitaet Tuebingen (Germany); Bothner, Daniel [Physikalisches Institut and Center for Quantum Science in LISA+, Universitaet Tuebingen (Germany); Kavli Institute of Nanoscience, Delft University of Technology, Delft (Netherlands)

    2016-07-01

    We intend to investigate a hybrid quantum system where ultracold atomic gases play the role of a long-living quantum memory, coupled to a superconducting qubit via a coplanar waveguide transmission line resonator. As a first step we developed a resonator chip containing a Z-shaped trapping wire for the atom trap. In order to suppress parasitic resonances due to stray capacitances, and to achieve good ground connection we use hybrid superconductor - normal conductor chips. As an additional degree of freedom we add a ferroelectric capacitor making the resonators voltage-tunable. We furthermore show theoretical results on the expected coupling strength between resonator and atomic cloud.

  2. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  3. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  4. Atomic switches: atomic-movement-controlled nanodevices for new types of computing

    International Nuclear Information System (INIS)

    Hino, Takami; Hasegawa, Tsuyoshi; Terabe, Kazuya; Tsuruoka, Tohru; Nayak, Alpana; Ohno, Takeo; Aono, Masakazu

    2011-01-01

    Atomic switches are nanoionic devices that control the diffusion of metal cations and their reduction/oxidation processes in the switching operation to form/annihilate a metal atomic bridge, which is a conductive path between two electrodes in the on-state. In contrast to conventional semiconductor devices, atomic switches can provide a highly conductive channel even if their size is of nanometer order. In addition to their small size and low on-resistance, their nonvolatility has enabled the development of new types of programmable devices, which may achieve all the required functions on a single chip. Three-terminal atomic switches have also been developed, in which the formation and annihilation of a metal atomic bridge between a source electrode and a drain electrode are controlled by a third (gate) electrode. Three-terminal atomic switches are expected to enhance the development of new types of logic circuits, such as nonvolatile logic. The recent development of atomic switches that use a metal oxide as the ionic conductive material has enabled the integration of atomic switches with complementary metal-oxide-semiconductor (CMOS) devices, which will facilitate the commercialization of atomic switches. The novel characteristics of atomic switches, such as their learning and photosensing abilities, are also introduced in the latter part of this review. (topical review)

  5. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  6. Characterization of cobalt oxide thin films prepared by a facile spray pyrolysis technique using perfume atomizer

    Energy Technology Data Exchange (ETDEWEB)

    Louardi, A.; Rmili, A.; Ouachtari, F.; Bouaoud, A. [Laboratoire des Hautes Energies, Sciences de l' Ingenierie et Reacteurs (LHESIR), Equipe Ingenierie et Materiaux (INMA), Departement de Physique, Faculte des Sciences, Kenitra (Morocco); Elidrissi, B., E-mail: e.bachir@mailcity.com [Laboratoire des Hautes Energies, Sciences de l' Ingenierie et Reacteurs (LHESIR), Equipe Ingenierie et Materiaux (INMA), Departement de Physique, Faculte des Sciences, Kenitra (Morocco); Erguig, H. [Laboratoire des Hautes Energies, Sciences de l' Ingenierie et Reacteurs (LHESIR), Equipe Ingenierie et Materiaux (INMA), Departement de Physique, Faculte des Sciences, Kenitra (Morocco)

    2011-09-15

    Highlights: > Co{sub 3}O{sub 4} thin films show a micro porous structure. > Co{sub 3}O{sub 4} thin films are formed with spherical grains less than 50 nm in diameter. > The porous structure of Co{sub 3}O{sub 4} films is expected to have promising application in electrochromism. - Abstract: Cobalt oxide (Co{sub 3}O{sub 4}) thin films were prepared by a facile spray pyrolysis technique using perfume atomizer from aqueous solution of hydrated cobalt chloride salt (CoCl{sub 2}.6H{sub 2}O) as source of cobalt. The films were deposited onto the amorphous glass substrates kept at different temperatures (300-500 deg. C). The influences of molar concentration of the starting solution and substrate temperature on the structural, morphological and optical properties of (Co{sub 3}O{sub 4}) thin films were studied. It was found from X-ray diffraction (XRD) analysis that the films prepared with molar concentration greater than 0.025 M/L were polycrystalline spinel type cubic structure. The preferred orientation of the crystallites of these films changes gradually from (6 2 2) to (1 1 1) when the substrate temperature increases. By Raman spectroscopy, five Raman active modes characteristic of Co{sub 3}O{sub 4} spinel type cubic structure were found and identified at 194, 484, 522, 620 and 691 cm{sup -1}. The scanning electron microscopy (SEM) images showed micro porous structure with very fine grains less than 50 nm in diameter. These films exhibited also a transmittance value of about 70% in the visible and infra red range.

  7. Characterization of Ni/SnPb-TiW/Pt Flip Chip Interconnections in Silicon Pixel Detector Modules

    CERN Document Server

    Karadzhinova, Aneliya; Härkönen, Jaakko; Luukka, Panja-riina; Mäenpää, Teppo; Tuominen, Eija; Haeggstrom, Edward; Kalliopuska, Juha; Vahanen, Sami; Kassamakov, Ivan

    2014-01-01

    In contemporary high energy physics experiments, silicon detectors are essential for recording the trajectory of new particles generated by multiple simultaneous collisions. Modern particle tracking systems may feature 100 million channels, or pixels, which need to be individually connected to read-out chains. Silicon pixel detectors are typically connected to readout chips by flip-chip bonding using solder bumps. High-quality electro-mechanical flip-chip interconnects minimizes the number of dead read-out channels in the particle tracking system. Furthermore, the detector modules must endure handling during installation and withstand heat generation and cooling during operation. Silicon pixel detector modules were constructed by flip-chip bonding 16 readout chips to a single sensor. Eutectic SnPb solder bumps were deposited on the readout chips and the sensor chips were coated with TiW/Pt thin film UBM (under bump metallization). The modules were assembled at Advacam Ltd, Finland. We studied the uniformity o...

  8. Spontaneous nano-clustering of ZrO2 in atomic layer deposited LayZr1-yOx thin films: Part 1 - Material characterization

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Wolters, R.A.M.; Roozeboom, F.; Besling, W.

    2008-01-01

    During atomic layer deposition (ALD) of uniform LayZr1-yOx thin films, spontaneous segregation of ZrO2 nanocrystals takes place that are embedded in an amorphous La2O3 matrix. This occurs if the Zr content in the LayZr1-yOx film is above 30% i.e. if the pulse ratio between the lanthanum precursor

  9. Atomic level characterization in corrosion studies

    Science.gov (United States)

    Marcus, Philippe; Maurice, Vincent

    2017-06-01

    Atomic level characterization brings fundamental insight into the mechanisms of self-protection against corrosion of metals and alloys by oxide passive films and into how localized corrosion is initiated on passivated metal surfaces. This is illustrated in this overview with selected data obtained at the subnanometre, i.e. atomic or molecular, scale and also at the nanometre scale on single-crystal copper, nickel, chromium and stainless steel surfaces passivated in well-controlled conditions and analysed in situ and/or ex situ by scanning tunnelling microscopy/spectroscopy and atomic force microscopy. A selected example of corrosion modelling by ab initio density functional theory is also presented. The discussed aspects include the surface reconstruction induced by hydroxide adsorption and formation of two-dimensional (hydr)oxide precursors, the atomic structure, orientation and surface hydroxylation of three-dimensional ultrathin oxide passive films, the effect of grain boundaries in polycrystalline passive films acting as preferential sites of passivity breakdown, the differences in local electronic properties measured at grain boundaries of passive films and the role of step edges at the exposed surface of oxide grains on the dissolution of the passive film. This article is part of the themed issue 'The challenges of hydrogen and metals'.

  10. Studies on morphology, electrical and optical characteristics of Al-doped ZnO thin films grown by atomic layer deposition

    Science.gov (United States)

    Chen, Li; Chen, Xinliang; Zhou, Zhongxin; Guo, Sheng; Zhao, Ying; Zhang, Xiaodan

    2018-03-01

    Al doped ZnO (AZO) films deposited on glass substrates through the atomic layer deposition (ALD) technique are investigated with various temperatures from 100 to 250 °C and different Zn : Al cycle ratios from 20 : 0 to 20 : 3. Surface morphology, structure, optical and electrical properties of obtained AZO films are studied in detail. The Al composition of the AZO films is varied by controlling the ratio of Zn : Al. We achieve an excellent AZO thin film with a resistivity of 2.14 × 10‑3 Ω·cm and high optical transmittance deposited at 150 °C with 20 : 2 Zn : Al cycle ratio. This kind of AZO thin films exhibit great potential for optoelectronics device application. Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707) and the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900).

  11. Atomic force microscopy indentation of fluorocarbon thin films fabricated by plasma enhanced chemical deposition at low radio frequency power

    International Nuclear Information System (INIS)

    Sirghi, L.; Ruiz, A.; Colpo, P.; Rossi, F.

    2009-01-01

    Atomic force microscopy (AFM) indentation technique is used for characterization of mechanical properties of fluorocarbon (CF x ) thin films obtained from C 4 F 8 gas by plasma enhanced chemical vapour deposition at low r.f. power (5-30 W) and d.c. bias potential (10-80 V). This particular deposition method renders films with good hydrophobic property and high plastic compliance. Commercially available AFM probes with stiff cantilevers (10-20 N/m) and silicon sharpened tips (tip radius < 10 nm) are used for indentations and imaging of the resulted indentation imprints. Force depth curves and imprint characteristics are used for determination of film hardness, elasticity modulus and plasticity index. The measurements show that the decrease of the discharge power results in deposition of films with decreased hardness and stiffness and increased plasticity index. Nanolithography based on AFM indentation is demonstrated on thin films (thickness of 40 nm) with good plastic compliance.

  12. Recent developments in trapping and manipulation of atoms with adiabatic potentials

    Science.gov (United States)

    Garraway, Barry M.; Perrin, Hélène

    2016-09-01

    A combination of static and oscillating magnetic fields can be used to ‘dress’ atoms with radio-frequency (RF), or microwave, radiation. The spatial variation of these fields can be used to create an enormous variety of traps for ultra-cold atoms and quantum gases. This article reviews the type and character of these adiabatic traps and the applications which include atom interferometry and the study of low-dimensional quantum systems. We introduce the main concepts of magnetic traps leading to adiabatic dressed traps. The concept of adiabaticity is discussed in the context of the Landau-Zener model. The first bubble trap experiment is reviewed together with the method used for loading it. Experiments based on atom chips show the production of double wells and ring traps. Dressed atom traps can be evaporatively cooled with an additional RF field, and a weak RF field can be used to probe the spectroscopy of the adiabatic potentials. Several approaches to ring traps formed from adiabatic potentials are discussed, including those based on atom chips, time-averaged adiabatic potentials and induction methods. Several proposals for adiabatic lattices with dressed atoms are also reviewed.

  13. Formation of Cu, Ag and Au nanofiims under the influence of hydrogen atoms

    Directory of Open Access Journals (Sweden)

    Zhavzharov E. L.

    2015-12-01

    Full Text Available Due to their electrical properties, thin metallic films are widely used in modern micro- and nanoelectronics. These properties allow solving fundamental problems of surface and solid state physics. Up-to-date methods of producing thin films involve high vacuum or multi-stage processes, which calls for complicated equipment. The authors propose an alternative method of producing thin metallic films using atomic hydrogen. Exothermal reaction of atoms recombination in a molecule (about 4.5 eV / recombination act initiated on the solid surface by atomic hydrogen may stimulate local heating, spraying and surface atoms transfer. We investigated the process of atomic hydrogen treatment of Cu, Ag and Au metal films, obtained by thermal vacuum evaporation. There are two methods of obtaining nanofilms using atomic hydrogen treatment: sputtering and vapor-phase epitaxy. In the first method, a film is formed by reducing the thickness of the starting film. This method allows obtaining a film as thick as the monolayer. In the second method, a nanofilm is formed by deposition of metal atoms from the vapor phase. This method allows obtaining a film thickness from monolayer to ~10 nm. These methods allow creating nanofilms with controlled parameters and metal thickness. Such films would be technologically pure and have good adhesion.

  14. Atomic mapping of Ruddlesden-Popper faults in transparent conducting BaSnO3-based thin films.

    Science.gov (United States)

    Wang, W Y; Tang, Y L; Zhu, Y L; Suriyaprakash, J; Xu, Y B; Liu, Y; Gao, B; Cheong, S-W; Ma, X L

    2015-11-03

    Doped BaSnO3 has arisen many interests recently as one of the promising transparent conducting oxides for future applications. Understanding the microstructural characteristics are crucial for the exploration of relevant devices. In this paper, we investigated the microstructural features of 0.001% La doped BaSnO3 thin film using both conventional and aberration corrected transmission electron microscopes. Contrast analysis shows high densities of Ruddlesden-Popper faults in the film, which are on {100} planes with translational displacements of 1/2a  . Atomic EELS element mappings reveal that the Ruddlesden-Popper faults are Ba-O layer terminated, and two kinds of kink structures at the Ruddlesden-Popper faults with different element distributions are also demonstrated. Quantitative analysis on lattice distortions of the Ruddlesden-Popper faults illustrates that the local lattice spacing poses a huge increment of 36%, indicating that large strains exist around the Ruddlesden-Popper faults in the film.

  15. High-Tc dc-SQUID gradiometers in flip-chip configuration

    International Nuclear Information System (INIS)

    Peiselt, K; Schmidl, F; Linzen, S; Anton, A S; Huebner, U; Seidel, P

    2003-01-01

    We describe a new design of a gradiometric flip-chip antenna, which is inductively coupled to a dc-SQUID gradiometer. Both components are patterned out of thin films of the high-T c superconductor YBa 2 Cu 3 O 7-x (YBCO). For the flip-chip antenna, a 40 mm x 10 mm SrTiO 3 single crystalline substrate is used, while the gradiometer sensors are prepared on 10 mm x 10 mm SrTiO 3 bicrystal substrates. Special attention is paid to the inductive coupling between the flip-chip antenna and the read-out gradiometer antenna. We investigate different designs of coupling loops in order to optimize the coupling inductance between both components of the sensor. With optimized coupling the sensor achieves a field-gradient resolution of 12 fT cm -1 Hz -1/2 in the white noise region and of 310 fT cm -1 Hz -1/2 at 1 Hz in the unshielded laboratory environment

  16. High-Tc dc-SQUID gradiometers in flip-chip configuration

    Science.gov (United States)

    Peiselt, K.; Schmidl, F.; Linzen, S.; Anton, A. S.; Hübner, U.; Seidel, P.

    2003-12-01

    We describe a new design of a gradiometric flip-chip antenna, which is inductively coupled to a dc-SQUID gradiometer. Both components are patterned out of thin films of the high-Tc superconductor YBa2Cu3O7-x (YBCO). For the flip-chip antenna, a 40 mm × 10 mm SrTiO3 single crystalline substrate is used, while the gradiometer sensors are prepared on 10 mm × 10 mm SrTiO3 bicrystal substrates. Special attention is paid to the inductive coupling between the flip-chip antenna and the read-out gradiometer antenna. We investigate different designs of coupling loops in order to optimize the coupling inductance between both components of the sensor. With optimized coupling the sensor achieves a field-gradient resolution of 12 fT cm-1 Hz-1/2 in the white noise region and of 310 fT cm-1 Hz-1/2 at 1 Hz in the unshielded laboratory environment.

  17. Fabrication and atomic force microscopy/friction force microscopy (AFM/FFM) studies of polyacrylamide-carbon nanotubes (PAM-CNTs) copolymer thin films

    International Nuclear Information System (INIS)

    Li Xuefeng; Guan Wenchao; Yan Haibiao; Huang Lan

    2004-01-01

    A novel polyacrylamide-carbon nanotubes (PAM-CNTs) copolymer has been prepared by ultraviolet radiation initiated polymerization. The PAM-CNTs copolymer was characterized by the instruments of Fourier transform infrared spectroscopy, UV-vis absorbance spectra, fluorescence spectra and transmission electron microscope. The morphology and microtribological properties of PAM-CNTs thin films on mica were investigated by atomic force microscopy/friction force microscopy (AFM/FFM). The friction of the films was stable with the change of applied load and the friction coefficient decreased significantly as the CNTs addition. The results show that the rigid rod-like CNTs in polymer would enhance load-bearing and anti-wear properties of the thin films

  18. Ultrathin ZnS and ZnO Interfacial Passivation Layers for Atomic-Layer-Deposited HfO2 Films on InP Substrates.

    Science.gov (United States)

    Kim, Seung Hyun; Joo, So Yeong; Jin, Hyun Soo; Kim, Woo-Byoung; Park, Tae Joo

    2016-08-17

    Ultrathin ZnS and ZnO films grown by atomic layer deposition (ALD) were employed as interfacial passivation layers (IPLs) for HfO2 films on InP substrates. The interfacial layer growth during the ALD of the HfO2 film was effectively suppressed by the IPLs, resulting in the decrease of electrical thickness, hysteresis, and interface state density. Compared with the ZnO IPL, the ZnS IPL was more effective in reducing the interface state density near the valence band edge. The leakage current density through the film was considerably lowered by the IPLs because the film crystallization was suppressed. Especially for the film with the ZnS IPL, the leakage current density in the low-voltage region was significantly lower than that observed for the film with the ZnO IPL, because the direct tunneling current was suppressed by the higher conduction band offset of ZnS with the InP substrate.

  19. IAEA film library

    International Nuclear Information System (INIS)

    1959-01-01

    Most of the scientific and technical films shown during the Second Geneva Conference for the Peaceful Uses of Atomic Energy were donated to IAEA by the producing countries at the end of the Conference. They will form the basic stock for the Agency's loan service intended to provide atomic energy institutions in Member States with film material. A detailed catalogue of the films, classified according to subject and giving conditions of loan or purchase, is now being prepared. In addition to this, information on all films produced in Member Countries dealing with the peaceful uses cf atomic energy is being assembled. The documentary information contained in the films in IAEA's possession relates to the following subjects: national programmes; nuclear physics; accelerators; plasma and fusion; reactors (power, research, material testing and experimental); prospecting and mining; ore dressing; metallurgy; production of fuel elements; treatment of irradiated fuel elements; protection against radiation; detection and counting; uses of radiation in medicine, biochemistry, agriculture and industry; industrial application of nuclear explosions. Most of the commentaries are in the language of the producing country. A few films are available in a choice of two languages. The films donated to the Agency total 82, two of which have been produced in Canada, 13 in France, one in India, one in Romania, one in Spain, 14 in the United Kingdom, one in the Union of South Africa, 47 in the United States of America and two in the USSR: they are mostly illustrations of papers presented at the Second Geneva Conference. In arranging for the circulation of scientific and technical films IAEA wishes to help meet some of the training and information needs of Member States. It is hoped that all organizations producing films on the peaceful uses of atomic energy will entrust copies to the IAEA with a view to their widest possible circulation. In the meantime, the Agency's films have been given

  20. IAEA film library

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1959-01-15

    Most of the scientific and technical films shown during the Second Geneva Conference for the Peaceful Uses of Atomic Energy were donated to IAEA by the producing countries at the end of the Conference. They will form the basic stock for the Agency's loan service intended to provide atomic energy institutions in Member States with film material. A detailed catalogue of the films, classified according to subject and giving conditions of loan or purchase, is now being prepared. In addition to this, information on all films produced in Member Countries dealing with the peaceful uses cf atomic energy is being assembled. The documentary information contained in the films in IAEA's possession relates to the following subjects: national programmes; nuclear physics; accelerators; plasma and fusion; reactors (power, research, material testing and experimental); prospecting and mining; ore dressing; metallurgy; production of fuel elements; treatment of irradiated fuel elements; protection against radiation; detection and counting; uses of radiation in medicine, biochemistry, agriculture and industry; industrial application of nuclear explosions. Most of the commentaries are in the language of the producing country. A few films are available in a choice of two languages. The films donated to the Agency total 82, two of which have been produced in Canada, 13 in France, one in India, one in Romania, one in Spain, 14 in the United Kingdom, one in the Union of South Africa, 47 in the United States of America and two in the USSR: they are mostly illustrations of papers presented at the Second Geneva Conference. In arranging for the circulation of scientific and technical films IAEA wishes to help meet some of the training and information needs of Member States. It is hoped that all organizations producing films on the peaceful uses of atomic energy will entrust copies to the IAEA with a view to their widest possible circulation. In the meantime, the Agency's films have been given

  1. [Effects of annealing temperature on the structure and optical properties of ZnMgO films prepared by atom layer deposition].

    Science.gov (United States)

    Sun, Dong-Xiao; Li, Jin-Hua; Fang, Xuan; Chen, Xin-Ying; Fang, Fang; Chu, Xue-Ying; Wei, Zhi-Peng; Wang, Xiao-Hua

    2014-07-01

    In the present paper, we report the research on the effects of annealing temperature on the crystal quality and optical properties of ZnMgO films deposited by atom layer deposition(ALD). ZnMgO films were prepared on quartz substrates by ALD and then some of the samples were treated in air ambient at different annealing temperature. The effects of annealing temperature on the crystal quality and optical properties of ZnMgO films were characterized by X-ray diffraction (XRD), photoluminescence (PL) and ultraviolet-visible (UV-Vis) absorption spectra. The XRD results showed that the crystal quality of ZnMgO films was significantly improved when the annealing temperature was 600 degrees C, meanwhile the intensity of(100) diffraction peak was the strongest. Combination of PL and UV-Vis absorption measurements showed that it can strongly promote the Mg content increasing in ZnMgO films and increase the band gap of films. So the results illustrate that suitable annealing temperature can effectively improve the crystal quality and optical properties of ZnMgO films.

  2. Micro flow-through PCR in a PMMA chip fabricated by KrF excimer laser.

    Science.gov (United States)

    Yao, Liying; Liu, Baoan; Chen, Tao; Liu, Shibing; Zuo, Tiechuan

    2005-09-01

    As the third PCR technology, micro flow-through PCR chip can amplify DNA specifically in an exponential fashion in vitro. Nowadays many academies in the world have successfully amplified DNA using their own-made flow-through PCR chip. In this paper, the ablation principle of PMMA at 248 nm excimer laser was studied, then a PMMA based flow-through PCR chip with 20 cycles was fabricated by excimer laser at 19 kv and 18 mm/min. The chip was bonded together with another cover chip at 105( composite function)C, 160 N and 20 minutes. In the end, it was integrated with electrical thermal thin films and Pt 100 temperature sensors. The temperature controllers was built standard PID digital temperature controller, the temperature control precision was +/- 0.2( composite function)C. The temperature grads between the three temperature zones were 16.5 and 22.2( composite function)C respectively, the gaps between the temperature zones could realize heat insulation.

  3. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO3)n films by means of metalorganic aerosol deposition

    International Nuclear Information System (INIS)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V.; Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G.

    2014-01-01

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO 3 ) n (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO 3 (001) substrates by means of a sequential deposition of Sr-O/Ti-O 2 atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO 3 ) 4 block at the level of 2.4%. This identifies the SrTiO 3 substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy

  4. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  5. Formation and disruption of current paths of anodic porous alumina films by conducting atomic force microscopy

    International Nuclear Information System (INIS)

    Oyoshi, K.; Nigo, S.; Inoue, J.; Sakai, O.; Kitazawa, H.; Kido, G.

    2010-01-01

    Anodic porous alumina (APA) films have a honeycomb cell structure of pores and a voltage-induced bi-stable switching effect. We have applied conducting atomic force microscopy (CAFM) as a method to form and to disrupt current paths in the APA films. A bi-polar switching operation was confirmed. We have firstly observed terminals of current paths as spots or areas typically on the center of the triangle formed by three pores. In addition, though a part of the current path showed repetitive switching, most of them were not observed again at the same position after one cycle of switching operations in the present experiments. This suggests that a part of alumina structure and/or composition along the current paths is modified during the switching operations.

  6. Formation and disruption of current paths of anodic porous alumina films by conducting atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Oyoshi, K., E-mail: oyoshi.keiji@nims.go.jp [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba 305-0047 (Japan); Nigo, S.; Inoue, J.; Sakai, O.; Kitazawa, H.; Kido, G. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba 305-0047 (Japan)

    2010-11-15

    Anodic porous alumina (APA) films have a honeycomb cell structure of pores and a voltage-induced bi-stable switching effect. We have applied conducting atomic force microscopy (CAFM) as a method to form and to disrupt current paths in the APA films. A bi-polar switching operation was confirmed. We have firstly observed terminals of current paths as spots or areas typically on the center of the triangle formed by three pores. In addition, though a part of the current path showed repetitive switching, most of them were not observed again at the same position after one cycle of switching operations in the present experiments. This suggests that a part of alumina structure and/or composition along the current paths is modified during the switching operations.

  7. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  8. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  9. Influence of multi-hit capability on quantitative measurement of NiPtSi thin film with laser-assisted atom probe tomography

    International Nuclear Information System (INIS)

    Kinno, T.; Akutsu, H.; Tomita, M.; Kawanaka, S.; Sonehara, T.; Hokazono, A.; Renaud, L.; Martin, I.; Benbalagh, R.; Sallé, B.; Takeno, S.

    2012-01-01

    Highlights: ► Laser-assisted atom probe tomography was applied to NiPtSi films on Si substrates. ► Comparison of depth profiles of single-hit events and those of multi-hit events. ► ∼80% of Pt atoms were detected in multi-hit events. ► Multiple-ion detection is important for Laser-assisted atom probe tomography. - Abstract: Laser-assisted atom probe tomography (LA-APT) was applied to NiPtSi (0, 30, and 50% Pt contents) thin films on Si substrates. Consistent results with those of high-resolution Rutherford backscattering spectrometry (HR-RBS) were obtained. Based on the obtained data sets, the composition profiles from only the signals of single-hit events, meaning detection of one ion by one laser pulse, were compiled. The profiles from only the signals of multi-hit events, meaning detection of multiple ions by one laser pulse, were also compiled. There were large discrepancies with respect to Ni and Pt concentrations among the compiled profiles and the original profiles including the signals of both types of detection events. Additionally, the profiles compiled from single-hit events showed that Si concentration in NiPtSi layer became smaller toward the surface, differing from the original profiles and the multi-hit profiles. These results suggest that capability of simultaneous multiple-ion detection is important for appropriate LA-APT analyses.

  10. High-Flux Ultracold-Atom Chip Interferometers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — ColdQuanta's ultimate objective is to produce a compact, turnkey, ultracold-atom system specifically designed for performing interferometry with Bose-Einstein...

  11. Effects of pre-sputtered Al interlayer on the atomic layer deposition of Al{sub 2}O{sub 3} films on Mg–10Li–0.5Zn alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, P.C.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, Taichung, Taiwan (China); Yeh, M.T. [Amli Materials Technology Co. Ltd., New Taipei, Taiwan (China)

    2013-04-01

    In this study, a dual-layer of Al/Al{sub 2}O{sub 3} films was deposited on the Mg–10Li–0.5Zn substrate using both techniques of magnetron sputtering and atomic layer deposition (ALD). The pre-sputtered Al interlayer has a crystalline structure and the ALD-Al{sub 2}O{sub 3} film is amorphous. The Al interlayer could effectively obstruct the diffusion out of Li atoms from the Mg–10Li–0.5Zn substrate during the deposition of ALD-Al{sub 2}O{sub 3} film. The Mg–10Li–0.5Zn specimen with a dual-layer of Al/Al{sub 2}O{sub 3} films exhibits a much better corrosion resistance than those specimens with a single layer of sputtered Al or ALD-Al{sub 2}O{sub 3}.

  12. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  13. Atomic energy for progress

    International Nuclear Information System (INIS)

    1974-01-01

    The film discusses the functions and activities of the Philippine Atomic Energy Commission. Shown are the applications of atomic energy in research, agriculture, engineering, industry and medicine, as well as the construction of the research reactor and its inauguration by President Marcos

  14. From lab-on-a-chip to lab-in-a-cell

    NARCIS (Netherlands)

    Andersson, Helene; van den Berg, Albert

    2005-01-01

    There are many efforts today trying to mimic the properties of single cells in order to design chips that are as efficient as cells. However, cells are nature"s nanotechnology engineering at the scale of atoms and molecules. Therefore, it might be better to vision a microchip that utilizes a single

  15. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  16. Linear Coefficient of Thermal Expansion of Porous Anodic Alumina Thin Films from Atomic Force Microscopy

    OpenAIRE

    Zhang, Richard X; Fisher, Timothy; Raman, Arvind; Sands, Timothy D

    2009-01-01

    In this article, a precise and convenient technique based on the atomic force microscope (AFM) is developed to measure the linear coefficient of thermal expansion of a porous anodic alumina thin film. A stage was used to heat the sample from room temperature up to 450 K. Thermal effects on AFM probes and different operation modes at elevated temperatures were also studied, and a silicon AFM probe in the tapping mode was chosen for the subsequent measurements due to its temperature insensitivi...

  17. Coupling ultracold atoms to a superconducting coplanar waveguide resonator

    OpenAIRE

    Hattermann, H.; Bothner, D.; Ley, L. Y.; Ferdinand, B.; Wiedmaier, D.; Sárkány, L.; Kleiner, R.; Koelle, D.; Fortágh, J.

    2017-01-01

    We demonstrate coupling of magnetically trapped ultracold $^87$Rb ground state atoms to a coherently driven superconducting coplanar resonator on an integrated atom chip. We measure the microwave field strength in the cavity through observation of the AC shift of the hyperfine transition frequency when the cavity is driven off-resonance from the atomic transition. The measured shifts are used to reconstruct the field in the resonator, in close agreement with transmission measurements of the c...

  18. Local current-voltage behaviors of preferentially and randomly textured Cu(In,Ga)Se2 thin films investigated by conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Shin, R.H.; Jo, W.; Kim, D.W.; Yun, Jae Ho; Ahn, S.

    2011-01-01

    Electrical transport properties on polycrystalline Cu(In,Ga)Se 2 (CIGS) (Ga/(In+Ga) ∼35%) thin films were examined by conductive atomic force microscopy. The CIGS thin films with a (112) preferential or random texture were deposited on Mo-coated glass substrates. Triangular pyramidal grain growths were observed in the CIGS thin films preferentially textured to the (112) planes. Current maps of the CIGS surface were acquired with a zero or non-zero external voltage bias. The contrast of the images on the grain boundaries and intragrains displayed the conduction path in the materials. Local current-voltage measurements were performed to evaluate the charge conduction properties of the CIGS thin films. (orig.)

  19. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  20. The growth of sodium rough films on mica (0001) as determined by Helium Atom Scattering

    DEFF Research Database (Denmark)

    Gerlach, Rolf; Balzer, Frank; Rubahn, Horst-Günter

    2001-01-01

    , which is addressed to Na atoms that fill cleavage-induced holes in the mica surface. It provides a convenient means of calibrating the coverage of the surface. With increasing surface coverage Na clusters are formed on the mica surface. A broad angular distribution of the scattered Helium intensity......Elastic helium atom scattering (HAS) and linear optical extinction measurements are used to investigate the growth of sodium (Na) films on mica substrates in the surface temperature range between 90 and 300 K. At half a monolayer (ML) surface coverage we observe a maximum of scattered He intensity...... is observed with a coverage-independent angular width above eight monolayers coverage. From simultaneous optical extinction measurements we deduce that the clusters are oblate with a ratio of semiaxes perpendicular and parallel to the surface plane between 0.23 and 0.165....

  1. Silicon Chip-to-Chip Mode-Division Multiplexing

    DEFF Research Database (Denmark)

    Baumann, Jan Markus; Porto da Silva, Edson; Ding, Yunhong

    2018-01-01

    A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes.......A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes....

  2. Efficiencies of Eu{sup 3+} ions and hydrogen atoms as donors in ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp [NTT Device Innovation Center, NTT Corporation, 3-1 Morinosato Wakamiya, Atsugi, Kanagawa 243-0198 (Japan)

    2016-09-15

    The donor efficiencies of Eu{sup 3+} ions and hydrogen atoms in ZnO crystalline films were investigated with reference to that of Ga{sup 3+} ions. It was found that Eu{sup 3+} ions acted as extrinsic donors in ZnO:Eu films, yielding a resistivity of 1.8 × 10{sup −3} Ω cm at a doping level of 1 at. %. This value is comparable to one for intrinsic donors in undoped ZnO films. The conductivity was maintained as the deposition temperature was increased to 200 °C, and this is evidence for the contribution of extrinsic donors. Deposition of Ga-doped and Eu-doped ZnO films in an H{sub 2}O gas flow produced oxyhydrogenated ZnO:(Ga, H) and ZnO:(Eu, H) films in which the Ga{sup 3+} and Eu{sup 3+} donors were deactivated by oxidization. Nevertheless, hydrogen donors contributed to electrical conduction yielding a resistivity of 1 × 10{sup −2} Ω cm. Postannealing in an H{sub 2} gas ambient alleviated the excessive oxidization of the films and thereby reactivated the donor action of Ga{sup 3+} and Eu{sup 3+} ions, causing the resistivity to recover to 10{sup −3} Ω cm for ZnO:(Ga, H) and 10{sup −2} Ω cm for ZnO:(Eu, H). In contrast, vacuum annealing of ZnO:(Ga, H) and ZnO:(Eu, H) films increased resistivity through removal of hydrogen donors while not affecting the oxidized condition of the samples.

  3. Introduction to liquid wall film atomization

    Directory of Open Access Journals (Sweden)

    Jícha Miroslav

    2012-04-01

    Full Text Available The objective of the article is an introduction to the theoretical study of atomization of droplets from the surface of a thin liquid film. The overview of basic principles of atomization prediction is complemented by the comparison of the calculations performed according to the selected approaches.

  4. Atomic diffusion in laser irradiated Ge rich GeSbTe thin films for phase change memory applications

    Science.gov (United States)

    Privitera, S. M. S.; Sousa, V.; Bongiorno, C.; Navarro, G.; Sabbione, C.; Carria, E.; Rimini, E.

    2018-04-01

    The atomic diffusion and compositional variations upon melting have been studied by transmission electron microscopy and electron energy loss spectroscopy in Ge rich GeSbTe films, with a composition optimized for memory applications. Melting and quenching has been achieved by laser pulses, in order to study pure thermal diffusion without electric field induced electromigration. The effect of different laser energy densities has been investigated. The diffusion of Ge atoms in the molten phase is found to be a prominent mechanism and, by employing finite elements computational analysis, a diffusion coefficient of Ge on the order of 5  ×  10-5 cm2 s-1 has been estimated.

  5. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  6. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  7. Ultrahigh stability of atomically thin metallic glasses

    Energy Technology Data Exchange (ETDEWEB)

    Cao, C. R.; Huang, K. Q.; Zhao, N. J.; Sun, Y. T.; Bai, H. Y.; Gu, L., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn; Zheng, D. N., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn; Wang, W. H., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-07-07

    We report the fabrication and study of thermal stability of atomically thin ZrCu-based metallic glass films. The ultrathin films exhibit striking dynamic properties, ultrahigh thermal stability, and unique crystallization behavior with discrete crystalline nanoparticles sizes. The mechanisms for the remarkable high stability and crystallization behaviors are attributed to the dewetting process of the ultrathin film. We demonstrated a promising avenue for understanding some fundamental issues such as glassy structure, crystallization, deformation, and glass formation through atomic resolution imaging of the two dimensional like metallic glasses.

  8. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    International Nuclear Information System (INIS)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H.; Julin, Jaakko; Sajavaara, Timo

    2016-01-01

    The atomic layer deposition (ALD) of films with the approximate compositions Mn 3 (BO 3 ) 2 and CoB 2 O 4 is described using MnTp 2 or CoTp 2 [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp 2 and CoTp 2 are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp 2 and CoTp 2 at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp 2 or CoTp 2 with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp 2 and CoTp 2 are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  9. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  10. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  11. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  12. Taking Spectacle Seriously: Wildlife Film and the Legacy of Natural History Display.

    Science.gov (United States)

    Louson, Eleanor

    2018-03-01

    Argument I argue through an analysis of spectacle that the relationship between wildlife documentary films' entertainment and educational mandates is complex and co-constitutive. Accuracy-based criticism of wildlife films reveals assumptions of a deficit model of science communication and positions spectacle as an external commercial pressure influencing the genre. Using the Planet Earth (2006) series as a case study, I describe spectacle's prominence within the recent blue-chip renaissance in wildlife film, resulting from technological innovations and twenty-first-century consumer and broadcast market contexts. I connect spectacle in contemporary wildlife films to its relevant precursors within natural history, situating spectacle as a central feature of natural history display designed to inspire awe and wonder in audiences. I show that contemporary documentary spectacle is best understood as an opportunity for affective knowing rather than a constraint on accuracy; as a result, spectacle contributes to the virtuous inter-reinforcement of entertainment and education at work in blue-chip wildlife films.

  13. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  14. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  15. Production of pulsed atomic oxygen beams via laser vaporization methods

    International Nuclear Information System (INIS)

    Brinza, D.E.; Coulter, D.R.; Liang, R.H.; Gupta, A.

    1987-01-01

    Energetic pulsed atomic oxygen beams were generated by laser-driven evaporation of cryogenically frozen ozone/oxygen films and thin films of indium-tin oxide (ITO). Mass and energy characterization of beams from the ozone/oxygen films were carried out by mass spectrometry. The peak flux, found to occur at 10 eV, is estimated from this data to be 3 x 10(20) m(-2) s(-1). Analysis of the time-of-flight data indicates a number of processes contribute to the formation of the atomic oxygen beam. The absence of metastable states such as the 2p(3) 3s(1) (5S) level of atomic oxygen blown off from ITO films is supported by the failure to observe emission at 777.3 nm from the 2p(3) 3p(1) (5P/sub J/) levels. Reactive scattering experiments with polymer film targets for atomic oxygen bombardment are planned using a universal crossed molecular beam apparatus

  16. Investigation of Al{sub 2}O{sub 3} barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony; Aventurier, Bernard [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Ghazouani, Ahlem; Jullien, Tony [LETI/DTSI/SDEP/Laboratoire Dépôt Equipe 2, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Rochat, Névine [LETI/DTSI/Service de Caractérisation des Matériaux et Composants, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Simon, Jean-Yves; Viasnoff, Emilie [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2013-12-02

    Al{sub 2}O{sub 3} films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ{sub 3}) films made onto silicon wafers. It has been found that a thick ALD-deposited Al{sub 2}O{sub 3} layer (> 11 nm) greatly prevents the photo-oxidation of AlQ{sub 3} films when exposed to continuous UV irradiation (350 mW/cm{sup 2}). Thin Al{sub 2}O{sub 3} thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al{sub 2}O{sub 3} layer have been easily observed as non-fluorescent AlQ{sub 3} singularities, or black spots, under UV light on the system Si/AlQ{sub 3}/Al{sub 2}O{sub 3} stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h{sup −1}·cm{sup −2} and 0.243 h{sup −1}·cm{sup −2} respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO{sub 2} onto the Si/AlQ{sub 3}/Al{sub 2}O{sub 3} sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h{sup −1}·cm{sup −2} and 0.04 h{sup −1}·cm{sup −2} respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al{sub 2}O{sub 3} films and confirm the general idea that a single Al{sub 2}O{sub 3} ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an

  17. Investigations of the dynamics and growth of insulator films by high resolution helium atom scattering. Final report, May 1, 1985--April 30, 1997

    Energy Technology Data Exchange (ETDEWEB)

    Safron, S.A.; Skofronick, J.G.

    1997-07-01

    Over the twelve years of this grant from the U.S. Department of Energy, DE-FG05-85ER45208, the over-reaching aims of this work have been to explore and to attempt to understand the fundamental physics and chemistry of surfaces and interfaces. The instrument we have employed m in this work is high-resolution helium atom scattering (HAS) which we have become even more convinced is an exceptionally powerful and useful tool for surface science. One can follow the evolution of the development and progress of the experiments that we have carried out by the evolution of the proposal titles for each of the four three-year periods. At first, m in 1985-1988, the main objective of this grant was to construct the HAS instrument so that we could begin work on the surface vibrational dynamics of crystalline materials; the title was {open_quotes}Helium Atom-Surface Scattering Apparatus for Studies of Crystalline Surface Dynamics{close_quotes}. Then, as we became more interested m in the growth of films and interfaces the title m in 1988-1991 became {open_quotes}Helium Atom Surface Spectroscopy: Surface Lattice Dynamics of Insulators, Metal and Metal Overlayers{close_quotes}. In 1991-1994, we headed even more m in this direction, and also recognized that we should focus more on insulator materials as very few techniques other than helium atom scattering could be applied to insulators without causing surface damage. Thus, the proposal title became {open_quotes}Helium Atom-Surface Scattering: Surface Dynamics of Insulators, Overlayers and Crystal Growth{close_quotes}. M in the final period of this grant the title ended up {open_quotes}Investigations of the Dynamics and Growth of Insulator Films by High Resolution Helium Atom Scattering{close_quotes} m in 1994-1997. The list of accomplishments briefly discussed in this report are: tests of the shell model; multiphoton scattering; physisorbed monolayer films; other surface phase transitions; and surface magnetic effects.

  18. Light-induced atomic desorption and related phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Burchianti, A; Bogi, A; Marinelli, C; Mariotti, E; Moi, L [CNISM and Physics Department, University of Siena, 53100 Siena (Italy)], E-mail: burchianti@unisi.it

    2009-07-15

    We review some recent studies on light-induced atomic desorption (LIAD) from dielectric surfaces. Alkali-metal atoms adsorbed either on organic films or on porous glass are released into the vapor phase under illumination. The measurements were performed in Pyrex resonance cells either coated with siloxane films or containing a porous glass sample. In both cases, the experimental results show that LIAD can be used to produce atomic densities suitable for most atomic physics experiments. Moreover, we find that photoinduced effects, correlated with LIAD, produce reversible formation and evaporation of alkali-metal clusters in porous glass. These processes depend on the light frequency, making the porous glass transmittance controllable by light.

  19. Reactivity at the film/solution interface of ex situ prepared bismuth film electrodes: A scanning electrochemical microscopy (SECM) and atomic force microscopy (AFM) investigation

    International Nuclear Information System (INIS)

    Hocevar, Samo B.; Daniele, Salvatore; Bragato, Carlo; Ogorevc, Bozidar

    2007-01-01

    Bismuth film electrodes (BiFEs) prepared ex situ with and without complexing bromide ions in the modification solution were investigated using scanning electrochemical microscopy (SECM) and atomic force microscopy (AFM). A feedback mode of the SECM was employed to examine the conductivity and reactivity of a series of thin bismuth films deposited onto disk glassy carbon substrate electrodes (GCEs) of 3 mm in diameter. A platinum micro-electrode (φ = 25 μm) was used as the SECM tip, and current against tip/substrate distance was recorded in solutions containing either Ru(NH 3 ) 6 3+ or Fe(CN) 6 4- species as redox mediators. With both redox mediators positive feedback approach curves were recorded, which indicated that the bismuth film deposition protocol associated with the addition of bromide ions in the modification solution did not compromise the conductivity of the bismuth film in comparison with that prepared without bromide. However, at the former Bi film a slight kinetic hindering was observed in recycling Ru(NH 3 ) 6 3+ , suggesting a different surface potential. On the other hand, the approach curves recorded by using Fe(CN) 6 4- showed that both types of the aforementioned bismuth films exhibited local reactivity with the oxidised form of the redox mediator, and that bismuth film obtained with bromide ions exhibited slightly lower reactivity. The use of SECM in the scanning operation mode allowed us to ascertain that the bismuth deposits were uniformly distributed across the whole surface of the glassy carbon substrate electrode. Comparative AFM measurements corroborated the above findings and additionally revealed a denser growth of smaller bismuth crystals over the surface of the substrate electrode in the presence of bromide ions, while the crystals were bigger but sparser in the absence of bromide ions in the modification solution

  20. The effect of the partial pressure of H2 gas and atomic hydrogen on diamond films deposited using CH3OH/H2O gas

    International Nuclear Information System (INIS)

    Lee, Kwon-Jai; Koh, Jae-Gui; Shin, Jae-Soo; Kwon, Ki-Hong; Lee, Chang-Hee

    2006-01-01

    Diamond films were deposited on Si(100) substrates by hot filament chemical vapor deposition (HFCVD) with a CH 3 OH/H 2 O gas mixture while changing the gas ratio. The films were analyzed with scanning electron microscopy (SEM), Raman spectroscopy, and optical emission spectroscopy (OES). The diamond films were grown with CH 3 OH being 52 % by volume of the gas mixture. The effect of atomic hydrogen on the film was different from that of the CH 4 /H 2 gas mixture. Analysis with OES during film growth indicated that among the thermally dissociated hydrogen radicals, only H α contributed to the etching of graphite.

  1. Atomic layer deposition of boron-containing films using B{sub 2}F{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U., E-mail: amane@anl.gov; Elam, Jeffrey W. [Argonne National Laboratory, Argonne, Illinois 60126 (United States); Goldberg, Alexander; Halls, Mathew D. [Schrödinger, Inc., San Diego, California 92122 (United States); Seidel, Thomas E. [Seitek50, Palm Coast, Florida 32135 (United States); Current, Michael I. [Current Scientific, San Jose, California 95124 (United States); Despres, Joseph; Byl, Oleg; Tang, Ying; Sweeney, Joseph [Entegris, Danbury, Connecticut 06810 (United States)

    2016-01-15

    Ultrathin and conformal boron-containing atomic layer deposition (ALD) films could be used as a shallow dopant source for advanced transistor structures in microelectronics manufacturing. With this application in mind, diboron tetrafluoride (B{sub 2}F{sub 4}) was explored as an ALD precursor for the deposition of boron containing films. Density functional theory simulations for nucleation on silicon (100) surfaces indicated better reactivity of B{sub 2}F{sub 4} in comparison to BF{sub 3}. Quartz crystal microbalance experiments exhibited growth using either B{sub 2}F{sub 4}-H{sub 2}O for B{sub 2}O{sub 3} ALD, or B{sub 2}F{sub 4}-disilane (Si{sub 2}H{sub 6}) for B ALD, but in both cases, the initial growth per cycle was quite low (≤0.2 Å/cycle) and decreased to near zero growth after 8–30 ALD cycles. However, alternating between B{sub 2}F{sub 4}-H{sub 2}O and trimethyl aluminum (TMA)-H{sub 2}O ALD cycles resulted in sustained growth at ∼0.65 Å/cycle, suggesting that the dense –OH surface termination produced by the TMA-H{sub 2}O combination enhances the uptake of B{sub 2}F{sub 4} precursor. The resultant boron containing films were analyzed for composition by x-ray photoelectron spectroscopy, and capacitance measurements indicated an insulating characteristic. Finally, diffused boron profiles less than 100 Å were obtained after rapid thermal anneal of the boron containing ALD film.

  2. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  3. Atomic control of substrate termination and heteroepitaxial growth of SrTiO sub 3 /LaAlO sub 3 films

    CERN Document Server

    Kim, D W; Choi, C; Lim, K D; Noh, T W; Lee, D R; Park, J H; Lee, K B

    2000-01-01

    The roles of substrate termination in the growth behaviors of SrTiO sub 3 (STO) films were investigated. With heat treatment and an atomic layer deposition technique, LaAlO sub 3 (LAO) substrates with two kinds of terminations, i.e., LaO- and AlO sub 2 -terminated ones, could be prepared. On top of them STO films were grown by using laser molecular beam epitaxy. In the case of the STO/LaO-LAO film, a transition from layer-by-layer growth to island growth was observed after growth of about 10 monolayers (ML). On the other hand, the STO/AlO sub 2 -LAO film could be grown in a layer-by-layer mode with a flat surface up to 40 ML. We suggest that defects induced by charge compensation influence the strain states and the physical properties of oxide heterostructures significantly.

  4. Influence of H on the composition and atomic concentrations of 'N-rich' plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bohne, W.; Roehrich, J.; Selle, B.

    2004-01-01

    The influence of H on the composition and atomic concentrations of Si, O, and N of plasma deposited SiO x N y H z films was investigated. The bonding scheme of H was analyzed by Fourier-transform infrared spectroscopy. The composition and absolute concentrations of all the species present in the SiO x N y H z , including H, was measured by heavy-ion elastic recoil detection analysis (HI-ERDA). Samples were deposited from SiH 4 , O 2 , and N 2 gas mixtures, with different gas flow ratios in order to obtain compositions ranging from SiN y H z to SiO 2 . Those samples deposited at higher SiH 4 partial pressures show both Si-H and N-H bonds, while those deposited at lower SiH 4 partial pressures show N-H bonds only. The Si-H and N-H bond concentrations were found to be proportional to the N concentration. The concentration of H was evaluated from the Si-H and N-H stretching absorption bands and compared to the HI-ERDA results, finding good agreement between both measurements. The deviation from H-free stoichiometric SiO x N y composition due to the presence of N-H bonds results in an effective coordination number of N to produce Si-N bonds lower than 3. By fitting the experimental composition data to a theoretical model taking into account the influence of N-H bonds, the actual concentration of N-H bonds was obtained, making evident the presence of nonbonded H. The presence of Si-H and Si-Si bonds was found to partially compensate the effect of N-H bonds, from the point of view of the relative N and Si contents. Finally, the presence of N-H bonds results in a lower Si atom concentration with respect to the stoichiometric film, due to a replacement of Si atoms by H atoms. This decrease of the Si concentration is lower in those films containing Si-H and Si-Si bonds. A model was developed to calculate the Si, O, and N atom concentrations taking into account the influence of N-H, Si-H, and Si-Si bonds, and was found to be in perfect agreement with the experimental data

  5. Molecular simulation of freestanding amorphous nickel thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dong, T.Q. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France); Hoang, V.V., E-mail: vvhoang2002@yahoo.com [Department of Physics, Institute of Technology, National University of Ho Chi Minh City, 268 Ly Thuong Kiet Street, District 10, Ho Chi Minh City (Viet Nam); Lauriat, G. [Université Paris-Est, Laboratoire Modélisation et Simulation Multi Echelle, UMR 8208 CNRS, 5 Boulevard Descartes, 77454 Marne-la-Vallée, Cedex 2 (France)

    2013-10-31

    Size effects on glass formation in freestanding Ni thin films have been studied via molecular dynamics simulation with the n-body Gupta interatomic potential. Atomic mechanism of glass formation in the films is determined via analysis of the spatio-temporal arrangements of solid-like atoms occurred upon cooling from the melt. Solid-like atoms are detected via the Lindemann ratio. We find that solid-like atoms initiate and grow mainly in the interior of the film and grow outward. Their number increases with decreasing temperature and at a glass transition temperature they dominate in the system to form a relatively rigid glassy state of a thin film shape. We find the existence of a mobile surface layer in both liquid and glassy states which can play an important role in various surface properties of amorphous Ni thin films. We find that glass formation is size independent for models containing 4000 to 108,000 atoms. Moreover, structure of amorphous Ni thin films has been studied in details via coordination number, Honeycutt–Andersen analysis, and density profile which reveal that amorphous thin films exhibit two different parts: interior and surface layer. The former exhibits almost the same structure like that found for the bulk while the latter behaves a more porous structure containing a large amount of undercoordinated sites which are the origin of various surface behaviors of the amorphous Ni or Ni-based thin films found in practice. - Highlights: • Glass formation is analyzed via spatio-temporal arrangements of solid-like atoms. • Amorphous Ni thin film exhibits two different parts: surface and interior. • Mobile surface layer enhances various surface properties of the amorphous Ni thin films. • Undercoordinated sites play an important role in various surface activities.

  6. Local current-voltage behaviors of preferentially and randomly textured Cu(In,Ga)Se{sub 2} thin films investigated by conductive atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Shin, R.H.; Jo, W. [Ewha Womans University, Department of Physics, Seoul (Korea, Republic of); Kim, D.W. [Ewha Womans University, Department of Physics, Seoul (Korea, Republic of); Ewha Womans University, Department of Chemistry and Nanosciences, Seoul (Korea, Republic of); Yun, Jae Ho; Ahn, S. [Korea Institute of Energy Research, Daejeon (Korea, Republic of)

    2011-09-15

    Electrical transport properties on polycrystalline Cu(In,Ga)Se{sub 2} (CIGS) (Ga/(In+Ga) {approx}35%) thin films were examined by conductive atomic force microscopy. The CIGS thin films with a (112) preferential or random texture were deposited on Mo-coated glass substrates. Triangular pyramidal grain growths were observed in the CIGS thin films preferentially textured to the (112) planes. Current maps of the CIGS surface were acquired with a zero or non-zero external voltage bias. The contrast of the images on the grain boundaries and intragrains displayed the conduction path in the materials. Local current-voltage measurements were performed to evaluate the charge conduction properties of the CIGS thin films. (orig.)

  7. Quantum Logic with Cavity Photons From Single Atoms.

    Science.gov (United States)

    Holleczek, Annemarie; Barter, Oliver; Rubenok, Allison; Dilley, Jerome; Nisbet-Jones, Peter B R; Langfahl-Klabes, Gunnar; Marshall, Graham D; Sparrow, Chris; O'Brien, Jeremy L; Poulios, Konstantinos; Kuhn, Axel; Matthews, Jonathan C F

    2016-07-08

    We demonstrate quantum logic using narrow linewidth photons that are produced with an a priori nonprobabilistic scheme from a single ^{87}Rb atom strongly coupled to a high-finesse cavity. We use a controlled-not gate integrated into a photonic chip to entangle these photons, and we observe nonclassical correlations between photon detection events separated by periods exceeding the travel time across the chip by 3 orders of magnitude. This enables quantum technology that will use the properties of both narrow-band single photon sources and integrated quantum photonics.

  8. Methods for using atomic layer deposition to produce a film for solid state electrolytes and protective electrode coatings for lithium batteries

    Science.gov (United States)

    Elam, Jeffrey W.; Meng, Xiangbo

    2018-03-13

    A method for using atomic layer deposition to produce a film configured for use in an anode, cathode, or solid state electrolyte of a lithium-ion battery or a lithium-sulfur battery. The method includes repeating a cycle for a predetermined number of times in an inert atmosphere. The cycle includes exposing a substrate to a first precursor, purging the substrate with inert gas, exposing the substrate to a second precursor, and purging the substrate with inert gas. The film is a metal sulfide.

  9. Method for Aluminum Oxide Thin Films Prepared through Low Temperature Atomic Layer Deposition for Encapsulating Organic Electroluminescent Devices

    Directory of Open Access Journals (Sweden)

    Hui-Ying Li

    2015-02-01

    Full Text Available Preparation of dense alumina (Al2O3 thin film through atomic layer deposition (ALD provides a pathway to achieve the encapsulation of organic light emitting devices (OLED. Unlike traditional ALD which is usually executed at higher reaction n temperatures that may affect the performance of OLED, this application discusses the development on preparation of ALD thin film at a low temperature. One concern of ALD is the suppressing effect of ambient temperature on uniformity of thin film. To mitigate this issue, the pumping time in each reaction cycle was increased during the preparation process, which removed reaction byproducts and inhibited the formation of vacancies. As a result, the obtained thin film had both high uniformity and density properties, which provided an excellent encapsulation performance. The results from microstructure morphology analysis, water vapor transmission rate, and lifetime test showed that the difference in uniformity between thin films prepared at low temperatures, with increased pumping time, and high temperatures was small and there was no obvious influence of increased pumping time on light emitting performance. Meanwhile, the permeability for water vapor of the thin film prepared at a low temperature was found to reach as low as 1.5 × 10−4 g/(m2·day under ambient conditions of 25 °C and 60% relative humidity, indicating a potential extension in the lifetime for the OLED.

  10. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  11. Passivation and alloying element retention in gas atomized powders

    Science.gov (United States)

    Heidloff, Andrew J.; Rieken, Joel R.; Anderson, Iver E.

    2017-12-05

    A method for gas atomization of a titanium alloy, nickel alloy, or other alumina (Al.sub.2O.sub.3)-forming alloy wherein the atomized particles are exposed as they solidify and cool in a very short time to multiple gaseous reactive agents for the in-situ formation of a passivation reaction film on the atomized particles wherein the reaction film retains a precursor halogen alloying element that is subsequently introduced into a microstructure formed by subsequent thermally processing of the atomized particles to improve oxidation resistance.

  12. Bipolar resistive switching characteristics of low temperature grown ZnO thin films by plasma-enhanced atomic layer deposition

    International Nuclear Information System (INIS)

    Zhang Jian; Yang Hui; Zhang Qilong; Dong Shurong; Luo, J. K.

    2013-01-01

    ZnO films deposited by plasma-enhanced atomic layer deposition (PEALD) have been used to investigate resistive memory behavior. The bipolar resistance switching properties were observed in the Al/PEALD-ZnO/Pt devices. The resistance ratio for the high and low resistance states (HRS/LRS) is more than 10 3 , better than ZnO devices deposited by other methods. The dominant conduction mechanisms of HRS and LRS are trap-controlled space charge limited current and Ohmic behavior, respectively. The resistive switching behavior is induced upon the formation/disruption of conducting filaments. This study demonstrated that the PEALD-ZnO films have better properties for the application in 3D resistance random access memory.

  13. Flip chip assembly of thinned chips for hybrid pixel detector applications

    International Nuclear Information System (INIS)

    Fritzsch, T; Zoschke, K; Rothermund, M; Oppermann, H; Woehrmann, M; Ehrmann, O; Lang, K D; Huegging, F

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump deposition process the glass-readout chip stack is diced in one step. Finally the glass carrier chip is released by laser illumination after flip chip assembly of the readout chip onto sensor tile. The results of the flip chip assembly process development for the ATLAS IBL upgrade are described more in detail. The new ATLAS FEI4B chip with a size of 20 × 19 mm 2 is flip chip bonded with a thickness of only 150 μm, but the capability of this technology has been demonstrated on hybrid modules with a reduced readout chip thickness of down to 50 μm which is a major step for ultra-thin electronic systems

  14. Transfer-free synthesis of graphene-like atomically thin carbon films on SiC by ion beam mixing technique

    Science.gov (United States)

    Zhang, Rui; Chen, Fenghua; Wang, Jinbin; Fu, Dejun

    2018-03-01

    Here we demonstrate the synthesis of graphene directly on SiC substrates at 900 °C using ion beam mixing technique with energetic carbon cluster ions on Ni/SiC structures. The thickness of 7-8 nm Ni films was evaporated on the SiC substrates, followed by C cluster ion bombarding. Carbon cluster ions C4 were bombarded at 16 keV with the dosage of 4 × 1016 atoms/cm2. After thermal annealing process Ni silicides were formed, whereas C atoms either from the decomposition of the SiC substrates or the implanted contributes to the graphene synthesis by segregating and precipitating process. The limited solubility of carbon atoms in silicides, involving SiC, Ni2Si, Ni5Si2, Ni3Si, resulted in diffusion and precipitation of carbon atoms to form graphene on top of Ni and the interface of Ni/SiC. The ion beam mixing technique provides an attractive production method of a transfer-free graphene growth on SiC and be compatible with current device fabrication.

  15. Simulation and experimental validation of a SU-8 based PCR thermocycler chip with integrated heaters and temperature sensor

    DEFF Research Database (Denmark)

    El-Ali, Jamil; Perch-Nielsen, Ivan R.; Poulsen, Claus Riber

    2004-01-01

    We present a SU-8 based polymerase chain reaction (PCR) chip with integrated platinum thin film heaters and temperature sensor. The device is fabricated in SU-8 on a glass substrate. The use of SU-8 provides a simple microfabrication process for the PCR chamber, controllable surface properties......C/s, respectively, the performance of the chip is comparable with the best silicon micromachined PCR chips presented in the literature. The SU-8 chamber surface was found to be PCR compatible by amplification of yeast gene ribosomal protein S3 and Campylobacter gene cadF. The PCR compatibility of the chamber...

  16. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  17. Fabrication of nitrogen-containing diamond-like carbon film by filtered arc deposition as conductive hard-coating film

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Imai, Takahiro; Suda, Yoshiyuki; Takikawa, Hirofumi; Kamiya, Masao; Taki, Makoto; Hasegawa, Yushi; Tsuji, Nobuhiro; Kaneko, Satoru; Kunitsugu, Shinsuke; Habuchi, Hitoe; Kiyohara, Shuji; Ito, Mikio; Yick, Sam; Bendavid, Avi; Martin, Phil

    2018-01-01

    Diamond-like carbon (DLC) films, which are amorphous carbon films, have been used as hard-coating films for protecting the surface of mechanical parts. Nitrogen-containing DLC (N-DLC) films are expected as conductive hard-coating materials. N-DLC films are expected in applications such as protective films for contact pins, which are used in the electrical check process of integrated circuit chips. In this study, N-DLC films are prepared using the T-shaped filtered arc deposition (T-FAD) method, and film properties are investigated. Film hardness and film density decreased when the N content increased in the films because the number of graphite structures in the DLC film increased as the N content increased. These trends are similar to the results of a previous study. The electrical resistivity of N-DLC films changed from 0.26 to 8.8 Ω cm with a change in the nanoindentation hardness from 17 to 27 GPa. The N-DLC films fabricated by the T-FAD method showed high mechanical hardness and low electrical resistivity.

  18. Unusual stoichiometry control in the atomic layer deposition of manganese borate films from manganese bis(tris(pyrazolyl)borate) and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Klesko, Joseph P.; Bellow, James A.; Saly, Mark J.; Winter, Charles H., E-mail: chw@chem.wayne.edu [Department of Chemistry, Wayne State University, Detroit, Michigan 48202 (United States); Julin, Jaakko; Sajavaara, Timo [Department of Physics, University of Jyväskylä, 40014 Jyväskylä (Finland)

    2016-09-15

    The atomic layer deposition (ALD) of films with the approximate compositions Mn{sub 3}(BO{sub 3}){sub 2} and CoB{sub 2}O{sub 4} is described using MnTp{sub 2} or CoTp{sub 2} [Tp = tris(pyrazolyl)borate] with ozone. The solid state decomposition temperatures of MnTp{sub 2} and CoTp{sub 2} are ∼370 and ∼340 °C, respectively. Preparative-scale sublimations of MnTp{sub 2} and CoTp{sub 2} at 210 °C/0.05 Torr afforded >99% recoveries with <0.1% nonvolatile residues. Self-limited ALD growth was demonstrated at 325 °C for MnTp{sub 2} or CoTp{sub 2} with ozone as the coreactant. The growth rate for the manganese borate process was 0.19 Å/cycle within the ALD window of 300–350 °C. The growth rate for the cobalt borate process was 0.39–0.42 Å/cycle at 325 °C. X-ray diffraction of the as-deposited films indicated that they were amorphous. Atomic force microscopy of 35–36 nm thick manganese borate films grown within the 300–350 °C ALD window showed root mean square surface roughnesses of 0.4–0.6 nm. Film stoichiometries were assessed by x-ray photoelectron spectroscopy and time of flight-elastic recoil detection analysis. The differing film stoichiometries obtained from the very similar precursors MnTp{sub 2} and CoTp{sub 2} are proposed to arise from the oxidizing ability of the intermediate high valent manganese oxide layers and lack thereof for cobalt.

  19. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  20. Atomic layer deposition of molybdenum disulfide films using MoF 6 and H 2 S

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Letourneau, Steven [Micron School of Materials Science and Engineering, Boise State University, 1910 University Dr., Boise, Idaho 83725; Mandia, David J. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Liu, Jian [Department of Chemistry, Northwestern University, 2145 Sheridan Rd., Evanston, Illinois 60208; Libera, Joseph A. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Lei, Yu [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Peng, Qing [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439; Graugnard, Elton [Micron School of Materials Science and Engineering, Boise State University, 1910 University Dr., Boise Idaho 83725; Elam, Jeffrey W. [Energy Systems Division, Argonne National Laboratory, 9700 S. Cass Ave, Argonne, Illinois 60439

    2018-01-01

    Molybdenum sulfide films were grown by atomic layer deposition on silicon and fused silica substrates using molybdenum hexafluoride (MoF6) and hydrogen sulfide at 200 degrees C. In situ quartz crystal microbalance (QCM) measurements confirmed linear growth at 0.46 angstrom/cycle and self-limiting chemistry for both precursors. Analysis of the QCM step shapes indicated that MoS2 is the reaction product, and this finding is supported by x-ray photoelectron spectroscopy measurements showing that Mo is predominantly in the Mo(IV) state. However, Raman spectroscopy and x-ray diffraction measurements failed to identify crystalline MoS2 in the as-deposited films, and this might result from unreacted MoFx residues in the films. Annealing the films at 350 degrees C in a hydrogen rich environment yielded crystalline MoS2 and reduced the F concentration in the films. Optical transmission measurements yielded a bandgap of 1.3 eV. Finally, the authors observed that the MoS2 growth per cycle was accelerated when a fraction of the MoF6 pulses were substituted with diethyl zinc. Published by the AVS

  1. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  2. Quantum interference in heterogeneous superconducting-photonic circuits on a silicon chip.

    Science.gov (United States)

    Schuck, C; Guo, X; Fan, L; Ma, X; Poot, M; Tang, H X

    2016-01-21

    Quantum information processing holds great promise for communicating and computing data efficiently. However, scaling current photonic implementation approaches to larger system size remains an outstanding challenge for realizing disruptive quantum technology. Two main ingredients of quantum information processors are quantum interference and single-photon detectors. Here we develop a hybrid superconducting-photonic circuit system to show how these elements can be combined in a scalable fashion on a silicon chip. We demonstrate the suitability of this approach for integrated quantum optics by interfering and detecting photon pairs directly on the chip with waveguide-coupled single-photon detectors. Using a directional coupler implemented with silicon nitride nanophotonic waveguides, we observe 97% interference visibility when measuring photon statistics with two monolithically integrated superconducting single-photon detectors. The photonic circuit and detector fabrication processes are compatible with standard semiconductor thin-film technology, making it possible to implement more complex and larger scale quantum photonic circuits on silicon chips.

  3. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  4. Atomic layer epitaxy of Ruddlesden-Popper SrO(SrTiO{sub 3}){sub n} films by means of metalorganic aerosol deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jungbauer, M.; Hühn, S.; Moshnyaga, V. [Erstes Physikalisches Institut, Universität Göttingen, Friedrich-Hund-Platz 1, 37077 Göttingen (Germany); Egoavil, R.; Tan, H.; Verbeeck, J.; Van Tendeloo, G. [EMAT, University of Antwerp, Groenenborgerlaan 171, 2020 Antwerp (Belgium)

    2014-12-22

    We report an atomic layer epitaxial growth of Ruddlesden-Popper (RP) thin films of SrO(SrTiO{sub 3}){sub n} (n = ∞, 2, 3, 4) by means of metalorganic aerosol deposition (MAD). The films are grown on SrTiO{sub 3}(001) substrates by means of a sequential deposition of Sr-O/Ti-O{sub 2} atomic monolayers, monitored in-situ by optical ellipsometry. X-ray diffraction and transmission electron microscopy (TEM) reveal the RP structure with n = 2–4 in accordance with the growth recipe. RP defects, observed by TEM in a good correlation with the in-situ ellipsometry, mainly result from the excess of SrO. Being maximal at the film/substrate interface, the SrO excess rapidly decreases and saturates after 5–6 repetitions of the SrO(SrTiO{sub 3}){sub 4} block at the level of 2.4%. This identifies the SrTiO{sub 3} substrate surface as a source of RP defects under oxidizing conditions within MAD. Advantages and limitations of MAD as a solution-based and vacuum-free chemical deposition route were discussed in comparison with molecular beam epitaxy.

  5. Atomic layer deposition: an enabling technology for the growth of functional nanoscale semiconductors

    Science.gov (United States)

    Biyikli, Necmi; Haider, Ali

    2017-09-01

    In this paper, we present the progress in the growth of nanoscale semiconductors grown via atomic layer deposition (ALD). After the adoption by semiconductor chip industry, ALD became a widespread tool to grow functional films and conformal ultra-thin coatings for various applications. Based on self-limiting and ligand-exchange-based surface reactions, ALD enabled the low-temperature growth of nanoscale dielectric, metal, and semiconductor materials. Being able to deposit wafer-scale uniform semiconductor films at relatively low-temperatures, with sub-monolayer thickness control and ultimate conformality, makes ALD attractive for semiconductor device applications. Towards this end, precursors and low-temperature growth recipes are developed to deposit crystalline thin films for compound and elemental semiconductors. Conventional thermal ALD as well as plasma-assisted and radical-enhanced techniques have been exploited to achieve device-compatible film quality. Metal-oxides, III-nitrides, sulfides, and selenides are among the most popular semiconductor material families studied via ALD technology. Besides thin films, ALD can grow nanostructured semiconductors as well using either template-assisted growth methods or bottom-up controlled nucleation mechanisms. Among the demonstrated semiconductor nanostructures are nanoparticles, nano/quantum-dots, nanowires, nanotubes, nanofibers, nanopillars, hollow and core-shell versions of the afore-mentioned nanostructures, and 2D materials including transition metal dichalcogenides and graphene. ALD-grown nanoscale semiconductor materials find applications in a vast amount of applications including functional coatings, catalysis and photocatalysis, renewable energy conversion and storage, chemical sensing, opto-electronics, and flexible electronics. In this review, we give an overview of the current state-of-the-art in ALD-based nanoscale semiconductor research including the already demonstrated and future applications.

  6. Phase-coherent electron transport in (Zn, Al)O{sub x} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2014-11-24

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)O{sub x} thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al{sub 2}O{sub 3} sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length (l{sub φ}∝T{sup −3/4}), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  7. Optical and morphological characterization by atomic force microscopy of luminescent 2-styrylpyridine derivative compounds with Poly(N-vinylcarbazole) films

    International Nuclear Information System (INIS)

    Perez-Gutierrez, E.; Percino, M.J.; Chapela, V.M.; Maldonado, J.L.

    2011-01-01

    The present work addresses the optical and morphological properties of organic films based on low molecular weight dyes styrylpyridine derivatives 2-styrylpyridine (A), 4-chlorophenyl-2-vinylpyridine (B) and 4-fluorophenyl-2-vinylpyridine (C), embedded in a polymeric matrix poly(N-vinylcarbazole) (PVK). The films were prepared by a spin-coating technique from solutions with dye:PVK ratios of 0.25:1, 0.5:1 and 1:1. Solvents were chloroform and toluene. The molar absorption coefficient (ε) spectra for a dye:PVK mixture in solution were a combination of the absorptions of both components separately, but for the deposited films, the shape of the spectrum showed that the poly(N-vinylcarbazole) absorption dominated. However, when the same films were dissolved again in CHCl 3 , their spectra showed an absorption shape similar to that of the solution mixture before the deposition. Solution viscosity measurements were carried out with an Ubbelohde glass capillary viscometer to corroborate the results that showed a better mixture of the dye with the host in chloroform. The morphology of the prepared films was analyzed by atomic force microscopy and exhibited a solvent effect, with a pinhole-free, smooth surface when toluene was used and a wavy surface with chloroform. The ratio dye:matrix was the principal parameter for obtaining optical quality films; for 0.25:1 and 0.5:1 ratios, the films were of good quality, but for 1:1, the dye was expelled from the PVK and a crystallization was present over the surface of the films. Film thickness was also measured and films deposited from toluene solutions gave an average thickness of 54 nm while films from chloroform solutions had an average thickness greater than 160 nm that increased depending on chromophore concentration.

  8. Optical and morphological characterization by atomic force microscopy of luminescent 2-styrylpyridine derivative compounds with Poly(N-vinylcarbazole) films

    Energy Technology Data Exchange (ETDEWEB)

    Perez-Gutierrez, E., E-mail: cuper_enrique@msn.com [Centro de Quimica, Instituto de Ciencias, Universidad Autonoma de Puebla, Complejo de Ciencias, ICUAP, Edif. 103-F, 22 Sur y San Claudio, C.P. 72570 Puebla, Puebla (Mexico); Percino, M.J.; Chapela, V.M. [Centro de Quimica, Instituto de Ciencias, Universidad Autonoma de Puebla, Complejo de Ciencias, ICUAP, Edif. 103-F, 22 Sur y San Claudio, C.P. 72570 Puebla, Puebla (Mexico); Maldonado, J.L. [Centro de Investigaciones en Optica A.C. (CIO), Lomas del Bosque 115, Col. Lomas del Campestre, C.P. 37150, Leon Guanajuato (Mexico)

    2011-07-01

    The present work addresses the optical and morphological properties of organic films based on low molecular weight dyes styrylpyridine derivatives 2-styrylpyridine (A), 4-chlorophenyl-2-vinylpyridine (B) and 4-fluorophenyl-2-vinylpyridine (C), embedded in a polymeric matrix poly(N-vinylcarbazole) (PVK). The films were prepared by a spin-coating technique from solutions with dye:PVK ratios of 0.25:1, 0.5:1 and 1:1. Solvents were chloroform and toluene. The molar absorption coefficient ({epsilon}) spectra for a dye:PVK mixture in solution were a combination of the absorptions of both components separately, but for the deposited films, the shape of the spectrum showed that the poly(N-vinylcarbazole) absorption dominated. However, when the same films were dissolved again in CHCl{sub 3}, their spectra showed an absorption shape similar to that of the solution mixture before the deposition. Solution viscosity measurements were carried out with an Ubbelohde glass capillary viscometer to corroborate the results that showed a better mixture of the dye with the host in chloroform. The morphology of the prepared films was analyzed by atomic force microscopy and exhibited a solvent effect, with a pinhole-free, smooth surface when toluene was used and a wavy surface with chloroform. The ratio dye:matrix was the principal parameter for obtaining optical quality films; for 0.25:1 and 0.5:1 ratios, the films were of good quality, but for 1:1, the dye was expelled from the PVK and a crystallization was present over the surface of the films. Film thickness was also measured and films deposited from toluene solutions gave an average thickness of 54 nm while films from chloroform solutions had an average thickness greater than 160 nm that increased depending on chromophore concentration.

  9. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  10. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  11. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications.

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-11-04

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA-0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C-1.79 mV/°C in the range 20-300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(V excit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min) -0.1 in the tested range of 0-4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries.

  12. Harnessing the atom

    International Nuclear Information System (INIS)

    1999-01-01

    Splitting the atom has had a major impact on the history of the latter part of the 20th century. This film depicts the many benefits - and also drawbacks - of nuclear technology, and describes how the International Atomic Energy Agency performs its various tasks. It touches on challenges such as the choice between major energy sources, growing concerns about the global climate, and prospects for nuclear arms control and disarmament

  13. Atomization Performance Predictions of Gas-Centered Swirl-Coaxial Injectors

    National Research Council Canada - National Science Library

    Lightfoot, Malissa D; Danczyk, Stephen A; Talley, Douglas G

    2007-01-01

    .... The theory relates the mass of film lost via atomization to the mass of liquid introduced into the atomizer to predict atomization efficiency and offers some estimations of primary droplet diameter...

  14. Growth of centimeter-scale atomically thin MoS2 films by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Gene Siegel

    2015-05-01

    Full Text Available We are reporting the growth of single layer and few-layer MoS2 films on single crystal sapphire substrates using a pulsed-laser deposition technique. A pulsed KrF excimer laser (wavelength: 248 nm; pulse width: 25 ns was used to ablate a polycrystalline MoS2 target. The material thus ablated was deposited on a single crystal sapphire (0001 substrate kept at 700 °C in an ambient vacuum of 10−6 Torr. Detailed characterization of the films was performed using atomic force microscopy (AFM, Raman spectroscopy, UV-Vis spectroscopy, and photoluminescence (PL measurements. The ablation of the MoS2 target by 50 laser pulses (energy density: 1.5 J/cm2 was found to result in the formation of a monolayer of MoS2 as shown by AFM results. In the Raman spectrum, A1g and E12g peaks were observed at 404.6 cm−1 and 384.5 cm−1 with a spacing of 20.1 cm−1, confirming the monolayer thickness of the film. The UV-Vis absorption spectrum exhibited two exciton absorption bands at 672 nm (1.85 eV and 615 nm (2.02 eV, with an energy split of 0.17 eV, which is in excellent agreement with the theoretically predicted value of 0.15 eV. The monolayer MoS2 exhibited a PL peak at 1.85 eV confirming the direct nature of the band-gap. By varying the number of laser pulses, bi-layer, tri-layer, and few-layer MoS2 films were prepared. It was found that as the number of monolayers (n in the MoS2 films increases, the spacing between the A1g and E12g Raman peaks (Δf increases following an empirical relation, Δ f = 26 . 45 − 15 . 42 1 + 1 . 44 n 0 . 9 cm − 1 .

  15. All-polymer organic semiconductor laser chips: Parallel fabrication and encapsulation

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Klinkhammer, Sönke; Christiansen, Mads Brøkner

    2010-01-01

    Organic semiconductor lasers are of particular interest as tunable visible laser light sources. For bringing those to market encapsulation is needed to ensure practicable lifetimes. Additionally, fabrication technologies suitable for mass production must be used. We introduce all-polymer chips...... comprising encapsulated distributed feedback organic semiconductor lasers. Several chips are fabricated in parallel by thermal nanoimprint of the feedback grating on 4? wafer scale out of poly(methyl methacrylate) (PMMA) and cyclic olefin copolymer (COC). The lasers consisting of the organic semiconductor...... tris(8- hydroxyquinoline) aluminum (Alq3) doped with the laser dye 4-dicyanomethylene-2- methyl-6-(p-dimethylaminostyril)-4H-pyrane (DCM) are hermetically sealed by thermally bonding a polymer lid. The organic thin film is placed in a basin within the substrate and is not in direct contact to the lid...

  16. A film bulk acoustic resonator-based high-performance pressure sensor integrated with temperature control system

    International Nuclear Information System (INIS)

    Zhang, Mengying; Zhao, Zhan; Du, Lidong; Fang, Zhen

    2017-01-01

    This paper presented a high-performance pressure sensor based on a film bulk acoustic resonator (FBAR). The support film of the FBAR chip was made of silicon nitride and the part under the resonator area was etched to enhance the sensitivity and improve the linearity of the pressure sensor. A micro resistor temperature sensor and a micro resistor heater were integrated in the chip to monitor and control the operating temperature. The sensor chip was fabricated, and packaged in an oscillator circuit for differential pressure detection. When the detected pressure ranged from  −100 hPa to 600 hPa, the sensitivity of the improved FBAR pressure sensor was  −0.967 kHz hPa −1 , namely  −0.69 ppm hPa −1 , which was 19% higher than that of existing sensors with a complete support film. The nonlinearity of the improved sensor was less than  ±0.35%, while that of the existing sensor was  ±5%. To eliminate measurement errors from humidity, the temperature control system integrated in the sensor chip controlled the temperature of the resonator up to 75 °C, with accuracy of  ±0.015 °C and power of 20 mW. (paper)

  17. Laser-induced vibration of a thin soap film.

    Science.gov (United States)

    Emile, Olivier; Emile, Janine

    2014-09-21

    We report on the vibration of a thin soap film based on the optical radiation pressure force. The modulated low power laser induces a counter gravity flow in a vertical free-standing draining film. The thickness of the soap film is then higher in the upper region than in the lower region of the film. Moreover, the lifetime of the film is dramatically increased by a factor of 2. Since the laser beam only acts mechanically on the film interfaces, such a film can be employed in an optofluidic diaphragm pump, the interfaces behaving like a vibrating membrane and the liquid in-between being the fluid to be pumped. Such a pump could then be used in delicate micro-equipment, in chips where temperature variations are detrimental and even in biological systems.

  18. Superradiance from an ultrathin film of three-level V-type atoms: interplay between splitting, quantum coherence and local-field effects

    International Nuclear Information System (INIS)

    Malyshev, V A; Carreno, F; Anton, M A; Calderon, Oscar G; Dominguez-Adame, F

    2003-01-01

    We carry out a theoretical study of the collective spontaneous emission (superradiance) from an ultrathin film comprised of three-level atoms with V configuration of the operating transitions. As the thickness of the system is small compared to the emission wavelength inside the film, the local-field correction to the averaged Maxwell field is relevant. We show that the interplay between the low-frequency quantum coherence within the subspace of the upper doublet states and the local-field correction may drastically affect the branching ratio of the operating transitions. This effect may be used for controlling the emission process by varying the doublet splitting and the amount of low-frequency coherence

  19. Conductivity of Langmuir-Blodgett films of a disk-shaped liquid-crystalline molecule-DNA complex studied by current-sensing atomic force microscopy

    Science.gov (United States)

    Nayak, Alpana; Suresh, K. A.

    2008-08-01

    We have studied the electrical conductivity in monolayer films of an ionic disk-shaped liquid-crystal molecule, pyridinium tethered with hexaalkoxytriphenylene (PyTp), and its complex with DNA by current-sensing atomic force microscopy (CS-AFM). The pure PyTp and PyTp-DNA complex monolayer films were first formed at the air-water interface and then transferred onto conducting substrates by the Langmuir-Blodgett (LB) technique to study the nanoscale electron transport through these films. The conductive tip of CS-AFM, the LB film, and the metal substrate form a nanoscopic metal-LB film-metal (M-LB-M) junction. We have measured the current-voltage (I-V) characteristics for the M-LB-M junction using CS-AFM and have analyzed the data quantitatively. We find that the I-V curves fit well to the Fowler-Nordheim (FN) model, suggesting electron tunneling to be a possible mechanism for electron transport in our system. Further, analysis of the I-V curves based on the FN model yields the barrier heights of PyTp-DNA complex and pure PyTp films. Electron transport studies of films of ionic disk-shaped liquid-crystal molecules and their complex with DNA are important from the point of view of their applications in organic electronics.

  20. Atomic force microscopy study of TiO2 sol-gel films thermally treated under NH3 atmosphere

    International Nuclear Information System (INIS)

    Trapalis, C.; Todorova, N.; Anastasescu, M.; Anastasescu, C.; Stoica, M.; Gartner, M.; Zaharescu, M.; Stoica, T.

    2009-01-01

    Multilayered TiO 2 films were obtained by sol-gel and dipping deposition on quartz substrate followed by thermal treatment under NH 3 atmosphere. In an attempt to understand the close relationship between microstructural characteristics and the synthesis parameters, a systematic research of the structure and the morphology of NH 3 modified TiO 2 sol-gel films by XRD and Atomic Force Microscopy is reported. The surface morphology has been evaluated in terms of grains size, fractal dimension and surface roughness. For each surface, it was found a self-similar behavior (with mean fractal dimension in the range of 2.67-3.00) related to an optimum morphology favorable to maintain a nano-size distribution of the grains. The root mean square (RMS) roughness of the samples was found to be in the range of 0.72-6.02 nm.

  1. Compact, Low-Power Atomic Time and Frequency Standards

    Science.gov (United States)

    2008-12-01

    2007). This is consistent with other reports of survival of CSAC devices with thin polymide tethers to 500g ( Lutwak et al., 2007). • Humidity...InterPACK 󈧋 , July 8-12, 2007, Vancouver, British Columbia, CANADA Lutwak , R., et al., “The chip-scale atomic clock – prototype evaluation

  2. Solid state isotopic power source for computer chips

    International Nuclear Information System (INIS)

    Brown, P.M.

    1992-01-01

    This paper reports that recent developments in materials technology now make it possible to fabricate nonthermal thin-film isotopic energy converters (REC) with a specific power of 24 W/kg and 5 to 10 year working life at 5 to 10 Watts. This creates applications never before possible, such as placing the power supply directly on integrated circuit chips. The efficiency of the REC is about 25% which is two to three times greater than the 6 to 8% capabilities of current thermoelectric systems

  3. Flip chip assembly of thinned chips for hybrid pixel detector applications

    CERN Document Server

    Fritzsch, T; Woehrmann, M; Rothermund, M; Huegging, F; Ehrmann, O; Oppermann, H; Lang, K.D

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump depo...

  4. Atomic force microscopy and nanoindentation investigation of polydimethylsiloxane elastomeric substrate compliancy for various sputtered thin film morphologies.

    Science.gov (United States)

    Maji, Debashis; Das, Soumen

    2018-03-01

    Crack free electrically continuous metal thin films over soft elastomeric substrates play an integral part in realization of modern day flexible bioelectronics and biosensors. Under nonoptimized deposition conditions, delamination, and/or cracking of the top film as well as the underlying soft substrate hinders optimal performance of these devices. Hence it is very important to understand and control not only the various deposition factors like power, time, or deposition pressure but also investigate the various interfacial physics playing a critical role in assuring thin film adhesion and substrate compliancy. In the present study, various nanomechanical information of the underlying substrate, namely, crack profile, average roughness, Young's modulus, and adhesion force were studied for uncracked and cracked polydimethylsiloxane (PDMS) surfaces along with pristine and conventional plasma treated PDMS samples as control. Quantification of the above parameters were done using three-dimensional surface profiler, scanning electron microscopy, nanoindentation, and atomic force microscopy techniques to elucidate the modulus range, average roughness, and adhesion force. Comparative analysis with control revealed remarkable similarity between increased modulus values, increased surface roughness, and reduced adhesion force accounting for reduced substrate compliancy and resulting in film cracking or buckling which are critical for development of various bioflexible devices. © 2017 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 725-737, 2018. © 2017 Wiley Periodicals, Inc.

  5. Atomic-resolution characterization of the effects of CdCl2 treatment on poly-crystalline CdTe thin films

    Science.gov (United States)

    Paulauskas, T.; Buurma, C.; Colegrove, E.; Guo, Z.; Sivananthan, S.; Chan, M. K. Y.; Klie, R. F.

    2014-08-01

    Poly-crystalline CdTe thin films on glass are used in commercial solar-cell superstrate devices. It is well known that post-deposition annealing of the CdTe thin films in a CdCl2 environment significantly increases the device performance, but a fundamental understanding of the effects of such annealing has not been achieved. In this Letter, we report a change in the stoichiometry across twin boundaries in CdTe and propose that native point defects alone cannot account for this variation. Upon annealing in CdCl2, we find that the stoichiometry is restored. Our experimental measurements using atomic-resolution high-angle annular dark field imaging, electron energy-loss spectroscopy, and energy dispersive X-ray spectroscopy in a scanning transmission electron microscope are supported by first-principles density functional theory calculations.

  6. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films

    International Nuclear Information System (INIS)

    Haji-Akbari, Amir; Debenedetti, Pablo G.

    2014-01-01

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible

  7. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films.

    Science.gov (United States)

    Haji-Akbari, Amir; Debenedetti, Pablo G

    2014-07-14

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible.

  8. The effect of substrate on thermodynamic and kinetic anisotropies in atomic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Haji-Akbari, Amir; Debenedetti, Pablo G., E-mail: pdebene@exchange.princeton.edu [Department of Chemical and Biological Engineering, Princeton University, Princeton, New Jersey 08544 (United States)

    2014-07-14

    Glasses have a wide range of technological applications. The recent discovery of ultrastable glasses that are obtained by depositing the vapor of a glass-forming liquid onto the surface of a cold substrate has sparked renewed interest in the effects of confinements on physicochemical properties of liquids and glasses. Here, we use molecular dynamics simulations to study the effect of substrate on thin films of a model glass-forming liquid, the Kob-Andersen binary Lennard-Jones system, and compute profiles of several thermodynamic and kinetic properties across the film. We observe that the substrate can induce large oscillations in profiles of thermodynamic properties such as density, composition, and stress, and we establish a correlation between the oscillations in total density and the oscillations in normal stress. We also demonstrate that the kinetic properties of an atomic film can be readily tuned by changing the strength of interactions between the substrate and the liquid. Most notably, we show that a weakly attractive substrate can induce the emergence of a highly mobile region in its vicinity. In this highly mobile region, structural relaxation is several times faster than in the bulk, and the exploration of the potential energy landscape is also more efficient. In the subsurface region near a strongly attractive substrate, however, the dynamics is decelerated and the sampling of the potential energy landscape becomes less efficient than the bulk. We explain these two distinct behaviors by establishing a correlation between the oscillations in kinetic properties and the oscillations in lateral stress. Our findings offer interesting opportunities for designing better substrates for the vapor deposition process or developing alternative procedures for situations where vapor deposition is not feasible.

  9. Nuclear power in films

    International Nuclear Information System (INIS)

    Marth, H.

    1977-01-01

    The model film seminar consists of 4 parts: the first one deals with the technology of NPPs, the various reactor types and their fuel cycles; based on this, safety problems and environmental effects of NPPs during routine operation and in incidents as well as the working conditions in the atomic industry are dealt with. The second field of topics covers the 'Atomic industry complex' with the aim of illustrating governmental atomic energy promotion and the economic interests of the atomic industry; in this context, the role played by atomic energy in energy supply and economic growth are reflected on critically, too. In the third complex of topics resistance against NPPs is reported on; this includes the various forms of resistance, the motives of the people concerned, and the perspectives of the anti-NPP-movement. Alternatives to atomic energy are dealt with in the fourth group of topics. Here, ways to save energy and to use it in a more rational way are presented, as well as non-nuclear alternatives (solar, wind, geothermal energy etc.) and the fusion reactor as an alternative to the present fission reactors. Chapter 5 contains didactics-methodical information on the use of the various media and on planning and organizing events of this kind. This chapter was afterwards taken into the brochure. It deals with the experiences and impulses of the first model film seminar in Berlin. The filmography with comments contains the most important films on atomic energy problems as far as they are available easily. (orig./HP) [de

  10. On-chip concentration of bacteria using a 3D dielectrophoretic chip and subsequent laser-based DNA extraction in the same chip

    International Nuclear Information System (INIS)

    Cho, Yoon-Kyoung; Kim, Tae-hyeong; Lee, Jeong-Gun

    2010-01-01

    We report the on-chip concentration of bacteria using a dielectrophoretic (DEP) chip with 3D electrodes and subsequent laser-based DNA extraction in the same chip. The DEP chip has a set of interdigitated Au post electrodes with 50 µm height to generate a network of non-uniform electric fields for the efficient trapping by DEP. The metal post array was fabricated by photolithography and subsequent Ni and Au electroplating. Three model bacteria samples (Escherichia coli, Staphylococcus epidermidis, Streptococcus mutans) were tested and over 80-fold concentrations were achieved within 2 min. Subsequently, on-chip DNA extraction from the concentrated bacteria in the 3D DEP chip was performed by laser irradiation using the laser-irradiated magnetic bead system (LIMBS) in the same chip. The extracted DNA was analyzed with silicon chip-based real-time polymerase chain reaction (PCR). The total process of on-chip bacteria concentration and the subsequent DNA extraction can be completed within 10 min including the manual operation time.

  11. Fluorine atom subsurface diffusion and reaction in photoresist

    International Nuclear Information System (INIS)

    Greer, Frank; Fraser, D.; Coburn, J.W.; Graves, David B.

    2003-01-01

    Kinetic studies of fluorine and deuterium atoms interacting with an OiR 897 10i i-line photoresist (PR) are reported. All experiments were conducted at room temperature. Films of this PR were coated on quartz-crystal microbalance (QCM) substrates and exposed to alternating fluxes of these atoms in a high vacuum apparatus. Mass changes of the PR were observed in situ and in real time during the atom beam exposures using the QCM. A molecular-beam sampled differentially pumped quadrupole mass spectrometer (QMS) was used to measure the species desorbing from the PR surface during the F and D atom exposures. During the D atom exposures, hydrogen abstraction and etching of the PR was observed, but no DF formation was detected. However, during the F atom exposures, the major species observed to desorb from the surface was DF, formed from fluorine abstraction of deuterium from the photoresist. No evidence of film etching or fluorine self-abstraction was observed. The film mass increased during F atom exposure, evidently due to the replacement of D by F in the film. The rate of DF formation and mass uptake were both characterized by the same kinetics: An initially rapid step declining exponentially with time (e -t/τ ), followed by a much slower step following inverse square root of time (t -1/2 ) kinetics. The initially rapid step was interpreted as surface abstraction of D by F to form DF, which desorbs, with subsequent F impacting the surface inserted into surface C dangling bonds. The slower step was interpreted as F atoms diffusing into the fluorinated photoresist, forming DF at the boundary of the fluorinated carbon layer. The t -1/2 kinetics of this step are interpreted to indicate that F diffusion through the fluorinated carbon layer is much slower than the rate of F abstraction of D to form DF, or the rate of F insertion into the carbon dangling bonds left behind after DF formation. A diffusion-limited growth model was formulated, and the model parameters are

  12. Stability of gas atomized reactive powders through multiple step in-situ passivation

    Science.gov (United States)

    Anderson, Iver E.; Steinmetz, Andrew D.; Byrd, David J.

    2017-05-16

    A method for gas atomization of oxygen-reactive reactive metals and alloys wherein the atomized particles are exposed as they solidify and cool in a very short time to multiple gaseous reactive agents for the in-situ formation of a protective reaction film on the atomized particles. The present invention is especially useful for making highly pyrophoric reactive metal or alloy atomized powders, such as atomized magnesium and magnesium alloy powders. The gaseous reactive species (agents) are introduced into the atomization spray chamber at locations downstream of a gas atomizing nozzle as determined by the desired powder or particle temperature for the reactions and the desired thickness of the reaction film.

  13. An SOI CMOS-Based Multi-Sensor MEMS Chip for Fluidic Applications †

    Science.gov (United States)

    Mansoor, Mohtashim; Haneef, Ibraheem; Akhtar, Suhail; Rafiq, Muhammad Aftab; De Luca, Andrea; Ali, Syed Zeeshan; Udrea, Florin

    2016-01-01

    An SOI CMOS multi-sensor MEMS chip, which can simultaneously measure temperature, pressure and flow rate, has been reported. The multi-sensor chip has been designed keeping in view the requirements of researchers interested in experimental fluid dynamics. The chip contains ten thermodiodes (temperature sensors), a piezoresistive-type pressure sensor and nine hot film-based flow rate sensors fabricated within the oxide layer of the SOI wafers. The silicon dioxide layers with embedded sensors are relieved from the substrate as membranes with the help of a single DRIE step after chip fabrication from a commercial CMOS foundry. Very dense sensor packing per unit area of the chip has been enabled by using technologies/processes like SOI, CMOS and DRIE. Independent apparatuses were used for the characterization of each sensor. With a drive current of 10 µA–0.1 µA, the thermodiodes exhibited sensitivities of 1.41 mV/°C–1.79 mV/°C in the range 20–300 °C. The sensitivity of the pressure sensor was 0.0686 mV/(Vexcit kPa) with a non-linearity of 0.25% between 0 and 69 kPa above ambient pressure. Packaged in a micro-channel, the flow rate sensor has a linearized sensitivity of 17.3 mV/(L/min)−0.1 in the tested range of 0–4.7 L/min. The multi-sensor chip can be used for simultaneous measurement of fluid pressure, temperature and flow rate in fluidic experiments and aerospace/automotive/biomedical/process industries. PMID:27827904

  14. Atomically flat surface of (0 0 1) textured FePt thin films by residual stress control

    Energy Technology Data Exchange (ETDEWEB)

    Liu, S.H. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Hsiao, S.N., E-mail: pmami.hsiao@gmail.com [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China); Chou, C.L.; Chen, S.K. [Department of Materials Science and Engineering, Feng Chia University, Taichung 407, Taiwan (China); Lee, H.Y. [National Synchrotron Radiation Research Center, Hsinchu 300, Taiwan (China); Department of Applied Science, National Hsinchu University of Education, Hsinchu 300, Taiwan (China)

    2015-11-01

    Highlights: • We demonstrate crystallographic structure, (0 0 1) texture, surface roughness, and residual stress in the single-layered FePt thin films annealed at various heating rates (10–110 K/s). • Texture coefficient of (0 0 1)-plane of the samples increases with increasing heating rate from 10 to 40 K/s, which is correlated with perpendicular magnetic anisotropy and in-plane tensile stress. • Dewetting phenomenon due to stress relaxation leads to the broadening of [0 0 1] easy axis and degradation of perpendicular magnetic anisotropy. • A strong dependence of surface roughness on in-plane residual stress was revealed. • When the samples are RTA at 40 K/s, the enhanced perpendicular magnetic anisotropy and atomically surface roughness are achieved. - Abstract: Single-layered Fe{sub 52}Pt{sub 48} films with thickness of 10 nm were sputter-deposited on glass substrates. Rapid thermal annealing with different heating rates (10–110 K/s) was applied to transform as-deposited fcc phase into L1{sub 0} phase and meanwhile to align [0 0 1]-axis of L1{sub 0} crystal along plane normal direction. Based on X-ray diffractometry using synchrotron radiation source, the texture coefficient of (0 0 1)-plane increases with increasing heating rate from 10 to 40 K/s, which is correlated with perpendicular magnetic anisotropy and in-plane tensile stress analyzed by asymmetric sin{sup 2} ψ method. Furthermore, it was revealed by atomic force microscopy that the dewetting process occurred as heating rate was raised up to 80 K/s and higher. The change in the microstructure due to stress relaxation leads to the degradation of (0 0 1) orientation and magnetic properties. Surface roughness is closely related to the in-plane tensile stress. Enhanced perpendicular magnetic anisotropy and atomically flat surface were achieved for the samples annealed at 40 K/s, which may be suitable for further practical applications. This work also suggests a feasible way for surface

  15. A Zinc Oxide Nanorod Ammonia Microsensor Integrated with a Readout Circuit on-a-Chip

    Directory of Open Access Journals (Sweden)

    Chyan-Chyi Wu

    2011-11-01

    Full Text Available A zinc oxide nanorod ammonia microsensor integrated with a readout circuit on-a-chip fabricated using the commercial 0.35 mm complementary metal oxide semiconductor (CMOS process was investigated. The structure of the ammonia sensor is composed of a sensitive film and polysilicon electrodes. The ammonia sensor requires a post-process to etch the sacrificial layer, and to coat the sensitive film on the polysilicon electrodes. The sensitive film that is prepared by a hydrothermal method is made of zinc oxide. The sensor resistance changes when the sensitive film adsorbs or desorbs ammonia gas. The readout circuit is used to convert the sensor resistance into the voltage output. Experiments show that the ammonia sensor has a sensitivity of about 1.5 mV/ppm at room temperature.

  16. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  17. Atomic layer deposited nanocrystalline tungsten carbides thin films as a metal gate and diffusion barrier for Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jun Beom; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering, Yeungnam University, Gyeongsan-si 712-749 (Korea, Republic of); Han, Won Seok [UP Chemical 576, Chilgoedong, Pyeongtaek-si, Gyeonggi-do 459-050 (Korea, Republic of); Lee, Do-Joong [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States)

    2016-07-15

    Tungsten carbides (WC{sub x}) thin films were deposited on thermally grown SiO{sub 2} substrates by atomic layer deposition (ALD) using a fluorine- and nitrogen-free W metallorganic precursor, tungsten tris(3-hexyne) carbonyl [W(CO)(CH{sub 3}CH{sub 2}C ≡ CCH{sub 2}CH{sub 3}){sub 3}], and N{sub 2} + H{sub 2} plasma as the reactant at deposition temperatures between 150 and 350 °C. The present ALD-WC{sub x} system showed an ALD temperature window between 200 and 250 °C, where the growth rate was independent of the deposition temperature. Typical ALD characteristics, such as self-limited film growth and a linear dependency of the film grown on the number of ALD cycles, were observed, with a growth rate of 0.052 nm/cycle at a deposition temperature of 250 °C. The ALD-WC{sub x} films formed a nanocrystalline structure with grains, ∼2 nm in size, which consisted of hexagonal W{sub 2}C, WC, and nonstoichiometric cubic β-WC{sub 1−x} phase. Under typical deposition conditions at 250 °C, an ALD-WC{sub x} film with a resistivity of ∼510 μΩ cm was deposited and the resistivity of the ALD-WC{sub x} film could be reduced even further to ∼285 μΩ cm by further optimizing the reactant pulsing conditions, such as the plasma power. The step coverage of ALD-WC{sub x} film was ∼80% on very small sized and dual trenched structures (bottom width of 15 nm and aspect ratio of ∼6.3). From ultraviolet photoelectron spectroscopy, the work function of the ALD-WC{sub x} film was determined to be 4.63 eV. Finally, the ultrathin (∼5 nm) ALD-WC{sub x} film blocked the diffusion of Cu, even up to 600 °C, which makes it a promising a diffusion barrier material for Cu interconnects.

  18. Principles of electron backscattering by solids and thin films

    International Nuclear Information System (INIS)

    Niedrig, H.

    1977-01-01

    The parameters concerning the electron backscattering from thin films and solids (atomic scattering cross-section, atomic number, single/multiple scattering, film thickness of self-supporting films and of surface films on bulk substrates, scattering angular distribution, angle of incidence, diffraction effects) are described. Their influence on some important contrast mechanisms in scanning electron microscopy (thickness contrast, Z/material contrast, tilting/topography contrast, orientation contrast) is discussed. The main backscattering electron detection systems are briefly described. (orig.) [de

  19. Surface Passivation Mechanism of Atomic Layer Deposited Al2O3 Films on c-Si Studied by Optical Second-Harmonic Generation

    NARCIS (Netherlands)

    Gielis, J.J.H.; Verlaan, V.; Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.; Terlinden, N.M.

    2009-01-01

    Recently, it was shown that Al2O3 thin films synthesized by (plasmaassisted) atomic layer deposition (ALD) provide excellent surface passivation of n, p and p+ type c-Si as highly relevant for c-Si photovoltaics. It was found that a large negative fixed charge density (up to 1013 cm-2) in the Al2O3

  20. Uniformity and passivation research of Al2O3 film on silicon substrate prepared by plasma-enhanced atom layer deposition.

    Science.gov (United States)

    Jia, Endong; Zhou, Chunlan; Wang, Wenjing

    2015-01-01

    Plasma-enhanced atom layer deposition (PEALD) can deposit denser films than those prepared by thermal ALD. But the improvement on thickness uniformity and the decrease of defect density of the films deposited by PEALD need further research. A PEALD process from trimethyl-aluminum (TMA) and oxygen plasma was investigated to study the influence of the conditions with different plasma powers and deposition temperatures on uniformity and growth rate. The thickness and refractive index of films were measured by ellipsometry, and the passivation effect of alumina on n-type silicon before and after annealing was measured by microwave photoconductivity decay method. Also, the effects of deposition temperature and annealing temperature on effective minority carrier lifetime were investigated. Capacitance-voltage and conductance-voltage measurements were used to investigate the interface defect density of state (D it) of Al2O3/Si. Finally, Al diffusion P(+) emitter on n-type silicon was passivated by PEALD Al2O3 films. The conclusion is that the condition of lower substrate temperature accelerates the growth of films and that the condition of lower plasma power controls the films' uniformity. The annealing temperature is higher for samples prepared at lower substrate temperature in order to get the better surface passivation effects. Heavier doping concentration of Al increased passivation quality after annealing by the effective minority carrier lifetime up to 100 μs.

  1. Stability of sputter deposited ZnO:Cr films against acids

    International Nuclear Information System (INIS)

    Shinoda, Makoto; Nishide, Toshikazu; Sawada, Yutaka; Hosaka, Masato; Matsumoto, Toshihiko.

    1993-01-01

    ZnO:Cr films were deposited on water-cooled soda-lime glass substrates at room temperature in an Ar atmosphere by rf magnetron sputtering of a ZnO target on which Cr chips were placed. The films exhibited extraordinary stability against acids such as HCl or HNO 3 , and also high resistivities similar to those of ZnO films. The addition of Cr suppressed the growth of ZnO grains which resulted in the formation of a dense film with a smooth surface. The stability and high resistivity displayed by the ZnO:Cr films can be attributed to the formation of a chromium-oxide-rich grain boundary. (author)

  2. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  3. Science and technology of biocompatible thin films for implantable biomedical devices.

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Kabius, B.; Auciello, O.; Materials Science Division

    2010-01-01

    This presentation focuses on reviewing research to develop two critical biocompatible film technologies to enable implantable biomedical devices, namely: (1) development of bioinert/biocompatible coatings for encapsulation of Si chips implantable in the human body (e.g., retinal prosthesis implantable in the human eye) - the coating involves a novel ultrananocrystalline diamond (UNCD) film or hybrid biocompatible oxide/UNCD layered films; and (2) development of biocompatible films with high-dielectric constant and microfabrication process to produce energy storage super-capacitors embedded in the microchip to achieve full miniaturization for implantation into the human body.

  4. Behavior of Sn atoms in GeSn thin films during thermal annealing: Ex-situ and in-situ observations

    Science.gov (United States)

    Takase, Ryohei; Ishimaru, Manabu; Uchida, Noriyuki; Maeda, Tatsuro; Sato, Kazuhisa; Lieten, Ruben R.; Locquet, Jean-Pierre

    2016-12-01

    Thermally induced crystallization processes for amorphous GeSn thin films with Sn concentrations beyond the solubility limit of the bulk crystal Ge-Sn binary system have been examined by X-ray photoelectron spectroscopy, grazing incidence X-ray diffraction, and (scanning) transmission electron microscopy. We paid special attention to the behavior of Sn before and after recrystallization. In the as-deposited specimens, Sn atoms were homogeneously distributed in an amorphous matrix. Prior to crystallization, an amorphous-to-amorphous phase transformation associated with the rearrangement of Sn atoms was observed during heat treatment; this transformation is reversible with respect to temperature. Remarkable recrystallization occurred at temperatures above 400 °C, and Sn atoms were ejected from the crystallized GeSn matrix. The segregation of Sn became more pronounced with increasing annealing temperature, and the ejected Sn existed as a liquid phase. It was found that the molten Sn remains as a supercooled liquid below the eutectic temperature of the Ge-Sn binary system during the cooling process, and finally, β-Sn precipitates were formed at ambient temperature.

  5. Chips 2020

    CERN Document Server

    2016-01-01

    The release of this second volume of CHIPS 2020 coincides with the 50th anniversary of Moore’s Law, a critical year marked by the end of the nanometer roadmap and by a significantly reduced annual rise in chip performance. At the same time, we are witnessing a data explosion in the Internet, which is consuming 40% more electrical power every year, leading to fears of a major blackout of the Internet by 2020. The messages of the first CHIPS 2020, published in 2012, concerned the realization of quantum steps for improving the energy efficiency of all chip functions. With this second volume, we review these messages and amplify upon the most promising directions: ultra-low-voltage electronics, nanoscale monolithic 3D integration, relevant-data, brain- and human-vision-inspired processing, and energy harvesting for chip autonomy. The team of authors, enlarged by more world leaders in low-power, monolithic 3D, video, and Silicon brains, presents new vistas in nanoelectronics, promising  Moore-like exponential g...

  6. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  7. Rubidium distribution at atomic scale in high efficient Cu(In,Ga)Se2 thin-film solar cells

    Science.gov (United States)

    Vilalta-Clemente, Arantxa; Raghuwanshi, Mohit; Duguay, Sébastien; Castro, Celia; Cadel, Emmanuel; Pareige, Philippe; Jackson, Philip; Wuerz, Roland; Hariskos, Dimitrios; Witte, Wolfram

    2018-03-01

    The introduction of a rubidium fluoride post deposition treatment (RbF-PDT) for Cu(In,Ga)Se2 (CIGS) absorber layers has led to a record efficiency up to 22.6% for thin-film solar cell technology. In the present work, high efficiency CIGS samples with RbF-PDT have been investigated by atom probe tomography (APT) to reveal the atomic distribution of all alkali elements present in CIGS layers and compared with non-treated samples. A Scanning Electron Microscopy Dual beam station (Focused Ion Beam-Gas Injection System) as well as Transmission Kikuchi diffraction is used for atom probe sample preparation and localization of the grain boundaries (GBs) in the area of interest. The analysis of the 3D atomic scale APT reconstructions of CIGS samples with RbF-PDT shows that inside grains, Rb is under the detection limit, but the Na concentration is enhanced as compared to the reference sample without Rb. At the GBs, a high concentration of Rb reaching 1.5 at. % was found, and Na and K (diffusing from the glass substrate) are also segregated at GBs but at lower concentrations as compared to Rb. The intentional introduction of Rb leads to significant changes in the chemical composition of CIGS matrix and at GBs, which might contribute to improve device efficiency.

  8. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  9. Optical emission studies of atomic and ionic species in the ionized sputter-deposition process of magnesium oxide thin films

    International Nuclear Information System (INIS)

    Matsuda, Y.; Koyama, Y.; Iwaya, M.; Shinohara, M.; Fujiyama, H.

    2005-01-01

    Planar magnetron (PM) power and ICP-RF power dependences of the optical emission intensities of excited atomic and ionic species in the reactive ionized sputter-deposition of magnesium oxide (MgO) thin films were investigated. With the increase in PM power at constant ICP-RF power, Mg I emission intensity increased and Ar I emission intensity gradually decreased. With the increase in ICP-RF power at constant PM power, the Mg I emission intensity increased at lower ICP-RF power and then gradually decreased at higher ICP-RF power; on the contrary, Ar I emission intensity monotonically increased. Emission intensity of atomic oxygen was negligibly small compared with those of Mg I and Ar I under the metallic sputtering mode condition

  10. Designing quantum-information-processing superconducting qubit circuits that exhibit lasing and other atomic-physics-like phenomena on a chip

    Science.gov (United States)

    Nori, Franco

    2008-03-01

    Superconducting (SC) circuits can behave like atoms making transitions between a few energy levels. Such circuits can test quantum mechanics at macroscopic scales and be used to conduct atomic-physics experiments on a silicon chip. This talk overviews a few of our theoretical studies on SC circuits and quantum information processing (QIP) including: SC qubits for single photon generation and for lasing; controllable couplings among qubits; how to increase the coherence time of qubits using a capacitor in parallel to one of the qubit junctions; hybrid circuits involving both charge and flux qubits; testing Bell's inequality in SC circuits; generation of GHZ states; quantum tomography in SC circuits; preparation of macroscopic quantum superposition states of a cavity field via coupling to a SC qubit; generation of nonclassical photon states using a SC qubit in a microcavity; scalable quantum computing with SC qubits; and information processing with SC qubits in a microwave field. Controllable couplings between qubits can be achieved either directly or indirectly. This can be done with and without coupler circuits, and with and without data-buses like EM fields in cavities (e.g., we will describe both the variable-frequency magnetic flux approach and also a generalized double-resonance approach that we introduced). It is also possible to ``turn a quantum bug into a feature'' by using microscopic defects as qubits, and the macroscopic junction as a controller of it. We have also studied ways to implement radically different approaches to QIP by using ``cluster states'' in SC circuits. For a general overview of this field, see, J.Q. You and F. Nori, Phys. Today 58 (11), 42 (2005)

  11. Flip-chip integration of Si bare dies on polymeric substrates at low temperature using ICA vias made in dry film photoresist

    Science.gov (United States)

    Vásquez Quintero, Andrés; Briand, Danick; de Rooij, Nico F.

    2015-04-01

    In this paper, a low temperature flip-chip integration technique for Si bare dies is demonstrated on flexible PET substrates with screen-printed circuits. The proposed technique is based on patterned blind vias in dry film photoresist (DP) filled with isotropic conductive adhesive (ICA). The DP material serves to define the vias, to confine the ICA paste (80 µm-wide and potentially 25 µm-wide vias), as an adhesion layer to improve the mechanical robustness of the assembly, and to protect additional circuitry on the substrate. The technique is demonstrated using gold-bumped daisy chain chips (DCCs), with electrical vias resistances in the order to hundreds of milliohms, and peel/shear adhesion strengths of 0.7 N mm-1 and 3.2 MPa, respectively, (i.e. at 1.2 MPa of bonding pressure). Finally, the mechanical robustness to bending forces was optimized through flexural mechanics models by placing the neutral plane at the DCC/DP adhesive interface. The optimization was performed by reducing the Si thickness from 400 to 37 µm, and resulted in highly robust integrated assemblies withstanding 10 000 cycles of dynamic bending at 40 mm of radius, with relative changes in vias resistance lower than 20%. In addition, the electrical vias resistance and adhesion strengths were compared to samples integrated with anisotropic conductive adhesives (ACAs). Besides the low temperature and high integration resolution, the proposed method is compatible with large area fabrication and multilayer architectures on foil.

  12. Silver Films with Hierarchical Chirality.

    Science.gov (United States)

    Ma, Liguo; Cao, Yuanyuan; Duan, Yingying; Han, Lu; Che, Shunai

    2017-07-17

    Physical fabrication of chiral metallic films usually results in singular or large-sized chirality, restricting the optical asymmetric responses to long electromagnetic wavelengths. The chiral molecule-induced formation of silver films prepared chemically on a copper substrate through a redox reaction is presented. Three levels of chirality were identified: primary twisted nanoflakes with atomic crystal lattices, secondary helical stacking of these nanoflakes to form nanoplates, and tertiary micrometer-sized circinates consisting of chiral arranged nanoplates. The chiral Ag films exhibited multiple plasmonic absorption- and scattering-based optical activities at UV/Vis wavelengths based on their hierarchical chirality. The Ag films showed chiral selectivity for amino acids in catalytic electrochemical reactions, which originated from their primary atomic crystal lattices. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  14. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  15. Atom chips in the real world: the effects of wire corrugation

    Science.gov (United States)

    Schumm, T.; Estève, J.; Figl, C.; Trebbia, J.-B.; Aussibal, C.; Nguyen, H.; Mailly, D.; Bouchoule, I.; Westbrook, C. I.; Aspect, A.

    2005-02-01

    We present a detailed model describing the effects of wire corrugation on the trapping potential experienced by a cloud of atoms above a current carrying micro wire. We calculate the distortion of the current distribution due to corrugation and then derive the corresponding roughness in the magnetic field above the wire. Scaling laws are derived for the roughness as a function of height above a ribbon shaped wire. We also present experimental data on micro wire traps using cold atoms which complement some previously published measurements [CITE] and which demonstrate that wire corrugation can satisfactorily explain our observations of atom cloud fragmentation above electroplated gold wires. Finally, we present measurements of the corrugation of new wires fabricated by electron beam lithography and evaporation of gold. These wires appear to be substantially smoother than electroplated wires.

  16. Growth of centimeter-scale atomically thin MoS{sub 2} films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Siegel, Gene; Venkata Subbaiah, Y. P.; Prestgard, Megan C.; Tiwari, Ashutosh, E-mail: tiwari@eng.utah.edu [Nanostructured Materials Research Laboratory, Department of Materials Science and Engineering, University of Utah, Salt Lake City, Utah 84112 (United States)

    2015-05-01

    We are reporting the growth of single layer and few-layer MoS{sub 2} films on single crystal sapphire substrates using a pulsed-laser deposition technique. A pulsed KrF excimer laser (wavelength: 248 nm; pulse width: 25 ns) was used to ablate a polycrystalline MoS{sub 2} target. The material thus ablated was deposited on a single crystal sapphire (0001) substrate kept at 700 °C in an ambient vacuum of 10{sup −6} Torr. Detailed characterization of the films was performed using atomic force microscopy (AFM), Raman spectroscopy, UV-Vis spectroscopy, and photoluminescence (PL) measurements. The ablation of the MoS{sub 2} target by 50 laser pulses (energy density: 1.5 J/cm{sup 2}) was found to result in the formation of a monolayer of MoS{sub 2} as shown by AFM results. In the Raman spectrum, A{sub 1g} and E{sup 1}{sub 2g} peaks were observed at 404.6 cm{sup −1} and 384.5 cm{sup −1} with a spacing of 20.1 cm{sup −1}, confirming the monolayer thickness of the film. The UV-Vis absorption spectrum exhibited two exciton absorption bands at 672 nm (1.85 eV) and 615 nm (2.02 eV), with an energy split of 0.17 eV, which is in excellent agreement with the theoretically predicted value of 0.15 eV. The monolayer MoS{sub 2} exhibited a PL peak at 1.85 eV confirming the direct nature of the band-gap. By varying the number of laser pulses, bi-layer, tri-layer, and few-layer MoS{sub 2} films were prepared. It was found that as the number of monolayers (n) in the MoS{sub 2} films increases, the spacing between the A{sub 1g} and E{sup 1}{sub 2g} Raman peaks (Δf) increases following an empirical relation, Δf=26.45−(15.42)/(1+1.44 n{sup 0.9}) cm{sup −1}.

  17. Deposition and properties of Al-containing diamond-like carbon films by a hybrid ion beam sources

    International Nuclear Information System (INIS)

    Dai Wei; Wang Aiying

    2011-01-01

    Research highlights: → Weak carbide former, Al element, was incorporated into DLC films using a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. → The structure disorder of the films tended to decrease with Al atoms doping, which resulted in the distinct reduction of the film internal stress and hardness, but the internal stress dropped faster than the hardness. → The DLC films with low internal stress and high hardness can be acquired by Al incorporation. - Abstract: Metal incorporation is one of the most effective methods for relaxing internal stress in diamond-like carbon (DLC) films. It was reported that the chemical state of the incorporated metal atoms has a significant influence on the film internal stress. The doped atoms embedding in the DLC matrix without bonding with C atoms can reduce the structure disorder of the DLC films through bond angle distortion and thus relax the internal stress of the films. In present paper, Al atoms, which are inert to carbon, were incorporated into the DLC films deposited by a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. The film composition, microstructure and atomic bond structure were characterized using X-ray photoelectron spectroscopy, transmission electron microscopy and Raman spectroscopy. The internal stress, mechanical properties and tribogoical behavior were studied as a function of Al concentration using a stress-tester, nanoindentation and ball-on-disc tribo-tester, respectively. The results indicated that the incorporated Al atoms were dissolved in the DLC matrix without bonding with C atoms and the films exhibited the feature of amorphous carbon. The structure disorder of the films tended to decrease with Al atoms incorporation. This resulted in the distinct reduction of the internal stress in the films. All Al-DLC films exhibited a lower friction coefficient compared with pure DLC film. The formation of the

  18. Prototyping chips in minutes: Direct Laser Plotting (DLP) of functional microfluidic structures

    KAUST Repository

    Wang, Limu

    2013-10-10

    We report a fast and simple prototyping method to fabricate polymer-based microfluidic chips using Direct Laser Plotting (DLP) technique, by which various functional micro-structures can be realized within minutes, in a mask-free and out-of-cleanroom fashion. A 2D Computer-Aid-Design (CAD) software was employed to layout the required micro-structures and micro-channels, a CO2 laser plotter was then used to construct the microstructures. The desired patterns can be plotted directly on PDMS substrates and bio-compatible polymer films by manipulating the strength and density of laser pulses. With the DLP technique, chip-embedded micro-electrodes, micro-mixers and 3D microfluidic chips with 5 layers, which normally require several days of work in a cleanroom facility, can be fabricated in minutes in common laboratory. This novel method can produce microfluidic channels with average feature size of 100 μm, while feature size of 50 μm or smaller is achievable by making use of the interference effect from laser impulsion. In this report, we present the optimized parameters for successful fabrication of 3D microchannels, micro-mixers and microfluidic chips for protein concentration measurements (Bovine Serum Albumine (BSA) test), and a novel procedure to pattern flexible embedding electrodes on PDMS-based microfluidic chips. DLP offers a convenient and low cost alternative to conventional microfluidic channel fabrication technique which relies on complicated and hazardous soft lithography process.

  19. Atomic oxygen-MoS sub 2 chemical interactions

    Energy Technology Data Exchange (ETDEWEB)

    Cross, J.B.; Martin, J.A. (Los Alamos National Lab., NM (USA)); Pope, L.E. (Sandia National Labs., Albuquerque, NM (USA)); Koontz, S.L. (National Aeronautics and Space Administration, Johnson Space Center, Houston, TX (USA))

    1990-10-01

    The present study shows that an O-atom translation energy of 1.5 eV, SO{sub 2} is generated and outgases from an anhydrous MoS{sub 2} surface with an initial reactivity nearly 50% that of kapton. The reaction of atomic oxygen with MoS{sub 2} has little or no translational energy barrier, i.e. thermally generated atomic oxygen reacts as readily as that having 1.5 eV of translational energy. For MoS{sub 2} films sputter-deposited at 50-70deg C, friction measurements showed a high initial friction coefficient (up to 0.25) for MoS{sub 2} surfaces exposed to atomic oxygen, which dropped to the normal low values after several cycles of operation in air and ultrahigh vacuum. For MoS{sub 2} films deposited at 200deg C, the friction coefficient was not affected by the O-atom exposure. (orig.).

  20. Price of forest chips decreasing

    International Nuclear Information System (INIS)

    Hakkila, P.

    2001-01-01

    Use of forest chips was studied in 1999 in the national Puuenergia (Wood Energy) research program. Wood combusting heating plants were questioned about are the main reasons restricting the increment of the use of forest chips. Heating plants, which did not use forest chips at all or which used less than 250 m 3 (625 bulk- m 3 ) in 1999 were excluded. The main restrictions for additional use of forest chips were: too high price of forest chips; lack of suppliers and/or uncertainty of deliveries; technical problems of reception and processing of forest chips; insufficiency of boiler output especially in winter; and unsatisfactory quality of chips. The price of forest chips becomes relatively high because wood biomass used for production of forest chips has to be collected from wide area. Heavy equipment has to be used even though small fragments of wood are processed, which increases the price of chips. It is essential for forest chips that the costs can be pressed down because competition with fossil fuels, peat and industrial wood residues is hard. Low market price leads to the situation in which forest owner gets no price of the raw material, the entrepreneurs operate at the limit of profitability and renovation of machinery is difficult, and forest chips suppliers have to sell the chips at prime costs. Price of forest chips has decreased significantly during the past decade. Nominal price of forest chips is now lower than two decades ago. The real price of chips has decreased even more than the nominal price, 35% during the past decade and 20% during the last five years. Chips, made of small diameter wood, are expensive because the price includes the felling costs and harvesting is carried out at thinning lots. Price is especially high if chips are made of delimbed small diameter wood due to increased the work and reduced amount of chips. The price of logging residue chips is most profitable because cutting does not cause additional costs. Recovery of chips is

  1. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO{sub 2} thin films grown by atomic layer deposition at different temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: babaisps@rrcat.gov.in [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Ajimsha, R.S. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Rajiv, K.; Mukherjee, C. [Mechanical and Optical Support Section, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India); Gupta, M. [UGC-DAE Consortium, Indore Centre, Khandwa Road, Indore 452017 (India); Misra, P.; Kukreja, L.M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2014-10-01

    Highlights: • Refractive index was found to be increased from amorphous to the nanocrystalline films. • Refractive index was found to be inversely proportional with growth per cycle. • Large-grained anatase films showed lower refractive indices than the amorphous films. • Roughness was taken into consideration due to the columnar growths of crystalline films. - Abstract: TiO{sub 2} thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (T{sub s}) in a wide range (50 °C ≤ T{sub s} ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (T{sub s} ≤ 150 °C) to the nanocrystalline films (250{sup 0} < T{sub s} ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple–DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  2. The exhibition Lumiere d'Atomes (Atoms light)

    International Nuclear Information System (INIS)

    Foos, Jacques

    1995-01-01

    Full text: This exhibition has been conceived in order to show for everybody, whatever his scientific level, the peaceful uses of transformations (natural or made by Man) and energetic possibilities of the atomic nucleus. The key-ideas of this exhibition were-: - nuclear applications a world of high technology; - nuclear industry men as the others; - nuclear energy an energetic independence. 6 themes were proposed: 1- Atoms and radioactivity; 2- The nuclear power stations; 3- The nuclear fuel cycle; 4- Surety and environment; 5- The other uses of radioactivity; 6- The French choice: The world nuclear data. This exhibition that comprises information posters, paintings, demonstration models, films and video games, was shown for the first time in Paris in april 1991. From this time, it was shown in many regional cities, with the help of SFEN members. 'Lumiere d'Atomes' received in 1991 the SFEN prize for its information on nuclear energy. (author)

  3. STUDY OF CHIP IGNITION AND CHIP MORPHOLOGY AFTER MILLING OF MAGNESIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Ireneusz Zagórski

    2016-12-01

    Full Text Available The paper analyses the impact of specified technological parameters of milling (vc, fz, ap on time to ignition. Stages leading to chip ignition were analysed. Metallographic images of magnesium chip were presented. No significant difference was observed in time to ignition in different chip fractions. Moreover, the surface of chips was free of products of ignition and signs of strong oxidation.

  4. The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems

    Directory of Open Access Journals (Sweden)

    Amlan Ganguly

    2018-02-01

    Full Text Available With aggressive scaling of device geometries, density of manufacturing faults is expected to increase. Therefore, yield of complex Multi-Processor Systems-on-Chips (MP-SoCs will decrease due to higher probability of manufacturing defects especially, in dies with large area. Therefore, disintegration of large SoCs into smaller chips called chiplets will improve yield and cost of complex platform-based systems. This will also provide functional flexibility, modular scalability as well as the capability to integrate heterogeneous architectures and technologies in a single unit. However, with scaling of the number of chiplets in such a system, the shared resources in the system such as the interconnection fabric and memory modules will become performance bottlenecks. Additionally, the integration of heterogeneous chiplets operating at different frequencies and voltages can be challenging. State-of-the-art inter-chip communication requires power-hungry high-speed I/O circuits and data transfer over long wired traces on substrates. This increases energy consumption and latency while decreasing data bandwidth for chip-to-chip communication. In this paper, we explore the advances and the challenges of interconnecting a multi-chip system with millimeter-wave (mm-wave wireless interconnects from a variety of perspectives spanning multiple aspects of the wireless interconnection design. Our discussion on the recent advances include aspects such as interconnection topology, physical layer, Medium Access Control (MAC and routing protocols. We also present some potential paradigm-shifting applications as well as complementary technologies of wireless inter-chip communications.

  5. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  6. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  7. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  8. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  9. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Science.gov (United States)

    Schaefer, Michael; Schlaf, Rudy

    2015-08-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru0) and its oxide (RuO2) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru0 and RuO2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO2 and 0.04 Å/cycle for Ru.0 An interface dipole of up to -0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO2/OH compound whose surface is saturated with hydroxyl groups.

  10. Short-range order in amorphous thin films of indium selenides

    International Nuclear Information System (INIS)

    Zakharov, V.P.; Poltavtsev, Yu.G.; Sheremet, G.P.

    1982-01-01

    A structure of the short-range order and a character of interatomic interactions in indium selenides Insub(1-x)Sesub(x) with 0.333 <= x <= 0.75, obtained in the form of amorphous films 0.05-0.80 μm thick are studied using electron diffraction method. It is found out that mostly tetrahedrical coordination of nearest neighbours in the vicinity of indium atoms is characteristic for studied amorphous films, and coordination of selenium atoms is different. Amorphous film with x=0.75 posesses a considereably microheterogeneous structure of the short-range order, which is characterized by the presence of microunclusions of amorphous selenium and atoms of indium, octohedrically coordinated by selenium atoms

  11. On-chip nanofluidic integration of acoustic sensors towards high Q in liquid

    Science.gov (United States)

    Liang, Ji; Liu, Zifeng; Zhang, Hongxiang; Liu, Bohua; Zhang, Menglun; Zhang, Hao; Pang, Wei

    2017-11-01

    This paper reports an on-chip acoustic sensor comprising a piston-mode film bulk acoustic resonator and a monolithically integrated nanochannel. The resonator with the channel exhibits a resonance frequency (f) of 2.5 GHz and a quality (Q) factor of 436 in deionized water. The f × Q product is as high as 1.1 × 1012, which is the highest among all the acoustic wave sensors in the liquid phase. The sensor consumes 2 pl liquid volume and thus greatly saves the precious assays in biomedical testing. The Q factor is investigated, and real-time viscosity tests of glucose solution are demonstrated. The highly miniaturized and integrated sensor is capable to be arrayed with readout-circuitry, which opens an avenue for portable applications and lab-on-chip systems.

  12. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  13. Conducting atomic force microscopy studies on doped CulnO2 thin films for resistive memory device applications

    International Nuclear Information System (INIS)

    Mehta, B.R.

    2009-01-01

    Full text: Delafosite thin films have interesting structural, optical and electronic properties due to the highly anisotropic crystal structure and possibility of bipolar conductivity. In this presentation, optical, structural and electrical properties of Sn (n type) and Ca (p type) doped CulnO 2 layers grown by rf magnetron sputtering technique will be discussed. Depending on doping and deposition temperature, these films show nanocolumnar structure with (110) and (006) preferred orientations. The observed decrease in activation energy from 0.9 eV to about 0.10 eV and a large decrease in conductivity from 2.11 x 10 -10 Scm -1 to 1.66 x 10 -1 Scm -1 on Sn doping has been explained due to the change in preferred orientation along with efficient doping. Our results show that crystallite orientation is the most important factor controlling the electrical conduction in delafossite thin films. The anisotropy of electrical conduction along (006) and (110) directions in tin doped samples has been further established using conducting atomic force microscopy (CAFM) measurements. The CAFM measurements shows the presence of nanoconducting region when the current flow direction is aligned along the BO 6 layer and complete absence of conducting regions when the current direction is perpendicular to the film surface. Resistive memory devices based on Sn and Ca doped CulnO 2 films show stable and reproducible 'on' and 'off' states. CAFM measurement on these devices carried out before and after 'forming' show the growth of nanoconducting filaments on the application of a threshold voltage. It is possible to control resistance in the 'on' and 'off' states and magnitude of the forming and switching voltages by controlling the doping concentration and crystallite orientation in CulnO 2 layers

  14. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  15. Atomic force imaging microscopy investigation of the interaction of ultraviolet radiation with collagen thin films

    Science.gov (United States)

    Stylianou, A.; Yova, D.; Alexandratou, E.; Petri, A.

    2013-02-01

    Collagen is the major fibrous protein in the extracellular matrix and consists a significant component of skin, bone, cartilage and tendon. Due to its unique properties, it has been widely used as scaffold or culture substrate for tissue regeneration or/and cell-substrate interaction studies. The ultraviolet light-collagen interaction investigations are crucial for the improvement of many applications such as that of the UV irradiation in the field of biomaterials, as sterilizing and photo-cross-linking method. The aim of this paper was to investigate the mechanisms of UV-collagen interactions by developing a collagen-based, well characterized, surface with controlled topography of collagen thin films in the nanoscale range. The methodology was to quantify the collagen surface modification induced on ultraviolet radiation and correlate it with changes induced in cells. Surface nanoscale characterization was performed by Atomic Force Microscopy (AFM) which is a powerful tool and offers quantitative and qualitative information with a non-destructive manner. In order to investigate cells behavior, the irradiated films were used for in vitro cultivation of human skin fibroblasts and the cells morphology, migration and alignment were assessed with fluorescence microscopy imaging and image processing methods. The clarification of the effects of UV light on collagen thin films and the way of cells behavior to the different modifications that UV induced to the collagen-based surfaces will contribute to the better understanding of cell-matrix interactions in the nanoscale and will assist the appropriate use of UV light for developing biomaterials.

  16. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  17. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  18. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  19. Synthesis of Antimony Doped Amorphous Carbon Films

    Science.gov (United States)

    Okuyama, H.; Takashima, M.; Akasaka, H.; Ohtake, N.

    2013-06-01

    We report the effects of antimony (Sb) doping on the electrical and optical properties of amorphous carbon (a-C:H) films grown on silicon and copper substrates by magnetron sputtering deposition. For film deposition, the mixture targets fabricated from carbon and antimony powders was used. The atomic concentration of carbon, hydrogen, and antimony, in the film deposited from the 1.0 mol% Sb containing target were 81, 17, 2 at.%, respectively. These elements were homogeneously distributed in the film. On the structural effect, the average continuous sp2 carbon bonding networks decreased with Sb concentration increasing, and defects in the films were increased with the Sb incorporation because atomic radius of Sb atoms is twice larger size than that of carbon. The optical gap and the electrical resistivity were carried out before and after the Sb doping. The results show that optical gap dropped from 3.15 to 3.04 eV corresponding to non-doping to Sb-doping conditions, respectively. The electrical resistivity reduced from 10.5 to 1.0 MΩm by the Sb doping. These results suggest the doping level was newly formed in the forbidden band.

  20. Synthesis of Antimony Doped Amorphous Carbon Films

    International Nuclear Information System (INIS)

    Okuyama, H; Takashima, M; Akasaka, H; Ohtake, N

    2013-01-01

    We report the effects of antimony (Sb) doping on the electrical and optical properties of amorphous carbon (a-C:H) films grown on silicon and copper substrates by magnetron sputtering deposition. For film deposition, the mixture targets fabricated from carbon and antimony powders was used. The atomic concentration of carbon, hydrogen, and antimony, in the film deposited from the 1.0 mol% Sb containing target were 81, 17, 2 at.%, respectively. These elements were homogeneously distributed in the film. On the structural effect, the average continuous sp 2 carbon bonding networks decreased with Sb concentration increasing, and defects in the films were increased with the Sb incorporation because atomic radius of Sb atoms is twice larger size than that of carbon. The optical gap and the electrical resistivity were carried out before and after the Sb doping. The results show that optical gap dropped from 3.15 to 3.04 eV corresponding to non-doping to Sb-doping conditions, respectively. The electrical resistivity reduced from 10.5 to 1.0 MΩm by the Sb doping. These results suggest the doping level was newly formed in the forbidden band.

  1. Chip-to-Chip Half Duplex Spiking Data Communication over Power Supply Rails

    Science.gov (United States)

    Hashida, Takushi; Nagata, Makoto

    Chip-to-chip serial data communication is superposed on power supply over common Vdd/Vss connections through chip, package, and board traces. A power line transceiver demonstrates half duplex spiking communication at more than 100Mbps. A pair of transceivers consumes 1.35mA from 3.3V, at 130Mbps. On-chip power line LC low pass filter attenuates pseudo-differential communication spikes by 30dB, purifying power supply current for internal circuits. Bi-directional spiking communication was successfully examined in a 90-nm CMOS prototype setup of on-chip waveform capturing. A micro controller forwards clock pulses to and receives data streams from a comparator based waveform capturer formed on a different chip, through a single pair of power and ground traces. The bit error rate is small enough not to degrade waveform acquisition capability, maintaining the spurious free dynamic range of higher than 50dB.

  2. Simulated Thin-Film Growth and Imaging

    Science.gov (United States)

    Schillaci, Michael

    2001-06-01

    Thin-films have become the cornerstone of the electronics, telecommunications, and broadband markets. A list of potential products includes: computer boards and chips, satellites, cell phones, fuel cells, superconductors, flat panel displays, optical waveguides, building and automotive windows, food and beverage plastic containers, metal foils, pipe plating, vision ware, manufacturing equipment and turbine engines. For all of these reasons a basic understanding of the physical processes involved in both growing and imaging thin-films can provide a wonderful research project for advanced undergraduate and first-year graduate students. After producing rudimentary two- and three-dimensional thin-film models incorporating ballsitic deposition and nearest neighbor Coulomb-type interactions, the QM tunneling equations are used to produce simulated scanning tunneling microscope (SSTM) images of the films. A discussion of computational platforms, languages, and software packages that may be used to accomplish similar results is also given.

  3. Nanostructured thin films as functional coatings

    Energy Technology Data Exchange (ETDEWEB)

    Lazar, Manoj A; Tadvani, Jalil K; Tung, Wing Sze; Lopez, Lorena; Daoud, Walid A, E-mail: Walid.Daoud@sci.monash.edu.au [School of Applied Sciences and Engineering, Monash University, Churchill, VIC 3842 (Australia)

    2010-06-15

    Nanostructured thin films is one of the highly exploiting research areas particularly in applications such as photovoltaics, photocatalysis and sensor technologies. Highly tuned thin films, in terms of thickness, crystallinity, porosity and optical properties, can be fabricated on different substrates using the sol-gel method, chemical solution deposition (CSD), electrochemical etching, along with other conventional methods such as chemical vapour deposition (CVD) and physical vapour deposition (PVD). The above mentioned properties of these films are usually characterised using surface analysis techniques such as XRD, SEM, TEM, AFM, ellipsometry, electrochemistry, SAXS, reflectance spectroscopy, STM, XPS, SIMS, ESCA, X-ray topography and DOSY-NMR. This article presents a short review of the preparation and characterisation of thin films of nanocrystalline titanium dioxide and modified silicon as well as their application in solar cells, water treatment, water splitting, self cleaning fabrics, sensors, optoelectronic devices and lab on chip systems.

  4. Electrochemical, atomic force microscopy and infrared reflection absorption spectroscopy studies of pre-formed mussel adhesive protein films on carbon steel for corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Fan, E-mail: fanzhang@kth.se [KTH Royal Institute of Technology, School of Chemical Science and Engineering, Department of Chemistry, Div. of Surface and Corrosion Science, Drottning Kristinas vaeg.51, SE-100 44 Stockholm (Sweden); Pan, Jinshan [KTH Royal Institute of Technology, School of Chemical Science and Engineering, Department of Chemistry, Div. of Surface and Corrosion Science, Drottning Kristinas vaeg.51, SE-100 44 Stockholm (Sweden); Claesson, Per Martin [KTH Royal Institute of Technology, School of Chemical Science and Engineering, Department of Chemistry, Div. of Surface and Corrosion Science, Drottning Kristinas vaeg.51, SE-100 44 Stockholm (Sweden); Institute for Surface Chemistry, P.O. Box 5607, SE-114 86 Stockholm (Sweden); Brinck, Tore [KTH Royal Institute of Technology, School of Chemical Science and Engineering, Department of Physical Chemistry, Division of Physical Chemistry, Teknikringen 36, SE-10044 Stockholm (Sweden)

    2012-10-01

    Electrochemical measurements, in situ and ex situ atomic force microscopy (AFM) experiments and infrared reflection absorption spectroscopy (IRAS) analysis were performed to investigate the formation and stability as well as corrosion protection properties of mussel adhesive protein (Mefp-1) films on carbon steel, and the influence of cross-linking by NaIO{sub 4} oxidation. The in situ AFM measurements show flake-like adsorbed protein aggregates in the film formed at pH 9. The ex situ AFM images indicate multilayer-like films and that the film becomes more compact and stable in NaCl solution after the cross-linking. The IRAS results reveal the absorption bands of Mefp-1 on carbon steel before and after NaIO{sub 4} induced oxidation of the pre-adsorbed protein. Within a short exposure time, a certain corrosion protection effect was noted for the pre-formed Mefp-1 film in 0.1 M NaCl solution. Cross-linking the pre-adsorbed film by NaIO{sub 4} oxidation significantly enhanced the protection efficiency by up to 80%. - Highlights: Black-Right-Pointing-Pointer Mussel protein was tested as 'green' corrosion protection strategy for steel. Black-Right-Pointing-Pointer At pH 9, the protein adsorbs on carbon steel and forms a multilayer-like film. Black-Right-Pointing-Pointer NaIO{sub 4} leads to structural changes and cross-linking of the protein film. Black-Right-Pointing-Pointer Cross-linking results in a dense and compact film with increased stability. Black-Right-Pointing-Pointer Cross-linking of preformed film significantly enhances the corrosion protection.

  5. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  6. Opening of K+ channels by capacitive stimulation from silicon chip

    Science.gov (United States)

    Ulbrich, M. H.; Fromherz, P.

    2005-10-01

    The development of stable neuroelectronic systems requires a stimulation of nerve cells from semiconductor devices without electrochemical effects at the electrolyte/solid interface and without damage of the cell membrane. The interaction must rely on a reversible opening of voltage-gated ion channels by capacitive coupling. In a proof-of-principle experiment, we demonstrate that Kv1.3 potassium channels expressed in HEK293 cells can be opened from an electrolyte/oxide/silicon (EOS) capacitor. A sufficient strength of electrical coupling is achieved by insulating silicon with a thin film of TiO2 to achieve a high capacitance and by removing NaCl from the electrolyte to enhance the resistance of the cell-chip contact. When a decaying voltage ramp is applied to the EOS capacitor, an outward current through the attached cell membrane is observed that is specific for Kv1.3 channels. An open probability up to fifty percent is estimated by comparison with a numerical simulation of the cell-chip contact.

  7. Morphology and thermal stability of Ti-doped copper nitride films

    International Nuclear Information System (INIS)

    Fan Xiaoyan; Wu Zhiguo; Li Huajun; Geng Baisong; Li Chun; Yan Pengxun

    2007-01-01

    A weakly Ti-doped copper nitride (Cu 3 N) film was prepared by cylindrical magnetron sputtering. The XPS results indicate that Ti atoms do not substitute for the Cu atoms but probably locate at the grain boundaries. The columnar grains size is about half of that of the undoped Cu 3 N film and the surface is smoother. For weakly Ti-doped Cu 3 N films, a dense layer appears on top of the columnar crystals. The RMS of the Cu film formed by annealing of the weakly Ti-doped Cu 3 N film is more than twice larger than that of the film before annealing. Compared with the undoped Cu 3 N film, it possesses fine thermal stability both in vacuum and in atmosphere

  8. Scanning tunneling spectroscopy of Pb thin films

    Energy Technology Data Exchange (ETDEWEB)

    Becker, Michael

    2010-12-13

    The present thesis deals with the electronic structure, work function and single-atom contact conductance of Pb thin films, investigated with a low-temperature scanning tunneling microscope. The electronic structure of Pb(111) thin films on Ag(111) surfaces is investigated using scanning tunneling spectroscopy (STS). Quantum size effects, in particular, quantum well states (QWSs), play a crucial role in the electronic and physical properties of these films. Quantitative analysis of the spectra yields the QWS energies as a function of film thickness, the Pb bulk-band dispersion in {gamma}-L direction, scattering phase shifts at the Pb/Ag interface and vacuum barrier as well as the lifetime broadening at anti {gamma}. The work function {phi} is an important property of surfaces, which influences catalytic reactivity and charge injection at interfaces. It controls the availability of charge carriers in front of a surface. Modifying {phi} has been achieved by deposition of metals and molecules. For investigating {phi} at the atomic scale, scanning tunneling microscopy (STM) has become a widely used technique. STM measures an apparent barrier height {phi}{sub a}, which is commonly related to the sample work function {phi}{sub s} by: {phi}{sub a}=({phi}{sub s}+{phi}{sub t}- vertical stroke eV vertical stroke)/2, with {phi}{sub t} the work function of the tunneling tip, V the applied tunneling bias voltage, and -e the electron charge. Hence, the effect of the finite voltage in STM on {phi}{sub a} is assumed to be linear and the comparison of {phi}{sub a} measured at different surface sites is assumed to yield quantitative information about work function differences. Here, the dependence of {phi}{sub a} on the Pb film thickness and applied bias voltage V is investigated. {phi}{sub a} is found to vary significantly with V. This bias dependence leads to drastic changes and even inversion of contrast in spatial maps of {phi}{sub a}, which are related to the QWSs in the Pb

  9. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  10. Scalable fabrication of high-power graphene micro-supercapacitors for flexible and on-chip energy storage

    Science.gov (United States)

    El-Kady, Maher F.; Kaner, Richard B.

    2013-02-01

    The rapid development of miniaturized electronic devices has increased the demand for compact on-chip energy storage. Microscale supercapacitors have great potential to complement or replace batteries and electrolytic capacitors in a variety of applications. However, conventional micro-fabrication techniques have proven to be cumbersome in building cost-effective micro-devices, thus limiting their widespread application. Here we demonstrate a scalable fabrication of graphene micro-supercapacitors over large areas by direct laser writing on graphite oxide films using a standard LightScribe DVD burner. More than 100 micro-supercapacitors can be produced on a single disc in 30 min or less. The devices are built on flexible substrates for flexible electronics and on-chip uses that can be integrated with MEMS or CMOS in a single chip. Remarkably, miniaturizing the devices to the microscale results in enhanced charge-storage capacity and rate capability. These micro-supercapacitors demonstrate a power density of ~200 W cm-3, which is among the highest values achieved for any supercapacitor.

  11. A proposed holistic approach to on-chip, off-chip, test, and package interconnections

    Science.gov (United States)

    Bartelink, Dirk J.

    1998-11-01

    The term interconnection has traditionally implied a `robust' connection from a transistor or a group of transistors in an IC to the outside world, usually a PC board. Optimum system utilization is done from outside the IC. As an alternative, this paper addresses `unimpeded' transistor-to-transistor interconnection aimed at reaching the high circuit densities and computational capabilities of neighboring IC's. In this view, interconnections are not made to some human-centric place outside the IC world requiring robustness—except for system input and output connections. This unimpeded interconnect style is currently available only through intra-chip signal traces in `system-on-a-chip' implementations, as exemplified by embedded DRAMs. Because the traditional off-chip penalty in performance and wiring density is so large, a merging of complex process technologies is the only option today. It is suggested that, for system integration to move forward, the traditional robustness requirement inherited from conventional packaging interconnect and IC manufacturing test must be discarded. Traditional system assembly from vendor parts requires robustness under shipping, inspection and assembly. The trend toward systems on a chip signifies willingness by semiconductor companies to design and fabricate whole systems in house, so that `in-house' chip-to-chip assembly is not beyond reach. In this scenario, bare chips never leave the controlled environment of the IC fabricator while the two major contributors to off-chip signal penalty, ESD protection and the need to source a 50-ohm test head, are avoided. With in-house assembly, ESD protection can be eliminated with the precautions already familiar in plasma etching. Test interconnection impacts the fundamentals of IC manufacturing, particularly with clock speeds approaching 1GHz, and cannot be an afterthought. It should be an integral part of the chip-to-chip interconnection bandwidth optimization, because—as we must

  12. Nanostructural characterization of amorphous diamondlike carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Tallant, D. R. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Martinez-Miranda, L. J. [University of Maryland, Department of Materials and Nuclear Engineering, College Park, Maryland 20742 (United States); Barbour, J. C. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Simpson, R. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Overmyer, D. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2000-04-15

    Nanostructural characterization of amorphous diamondlike carbon (a-C) films grown on silicon using pulsed-laser deposition (PLD) is correlated to both growth energetics and film thickness. Raman spectroscopy and x-ray reflectivity probe both the topological nature of three- and four-fold coordinated carbon atom bonding and the topographical clustering of their distributions within a given film. In general, increasing the energetics of PLD growth results in films becoming more ''diamondlike,'' i.e., increasing mass density and decreasing optical absorbance. However, these same properties decrease appreciably with thickness. The topology of carbon atom bonding is different for material near the substrate interface compared to material within the bulk portion of an a-C film. A simple model balancing the energy of residual stress and the free energies of resulting carbon topologies is proposed to provide an explanation of the evolution of topographical bonding clusters in a growing a-C film. (c) 2000 The American Physical Society.

  13. Nanostructural characterization of amorphous diamondlike carbon films

    Energy Technology Data Exchange (ETDEWEB)

    SIEGAL,MICHAEL P.; TALLANT,DAVID R.; MARTINEZ-MIRANDA,L.J.; BARBOUR,J. CHARLES; SIMPSON,REGINA L.; OVERMYER,DONALD L.

    2000-01-27

    Nanostructural characterization of amorphous diamondlike carbon (a-C) films grown on silicon using pulsed-laser deposition (PLD) is correlated to both growth energetic and film thickness. Raman spectroscopy and x-ray reflectivity probe both the topological nature of 3- and 4-fold coordinated carbon atom bonding and the topographical clustering of their distributions within a given film. In general, increasing the energetic of PLD growth results in films becoming more ``diamondlike'', i.e. increasing mass density and decreasing optical absorbance. However, these same properties decrease appreciably with thickness. The topology of carbon atom bonding is different for material near the substrate interface compared to material within the bulk portion of an a-C film. A simple model balancing the energy of residual stress and the free energies of resulting carbon topologies is proposed to provide an explanation of the evolution of topographical bonding clusters in a growing a-C film.

  14. Nanostructured films of metal particles obtained by laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Muniz-Miranda, M., E-mail: muniz@unifi.it [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Gellini, C. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Giorgetti, E.; Margheri, G.; Marsili, P. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Lascialfari, L.; Becucci, L. [Dipartimento di Chimica “U. Schiff”, Università di Firenze, Via della Lastruccia 3, 50019 Sesto Fiorentino (Italy); Trigari, S. [Istituto Sistemi Complessi (CNR), Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); Giammanco, F. [Dipartimento di Fisica “E. Fermi”, Università di Pisa, Largo Pontecorvo 3, 56127 Pisa (Italy)

    2013-09-30

    Colloidal dispersions of silver and gold nanoparticles were obtained in pure water by ablation with nanosecond pulsed laser. Then, by filtration of the metal particles on alumina, we fabricated nanostructured films, whose surface morphology was examined by atomic force microscopy (AFM) and related to surface-enhanced Raman scattering (SERS) after adsorption of adenine. - Highlights: • Ag and Au colloidal nanoparticles were obtained by laser ablation. • Nanostructured Ag and Au films were fabricated by filtration of metal nanoparticles. • Surface morphology of metal films was investigated by atomic force microscopy. • Surface-enhanced Raman spectra (SERS) of adenine on metal films were obtained. • SERS enhancements were related to the surface roughness of the metal films.

  15. A scalable single-chip multi-processor architecture with on-chip RTOS kernel

    NARCIS (Netherlands)

    Theelen, B.D.; Verschueren, A.C.; Reyes Suarez, V.V.; Stevens, M.P.J.; Nunez, A.

    2003-01-01

    Now that system-on-chip technology is emerging, single-chip multi-processors are becoming feasible. A key problem of designing such systems is the complexity of their on-chip interconnects and memory architecture. It is furthermore unclear at what level software should be integrated. An example of a

  16. Characterization of molecular organization in pentacene thin films on SiO{sub 2} surface using infrared spectroscopy, spectroscopic ellipsometry, and atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Frątczak, E.Z., E-mail: ewelinazofia@gmail.com [Faculty of Physics and Applied Informatics, University of Łódź, 90-236 Łódź, Pomorska 149/153 (Poland); Uznański, P., E-mail: puznansk@cbmm.lodz.pl [Centre of Molecular and Macromolecular Studies, Polish Academy of Sciences, 90-363 Łódź, Sienkiewicza 112 (Poland); Moneta, M.E. [Faculty of Physics and Applied Informatics, University of Łódź, 90-236 Łódź, Pomorska 149/153 (Poland)

    2015-07-29

    Highlights: • Pentacene thin films of different thickness grown onto SiO{sub 2} substrates were studied. • Polarized IR GATR spectra were recorded and conclusions on pentacene orientation were deduced. • Optical anisotropic properties and morphology of pentacene films were analyzed. • Dielectric properties vary to some extent with the film thickness. - Abstract: Thin films of pentacene of 32 and 100 nm thickness obtained by organic molecular beam deposition (OMBD) in high vacuum conditions onto silicon/native silica (Si/SiO{sub 2}) and fused silica substrates were examined. Alignment, anisotropic optical properties and morphology were studied in ambient conditions using infrared (IR) transmission and polarized grazing angle attenuated total reflection (GATR) techniques, variable angle spectroscopic ellipsometry (VASE), UV–VIS absorption, and atomic force microscopy (AFM). For the first time dichroic GATR IR spectra were recorded for such thin films and conclusions on pentacene orientation were deduced on the basis of dichroic ratio of the IR-active vibrations. The symmetry assignment of the vibrational transitions is also discussed. The films exhibit continuous globular texture with uniaxial alignment of pentacene molecules and strongly anisotropic optical properties evidenced in the ellipsometric measurements. The results revealed that there are some quantitative differences in the orientation and in the dielectric properties between the two pentacene films of different thickness.

  17. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schaefer, Michael, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy, E-mail: mvschaefer@mail.usf.edu, E-mail: schlaf@mail.usf.edu [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2015-08-14

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru{sup 0}) and its oxide (RuO{sub 2}) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru{sup 0} and RuO{sub 2} films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO{sub 2} and 0.04 Å/cycle for Ru.{sup 0} An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO{sub 2}/OH compound whose surface is saturated with hydroxyl groups.

  18. Electronic structure investigation of atomic layer deposition ruthenium(oxide) thin films using photoemission spectroscopy

    International Nuclear Information System (INIS)

    Schaefer, Michael; Schlaf, Rudy

    2015-01-01

    Analyzing and manipulating the electronic band line-up of interfaces in novel micro- and nanoelectronic devices is important to achieve further advancement in this field. Such band alignment modifications can be achieved by introducing thin conformal interfacial dipole layers. Atomic layer deposition (ALD), enabling angstrom-precise control over thin film thickness, is an ideal technique for this challenge. Ruthenium (Ru 0 ) and its oxide (RuO 2 ) have gained interest in the past decade as interfacial dipole layers because of their favorable properties like metal-equivalent work functions, conductivity, etc. In this study, initial results of the electronic structure investigation of ALD Ru 0 and RuO 2 films via photoemission spectroscopy are presented. These experiments give insight into the band alignment, growth behavior, surface structure termination, and dipole formation. The experiments were performed in an integrated vacuum system attached to a home-built, stop-flow type ALD reactor without exposing the samples to the ambient in between deposition and analysis. Bis(ethylcyclopentadienyl)ruthenium(II) was used as precursor and oxygen as reactant. The analysis chamber was outfitted with X-ray photoemission spectroscopy (LIXPS, XPS). The determined growth modes are consistent with a strong growth inhibition situation with a maximum average growth rate of 0.21 Å/cycle for RuO 2 and 0.04 Å/cycle for Ru. 0 An interface dipole of up to −0.93 eV was observed, supporting the assumption of a strongly physisorbed interface. A separate experiment where the surface of a RuO film was sputtered suggests that the surface is terminated by an intermediate, stable, non-stoichiometric RuO 2 /OH compound whose surface is saturated with hydroxyl groups

  19. Performance of Twin-Fluid Atomizers for Atomization of Viscous Solutions

    Directory of Open Access Journals (Sweden)

    Mlkvik Marek

    2015-01-01

    Full Text Available Presented paper deals with a comparison of two internally mixing twin fluid atomizers. The well - known Y- jet atomizer and so called outside-in-liquid effervescent atomizer (OUIL were investigated. The working regimes were defined by the pressure drop (Δp and the gas to the liquid ratio (GLR. The internal and the external two-phase flows of both atomizers were studied. The influence of the mixing mechanism on the internal flow was evaluated by the gas to the liquid momentum ratio (Φ. In advance, the stability of the separated flow (liquid film was examined in term of the critical wavelength of the surface disturbances (λc. The external flow was observed by the high – speed camera. The influence of the basic forces on the deformation of the liquid was determined by a dimensionless criterion w·μ / σ. The values of Φ 3, where the liquid momentum overcomes the gas momentum. The values of w·μ / σ> 20 for both atomizers indicates the dominant influence of the viscosity and the drag force on the breakup process.

  20. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.