WorldWideScience

Sample records for fast trigger information

  1. ATLAS FTK: Fast Track Trigger

    CERN Document Server

    Volpi, Guido; The ATLAS collaboration

    2015-01-01

    An overview of the ATLAS Fast Tracker processor is presented, reporting the design of the system, its expected performance, and the integration status. The next LHC runs, with a significant increase in instantaneous luminosity, will provide a big challenge to the trigger and data acquisition systems of all the experiments. An intensive use of the tracking information at the trigger level will be important to keep high efficiency in interesting events, despite the increase in multiple p-p collisions per bunch crossing (pile-up). In order to increase the use of tracks within the High Level Trigger (HLT), the ATLAS experiment planned the installation of an hardware processor dedicated to tracking: the Fast TracKer (FTK) processor. The FTK is designed to perform full scan track reconstruction at every Level-1 accept. To achieve this goal, the FTK uses a fully parallel architecture, with algorithms designed to exploit the computing power of custom VLSI chips, the Associative Memory, as well as modern FPGAs. The FT...

  2. Fast processor for dilepton triggers

    International Nuclear Information System (INIS)

    Katsanevas, S.; Kostarakis, P.; Baltrusaitis, R.

    1983-01-01

    We describe a fast trigger processor, developed for and used in Fermilab experiment E-537, for selecting high-mass dimuon events produced by negative pions and anti-protons. The processor finds candidate tracks by matching hit information received from drift chambers and scintillation counters, and determines their momenta. Invariant masses are calculated for all possible pairs of tracks and an event is accepted if any invariant mass is greater than some preselectable minimum mass. The whole process, accomplished within 5 to 10 microseconds, achieves up to a ten-fold reduction in trigger rate

  3. A Hardware Fast Tracker for the ATLAS Trigger: The Fast TracKer (FTK) Project.

    CERN Document Server

    Asbah, Nedaa; The ATLAS collaboration

    2015-01-01

    The trigger system of the ATLAS experiment is designed to reduce the event rate from the LHC nominal bunch crossing at 40 MHz to about 1 kHz, at the design luminosity of 10^{34} cm^{-2} s{-1}. After a successful period of data taking from 2010 to early 2013, the LHC is restarting in 2015 with much higher instantaneous luminosity and this will increase the load on High Level Trigger system, the second stage of the selection based on software algorithms. More sophisticated algorithms will be needed to achieve higher background rejection while maintaining good efficiency for interesting physics signals. The Fast TracKer is part of the ATLAS trigger upgrade project; it is a hardware processor that will provide, at every level-1 accept (100 kHz) and within 100 microseconds, full tracking information for tracks with momentum as low as 1 GeV. Providing fast extensive access to tracking information, with resolution comparable to the offline reconstruction, the Fast Tracker will for example help the High Level Trigger...

  4. ATLAS FTK Fast Track Trigger

    CERN Document Server

    Iizawa, T; The ATLAS collaboration

    2014-01-01

    The Fast TracKer (FTK) will perform global track reconstruction after each Level-1 trigger accept signal to enable the software-based higher level trigger to have early access to tracking information. FTK is a dedicated processor based on a mixture of advanced technologies. Modern, powerful Field Programmable Gate Arrays (FPGAs) form an important part of the system architecture, and the large level of computing power required for pattern recognition is provided by incorporating standard-cell ASICs named Associative Memory (AM). Motivation and the architecture of the FTK system will be presented, and the status of hardware and simulation will be following.

  5. A hardware fast tracker for the ATLAS trigger

    Science.gov (United States)

    Asbah, Nedaa

    2016-09-01

    The trigger system of the ATLAS experiment is designed to reduce the event rate from the LHC nominal bunch crossing at 40 MHz to about 1 kHz, at the design luminosity of 1034 cm-2 s-1. After a successful period of data taking from 2010 to early 2013, the LHC already started with much higher instantaneous luminosity. This will increase the load on High Level Trigger system, the second stage of the selection based on software algorithms. More sophisticated algorithms will be needed to achieve higher background rejection while maintaining good efficiency for interesting physics signals. The Fast TracKer (FTK) is part of the ATLAS trigger upgrade project. It is a hardware processor that will provide, at every Level-1 accepted event (100 kHz) and within 100 microseconds, full tracking information for tracks with momentum as low as 1 GeV. Providing fast, extensive access to tracking information, with resolution comparable to the offline reconstruction, FTK will help in precise detection of the primary and secondary vertices to ensure robust selections and improve the trigger performance. FTK exploits hardware technologies with massive parallelism, combining Associative Memory ASICs, FPGAs and high-speed communication links.

  6. Design of a Hardware Track Finder (Fast Tracker) for the ATLAS Trigger

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00010976; Albicocco, P.; Alison, J.; Ancu, L.S.; Anderson, J.; Andari, N.; Andreani, A.; Andreazza, A.; Annovi, A.; Antonelli, M.; Asbah, N.; Atkinson, M.; Baines, J.; Barberio, E.; Beccherle, R.; Beretta, M.; Bertolucci, F.; Biesuz, N.V.; Blair, R.; Bogdan, M.; Boveia, A.; Britzger, D.; Bryant, P.; Burghgrave, B.; Calderini, G.; Camplani, A.; Cavasinni, V.; Chakraborty, D.; Chang, P.; Cheng, Y.; Citraro, S.; Citterio, M.; Crescioli, F.; Dawe, N.; Dell'Orso, M.; Donati, S.; Dondero, P.; Drake, G.; Gadomski, S.; Gatta, M.; Gentsos, C.; Giannetti, P.; Gkaitatzis, S.; Gramling, J.; Howarth, J.W.; Iizawa, T.; Ilic, N.; Jiang, Z.; Kaji, T.; Kasten, M.; Kawaguchi, Y.; Kim, Y.K.; Kimura, N.; Klimkovich, T.; Kolb, M.; Kordas, K.; Krizka, K.; Kubota, T.; Lanza, A.; Li, H.L.; Liberali, V.; Lisovyi, M.; Liu, L.; Love, J.; Luciano, P.; Luongo, C.; Magalotti, D.; Maznas, I.; Meroni, C.; Mitani, T.; Nasimi, H.; Negri, A.; Neroutsos, P.; Neubauer, M.; Nikolaidis, S.; Okumura, Y.; Pandini, C.; Petridou, C.; Piendibene, M.; Proudfoot, J.; Rados, P.; Roda, C.; Rossi, E.; Sakurai, Y.; Sampsonidis, D.; Saxon, J.; Schmitt, S.; Schoening, A.; Shochet, M.; Shojaii, S.; Soltveit, H.; Sotiropoulou, C.L.; Stabile, A.; Swiatlowski, M.; Tang, F.; Taylor, P.T.; Testa, M.; Tompkins, L.; Vercesi, V.; Volpi, G.; Wang, R.; Watari, R.; Webster, J.; Wu, X.; Yorita, K.; Yurkewicz, A.; Zeng, J.C.; Zhang, J.; Zou, R.

    2016-01-01

    The use of tracking information at the trigger level in the LHC Run II period is crucial for the trigger an data acquisition (TDAQ) system and will be even more so as contemporary collisions that occur at every bunch crossing will increase in Run III. The Fast TracKer (FTK) is part of the ATLAS trigger upgrade project; it is a hardware processor that will provide every Level-1 accepted event (100 kHz) and within 100$\\mu$s, full tracking information for tracks with momentum as low as 1 GeV. Providing fast, extensive access to tracking information, with resolution comparable to the offline reconstruction, FTK will help in precise detection of the primary and secondary vertices to ensure robust selections and improve the trigger performance.

  7. A Hardware Fast Tracker for the ATLAS trigger

    CERN Document Server

    Asbah, Nedaa; The ATLAS collaboration

    2015-01-01

    The trigger system of the ATLAS experiment is designed to reduce the event rate from the LHC nominal bunch crossing at 40 MHz to about 1 kHz, at the design luminosity of 10^{34} cm^{-2}s^{-1}. After a successful period of data taking from 2010 to early 2013, the LHC restarted with much higher instantaneous luminosity. This will increase the load on High Level Trigger system, the second stage of the selection based on software algorithms. More sophisticated algorithms will be needed to achieve higher background rejection while maintaining good efficiency for interesting physics signals. The Fast TracKer (FTK) is part of the ATLAS trigger upgrade project; it is a hardware processor that will provide, at every level-1 accepted event (100 kHz) and within 100 microseconds, full tracking information for tracks with momentum as low as 1 GeV. Providing fast extensive access to tracking information, with resolution comparable to the offline reconstruction, FTK will help in precise detection of the primary and secondar...

  8. A Hardware Fast Tracker for the ATLAS trigger

    International Nuclear Information System (INIS)

    Asbah, N.

    2016-01-01

    The trigger system of the ATLAS experiment is designed to reduce the event rate from the LHC nominal bunch crossing at 40 MHz to about 1 kHz, at the design luminosity of 10 34 cm -2 · s -1 . After a successful period of data taking from 2010 to early 2013, the LHC already started with much higher instantaneous luminosity. This will increase the load on High Level Trigger system, the second stage of the selection based on software algorithms. More sophisticated algorithms will be needed to achieve higher background rejection while maintaining good efficiency for interesting physics signals. The Fast TracKer (FTK) is part of the ATLAS trigger upgrade project. It is a hardware processor that will provide, at every Level-1 accepted event (100 kHz) and within 100 μs, full tracking information for tracks with momentum as low as 1 GeV. Providing fast, extensive access to tracking information, with resolution comparable to the offline reconstruction, FTK will help in precise detection of the primary and secondary vertices to ensure robust selections and improve the trigger performance. FTK exploits hardware technologies with massive parallelism, combining Associative Memory ASICs, FPGAs and high-speed communication links.

  9. A Fast hardware tracker for the ATLAS Trigger

    CERN Document Server

    Pandini, Carlo Enrico; The ATLAS collaboration

    2015-01-01

    The trigger system at the ATLAS experiment is designed to lower the event rate occurring from the nominal bunch crossing at 40 MHz to about 1 kHz for a designed LHC luminosity of 10$^{34}$ cm$^{-2}$ s$^{-1}$. To achieve high background rejection while maintaining good efficiency for interesting physics signals, sophisticated algorithms are needed which require extensive use of tracking information. The Fast TracKer (FTK) trigger system, part of the ATLAS trigger upgrade program, is a highly parallel hardware device designed to perform track-finding at 100 kHz and based on a mixture of advanced technologies. Modern, powerful Field Programmable Gate Arrays (FPGA) form an important part of the system architecture, and the combinatorial problem of pattern recognition is solved by ~8000 standard-cell ASICs named Associative Memories. The availability of the tracking and subsequent vertex information within a short latency ensures robust selections and allows improved trigger performance for the most difficult sign...

  10. A fast processor for di-lepton triggers

    CERN Document Server

    Kostarakis, P; Barsotti, E; Conetti, S; Cox, B; Enagonio, J; Haldeman, M; Haynes, W; Katsanevas, S; Kerns, C; Lebrun, P; Smith, H; Soszyniski, T; Stoffel, J; Treptow, K; Turkot, F; Wagner, R

    1981-01-01

    As a new application of the Fermilab ECL-CAMAC logic modules a fast trigger processor was developed for Fermilab experiment E-537, aiming to measure the higher mass di-muon production by antiprotons. The processor matches the hit information received from drift chambers and scintillation counters, to find candidate muon tracks and determine their directions and momenta. The tracks are then paired to compute an invariant mass: when the computed mass falls within the desired range, the event is accepted. The process is accomplished in times of 5 to 10 microseconds, while achieving a trigger rate reduction of up to a factor of ten. (5 refs).

  11. FTK: a Fast Track Trigger for ATLAS

    International Nuclear Information System (INIS)

    Anderson, J; Auerbach, B; Blair, R; Andreani, A; Andreazza, A; Citterio, M; Annovi, A; Beretta, M; Castegnaro, A; Atkinson, M; Cavaliere, V; Chang, P; Bevacqua, V; Crescioli, F; Blazey, G; Bogdan, M; Boveia, A; Canelli, F; Cheng, Y; Cervigni, F

    2012-01-01

    We describe the design and expected performance of a the Fast Tracker Trigger (FTK) system for the ATLAS detector at the Large Hadron Collider. The FTK is a highly parallel hardware system designed to operate at the Level 1 trigger output rate. It is designed to provide global tracks reconstructed in the inner detector with resolution comparable to the full offline reconstruction as input of the Level 2 trigger processing. The hardware system is based on associative memories for pattern recognition and fast FPGAs for track reconstruction. The FTK is expected to dramatically improve the performance of track based isolation and b-tagging with little to no dependencies of pile-up interactions.

  12. A general-purpose trigger processor system and its application to fast vertex trigger

    International Nuclear Information System (INIS)

    Hazumi, M.; Banas, E.; Natkaniec, Z.; Ostrowicz, W.

    1997-12-01

    A general-purpose hardware trigger system has been developed. The system comprises programmable trigger processors and pattern generator/samplers. The hardware design of the system is described. An application as a prototype of the very fast vertex trigger in an asymmetric B-factory at KEK is also explained. (author)

  13. The fast trigger scintillator for the JETSET experiment (PS202/LEAR)

    International Nuclear Information System (INIS)

    Sefzick, T.

    1988-12-01

    In the present thesis the trigger detector of the JETSET experiment (PS202) at the LEAR/CERN consisting of scintillation counters is presented. After giving a start signal in a second stage of the trigger electronics the determination of the position of the traversed points of the reaction products is performed with the information of the scintillation detector. A third following trigger stage shall study the position informations given by the second stage under kinematical points of view. The present diploma thesis deals especially with the first two trigger stages. As basic conditions the components of a scintillation counter are treated and calibration and testing possibilities presented. For this belongs a fast light pulser with green or blue LED. Results of the studies which scintillator and light-guide materials are most suitable for the JETSET experiment are presented. (orig./HSI) [de

  14. Fast pattern recognition with the ATLAS L1 track trigger for the HL-LHC

    CERN Document Server

    Martensson, Mikael; The ATLAS collaboration

    2016-01-01

    A fast hardware based track trigger for high luminosity upgrade of the Large Hadron Collider (HL- LHC) is being developed in ATLAS. The goal is to achieve trigger levels in high pileup collisions that are similar or even better than those achieved at low pile-up running of LHC by adding tracking information to the ATLAS hardware trigger which is currently based on information from calorimeters and muon trigger chambers only. Two methods for fast pattern recognition are investigated. The first is based on matching tracker hits to pattern banks of simulated high momentum tracks which are stored in a custom made Associative Memory (AM) ASIC. The second is based on the Hough transform where detector hits are transformed into 2D Hough space with one variable related to track pt and one to track direction. Hits found by pattern recognition will be sent to a track fitting step which calculates the track parameters . The speed and precision of the track fitting depends on the quality of the hits selected by the patte...

  15. A Fast hardware Tracker for the ATLAS Trigger system

    CERN Document Server

    Pandini, Carlo Enrico; The ATLAS collaboration

    2015-01-01

    The trigger system at the ATLAS experiment is designed to lower the event rate occurring from the nominal bunch crossing at 40 MHz to about 1 kHz for a designed LHC luminosity of 10$^{34}$ cm$^{-2}$ s$^{-1}$. After a very successful data taking run the LHC is expected to run starting in 2015 with much higher instantaneous luminosities and this will increase the load on the High Level Trigger system. More sophisticated algorithms will be needed to achieve higher background rejection while maintaining good efficiency for interesting physics signals, which requires a more extensive use of tracking information. The Fast Tracker (FTK) trigger system, part of the ATLAS trigger upgrade program, is a highly parallel hardware device designed to perform full-scan track-finding at the event rate of 100 kHz. FTK is a dedicated processor based on a mixture of advanced technologies. Modern, powerful, Field Programmable Gate Arrays form an important part of the system architecture, and the combinatorial problem of pattern r...

  16. The fast tracker processor for hadronic collider triggers

    CERN Document Server

    Annovi, A; Bardi, A; Carosi, R; Dell'Orso, Mauro; D'Onofrio, M; Giannetti, P; Iannaccone, G; Morsani, F; Pietri, M; Varotto, G

    2000-01-01

    Perspective for precise and fast track reconstruction in future hadronic collider experiments are addressed. We discuss the feasibility of a pipelined highly parallelized processor dedicated to the implementation of a very fast algorithm. The algorithm is based on the use of a large bank of pre-stored combinations of trajectory points (patterns) for extremely complex tracking systems. The CMS experiment at LHC is used as a benchmark. Tracking data from the events selected by the level-1 trigger are sorted and filtered by the Fast Tracker processor at a rate of 100 kHz. This data organization allows the level-2 trigger logic to reconstruct full resolution traces with transverse momentum above few GeV and search secondary vertexes within typical level-2 times. 15 Refs.

  17. The fast tracker processor for hadron collider triggers

    CERN Document Server

    Annovi, A; Bardi, A; Carosi, R; Dell'Orso, Mauro; D'Onofrio, M; Giannetti, P; Iannaccone, G; Morsani, E; Pietri, M; Varotto, G

    2001-01-01

    Perspectives for precise and fast track reconstruction in future hadron collider experiments are addressed. We discuss the feasibility of a pipelined highly parallel processor dedicated to the implementation of a very fast tracking algorithm. The algorithm is based on the use of a large bank of pre-stored combinations of trajectory points, called patterns, for extremely complex tracking systems. The CMS experiment at LHC is used as a benchmark. Tracking data from the events selected by the level-1 trigger are sorted and filtered by the Fast Tracker processor at an input rate of 100 kHz. This data organization allows the level-2 trigger logic to reconstruct full resolution tracks with transverse momentum above a few GeV and search for secondary vertices within typical level-2 times. (15 refs).

  18. Hardware-based tracking at trigger level for ATLAS: The Fast Tracker (FTK) Project

    CERN Document Server

    Gramling, Johanna; The ATLAS collaboration

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer (FTK) is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level 1 trigger (at a maximum rate of 100 kHz) the FTK receives data from the 80 million channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware-based track reconstruction, using associative memory (AM) that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. Narrow roads permit a fast track fitting but need many patterns stored in the AM to ensure ...

  19. New Fast Interaction Trigger for ALICE

    Energy Technology Data Exchange (ETDEWEB)

    Trzaska, Wladyslaw Henryk

    2017-02-11

    The LHC heavy-ion luminosity and collision rate from 2021 onwards will considerably exceed the design parameters of the present ALICE forward trigger detectors and the introduction of the Muon Forward Tracker (MFT) will significantly reduce the space available for the new trigger detectors. To comply with these conditions a new Fast Interaction Trigger (FIT) will be built. FIT will be the main forward trigger, luminometer, and interaction-time detector. It will also determine multiplicity, centrality, and reaction plane of heavy-ion collisions. FIT will consist of two arrays of Cherenkov quartz radiators with MCP-PMT sensors and of a plastic scintillator ring. By increasing the overall acceptance of FIT, the scintillator will improve centrality and event plane resolution. It will also add sensitivity for the detection of beam-gas events and provide some degree of redundancy. FIT is currently undergoing an intense R&D and prototyping period. It is scheduled for installation in ALICE during 2020.

  20. The second level trigger system of FAST

    CERN Document Server

    Martínez,G; Berdugo, J; Casaus, J; Casella, V; De Laere, D; Deiters, K; Dick, P; Kirkby, J; Malgeri, L; Mañá, C; Marín, J; Pohl, M; Petitjean, C; Sánchez, E; Willmott, C

    2009-01-01

    The Fibre Active Scintillator Target (FAST) experiment is a novel imaging particle detector currently operating in a high-intensity π+ beam at the Paul Scherrer Institute (PSI), Villigen, Switzerland. The detector is designed to perform a high precision measurement of the μ+ lifetime, in order to determine the Fermi constant, Gf, to 1 ppm precision. A dedicated second level (LV2) hardware trigger system has been developed for the experiment. It performs an online analysis of the π/μ decay chain by identifying the stopping position of each beam particle and detecting the subsequent appearance of the muon. The LV2 trigger then records the muon stop pixel and selectively triggers the Time-to-Digital Converters (TDCs) in the vicinity. A detailed description of the trigger system is presented in this paper.

  1. The Fast Interaction Trigger Upgrade for ALICE

    CERN Document Server

    Garcia-Solis, Edmundo

    2016-01-01

    The ALICE Collaboration is preparing a major detector upgrade for the second LHC long shutdown (2019–20). The LHC heavy-ion luminosity and collision rate from 2021 onwards will considerably exceed the design parameters of the present ALICE forward trigger detectors. Furthermore, the introduction of a new Muon Forward Tracker (MFT) will significantly reduce the space available for the upgraded trigger detectors. To comply with these conditions a Fast Interaction Trigger (FIT) has been designed. FIT will be the primary forward trigger, luminosity, and collision time measurement detector. The FIT will be capable of triggering at an interaction rate of 50 kHz, with a time resolution better than 30 ps, with 99% efficiency. It will also determine multiplicity, centrality, and reaction plane. FIT will consist of two arrays of Cherenkov radiators with MCP-PMT sensors and of a single, large-size scintillator ring. The arrays will be placed on both sides of the interaction point (IP). Because of the presence of the h...

  2. Hardware-based Tracking at Trigger Level for ATLAS: The Fast TracKer (FTK) Project

    CERN Document Server

    Gramling, Johanna; The ATLAS collaboration

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer (FTK) is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level 1 trigger (at a maximum rate of 100 kHz) the FTK receives data from the 80 million channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware- based track reconstruction, using associative memory (AM) that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. Narrow roads permit a fast track fitting but need many patterns stored in the AM to ensure...

  3. A new fast and programmable trigger logic

    International Nuclear Information System (INIS)

    Fucci, A.; Amendolia, S.R.; Bertolucci, E.; Bottigli, U.; Bradaschia, C.; Foa, L.; Giazotto, A.; Giorgi, M.; Givoletti, M.; Lucardesi, P.; Menzione, A.; Passuello, D.; Quaglia, M.; Ristori, L.; Rolandi, L.; Salvadori, P.; Scribano, A.; Stanga, R.; Stefanini, A.; Vincelli, M.L.

    1977-01-01

    The NA1 (FRAMM) experiment, under construction for the CERN-SPS North Area, deals with more than 1000 counter signals which have to be combined together in order to build sophisticated and highly selective triggers. These requirements have led to the development of a low cost, combinatorial, fast electronics which can replace, in an advantageous way the standard NIM electronics at the trigger level. The essential performances of the basic circuit are: 1) programmability of any desired logical expression; 2) trigger time independent of the chosen expression; 3) reduced cost and compactness due to the use of commercial RAMs, PROMs, and PLAs; 4) short delay, less than 20 ns, between input and output pulses. (Auth.)

  4. The second level trigger system of FAST

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, G. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain)], E-mail: gustavo.martinez@ciemat.es; Barcyzk, A. [CERN, CH-1211 Geneva 23 (Switzerland); Berdugo, J.; Casaus, J. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain); Casella, C.; De Laere, S. [Universite de Geneve, 30 quai Ernest-Anserment, CH-1211 Geneva 4 (Switzerland); Deiters, K.; Dick, P. [Paul Scherrer Institut, 5232 Villigen PSI (Switzerland); Kirkby, J.; Malgeri, L. [CERN, CH-1211 Geneva 23 (Switzerland); Mana, C.; Marin, J. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain); Pohl, M. [Universite de Geneve, 30 quai Ernest-Anserment, CH-1211 Geneva 4 (Switzerland); Petitjean, C. [Paul Scherrer Institut, 5232 Villigen PSI (Switzerland); Sanchez, E.; Willmott, C. [CIEMAT, Avenida Complutense 22, 28040 Madrid (Spain)

    2009-10-11

    The Fibre Active Scintillator Target (FAST) experiment is a novel imaging particle detector currently operating in a high-intensity {pi}{sup +} beam at the Paul Scherrer Institute (PSI), Villigen, Switzerland. The detector is designed to perform a high precision measurement of the {mu}{sup +} lifetime, in order to determine the Fermi constant, G{sub f}, to 1 ppm precision. A dedicated second level (LV2) hardware trigger system has been developed for the experiment. It performs an online analysis of the {pi}/{mu} decay chain by identifying the stopping position of each beam particle and detecting the subsequent appearance of the muon. The LV2 trigger then records the muon stop pixel and selectively triggers the Time-to-Digital Converters (TDCs) in the vicinity. A detailed description of the trigger system is presented in this paper.

  5. Fast pattern recognition with the ATLAS L1Track trigger for HL-LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00530554; The ATLAS collaboration

    2017-01-01

    A fast hardware based track trigger is being developed in ATLAS for the High Luminosity upgrade of the Large Hadron Collider. The goal is to achieve trigger levels in the high pile-up conditions of the High Luminosity Large Hadron Collider that are similar or better than those achieved at low pile-up conditions by adding tracking information to the ATLAS hardware trigger. A method for fast pattern recognition using the Hough transform is investigated. In this method, detector hits are mapped onto a 2D parameter space with one parameter related to the transverse momentum and one to the initial track direction. The performance of the Hough transform is studied at different pile-up values. It is also compared, using full event simulation of events with average pile-up of 200, with a method based on matching detector hits to pattern banks of simulated tracks stored in a custom made Associative Memory ASICs. The pattern recognition is followed by a track fitting step which calculates the track parameters. The spee...

  6. The JET diagnostic fast central acquisition and trigger system (abstract)

    Science.gov (United States)

    Edwards, A. W.; Blackler, K.

    1995-01-01

    Most plasma physics diagnostics sample at a fixed frequency that is normally matched to available memory limits. This technique is not appropriate for long pulse machines such as JET where sampling frequencies of hundreds of kHz are required to diagnose very fast events. As a result of work using real-time event selection within the previous JET soft x-ray diagnostic, a single data acquisition and event triggering system for all suitable fast diagnostics, the fast central acquisition and trigger system (Fast CATS), has been developed for JET. The front-end analog-to-digital conversion (ADC) part samples all channels at 250 kHz, with a 100 kHz pass band and a stop band of 125 kHz. The back-end data collection system is based around Texas Instruments TMS320C40 microprocessors. Within this system, two levels of trigger algorithms are able to evaluate data. The first level typically analyzes data on a per diagnostic and individual channel basis. The second level looks at the data from one or more diagnostics in a window around the time of interest flagged by the first level system. Selection criteria defined by the diagnosticians are then imposed on the results from the second level to decide whether that data should be kept. The use of such a system involving intelligent real time trigger algorithms and fast data analysis will improve both the quantity and quality of JET diagnostic data, while providing valuable input to the design of data acquisition systems for very long pulse machines such as ITER. This paper will give an overview of the various elements of this new system. In addition, first results from this system following the restart of JET operation will be presented.

  7. Fast simulation of the trigger system of the ATLAS detector at LHC

    International Nuclear Information System (INIS)

    Epp, B.; Ghete, V.M.; Kuhn, D.; Zhang, Y.J.

    2004-01-01

    The trigger system of the ATLAS detector aims to maximize the physics coverage and to be open to new and possibly unforeseen physics signatures. It is a multi-level system, composed from a hardware trigger at level-1, followed by the high-level-trigger (level-2 and event-filter). In order to understand its performance, to optimize it and to reduce its total cost, the trigger system requires a detailed simulation which is time- and resource-consuming. An alternative to the full detector simulation is a so-called 'fast simulation' which starts the analysis from particle level and replaces the full detector simulation and the detailed particle tracking with parametrized distributions obtained from the full simulation and/or a simplified detector geometry. The fast simulation offers a less precise description of trigger performance, but it is faster and less resource-consuming. (author)

  8. Seizures triggered by food intake in antimuscarinic-treated fasted animals: evaluation of the experimental findings in terms of similarities to eating-triggered epilepsy.

    Science.gov (United States)

    Enginar, Nurhan; Nurten, Asiye

    2010-07-01

    Food intake triggers convulsions in fasted mice and rats treated with antimuscarinic drugs, scopolamine or atropine. Bearing some similarities in triggering factor and manifestations of the seizures in patients with eating-evoked epilepsy, seizures in fasted animals may provide insight into the mechanism(s) of this rare and partially controlled form of reflex epilepsy.

  9. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Neubauer, Mark S

    2011-01-01

    In hadron collider experiments, triggering the detector to store interesting events for offline analysis is a challenge due to the high rates and multiplicities of particles produced. Maintaining high trigger efficiency for the physics we are most interested in while at the same time suppressing high rate physics from inclusive QCD processes is a difficult but important problem. It is essential that the trigger system be flexible and robust, with sufficient redundancy and operating margin. Providing high quality track reconstruction over the full ATLAS detector by the start of processing at LVL2 is an important element to achieve these needs. As the instantaneous luminosity increases, the computational load on the LVL2 system will significantly increase due to the need for more sophisticated algorithms to suppress backgrounds. The Fast Tracker (FTK) is a proposed upgrade to the ATLAS trigger system. It is designed to enable early rejection of background events and thus leave more LVL2 execution time by moving...

  10. Hardware-based Tracking at Trigger Level for ATLAS the Fast TracKer (FTK) Project

    CERN Document Server

    INSPIRE-00245767

    2015-01-01

    Physics collisions at 13 TeV are expected at the LHC with an average of 40-50 proton-proton collisions per bunch crossing under nominal conditions. Tracking at trigger level is an essential tool to control the rate in high-pileup conditions while maintaining a good efficiency for relevant physics processes. The Fast TracKer is an integral part of the trigger upgrade for the ATLAS detector. For every event passing the Level-1 trigger (at a maximum rate of 100 kHz) the FTK receives data from all the channels of the silicon detectors, providing tracking information to the High Level Trigger in order to ensure a selection robust against pile-up. The FTK performs a hardware-based track reconstruction, using associative memory that is based on the use of a custom chip, designed to perform pattern matching at very high speed. It finds track candidates at low resolution (roads) that seed a full-resolution track fitting done by FPGAs. An overview of the FTK system with focus on the pattern matching procedure will be p...

  11. Calibration and Simulation of the GRB trigger detector of the Ultra Fast Flash Observatory

    DEFF Research Database (Denmark)

    Huang, M.-H.A.; Ahmad, S.; Barrillon, P.

    2013-01-01

    The UFFO (Ultra-Fast Flash Observatory) is a GRB detector on board the Lomonosov satellite, to be launched in 2013. The GRB trigger is provided by an X-ray detector, called UBAT (UFFO Burst Alarm & Trigger Telescope), which detects X-rays from the GRB and then triggers to determine the direction ...

  12. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Neubauer, M; The ATLAS collaboration

    2009-01-01

    As the LHC luminosity is ramped up to the design level of 10^{34} cm^{-2} s^{-1} and beyond, the high rates, multiplicities, and energies of particles seen by the detectors will pose a unique challenge. Only a tiny fraction of the produced collisions can be stored on tape and immense real-time data reduction is needed. An effective trigger system must maintain high trigger efficiencies for the physics we are most interested in, and at the same time suppress the enormous QCD backgrounds. This requires massive computing power to minimize the online execution time of complex algorithms. A multi-level trigger is an effective solution for an otherwise impossible problem. The Fast Tracker (FTK) is a proposed upgrade to the ATLAS trigger system that will operate at full Level-1 output rates and provide high quality tracks reconstructed over the entire detector by the start of processing in Level-2. FTK solves the combinatorial challenge inherent to tracking by exploiting the massive parallelism of Associative Memori...

  13. The JET fast central acquisition and trigger system

    International Nuclear Information System (INIS)

    Blackler, K.; Edwards, A.W.

    1994-01-01

    This paper describes a new data acquisition system at JET which uses Texas TMS320C40 parallel digital signal processors and the HELIOS parallel operating system to reduce the large amounts of experimental data produced by fast diagnostics. This unified system features a two level trigger system which performs real-time activity detection together with asynchronous event classification and selection. This provides automated data reduction during an experiment. The system's application to future fusion machines which have almost continuous operation is discussed

  14. FAIR: A new fast trigger and readout bus system

    International Nuclear Information System (INIS)

    Ordine, A.; Boiano, A.; Zaghi, A.

    1998-01-01

    FAIR (FAst Intercrate Readout) is a synchronous ECL bus system dedicated to readout. It is based on a new trigger and readout hardware level protocol and on a new control system that learns how to setup and control modules. The hardware protocol along with the data structure allow both readout and event building at the same time at the rate of 22 ns/longword (1.44 Gbit/s) without the need of CPUs. It performs trigger management and full pipelining by using a multilevel FIFO structure. FAIR provides for a multi-crate front-end environment and uses an embedded serial network to accomplish front-end control and setup. The data transfer measured performances and the control system are presented in some detail

  15. Fast front-end L0 trigger electronics for ALICE FMD-MCP tests and performance

    CERN Document Server

    Efimov, L G; Kasatkan, V; Klempt, W; Kuts, V; Lenti, V; Platanov, V; Rudge, A; Stolyarov, O I; Tsimbal, F A; Valiev, F F; Villalobos Baillie, O; Vinogradov, L I; Zhigunov, O

    1997-01-01

    We present design details and new measurements of the performance of fast electronics for the Forward Multiplicity Detector for ALICE. These detectors based on sector type Microchannel Plates (MCP) forming several disks gave the very first trigger decision in the experiment (L0). Fast passive summators integrated with the detectors are used for linear summation of up to eight isochronous signal channels from MCP pads belonging to one sector. Two types of microelectronics design thin film summators were produced. We present test results for these summators, working in the frequency range up to 1 Ghz. New low noise preamplifiers have been built to work with these summators. The new design shows a good performance with the usable frequency range extended up to 1 Ghz. An upgrade of the functional scheme for the L0 ALICE pre-trigger design is also presented.Abstract:List of figures Figure 1: ALICE L0 Trigger Front-End Electronics Functional Scheme. Figure 2: UHF design for a fast passive summator based on direct...

  16. The CHAOS second level trigger. A fast, programmable ECL trigger for a magnetic spectrometer using multiwire proportional chambers

    International Nuclear Information System (INIS)

    Raywood, K.J.; McFarland, S.J.; Sevior, M.E.

    1994-11-01

    A versatile second level trigger has been developed for the CHAOS facility at TRIUMF using fast ECLine trigger modules augmented by some specially constructed modules. It consists of a primary stage and two optional secondary stages. The primary track finding stage is capable of making a decision based on track vertex, polarity and momentum. The next stage is able to reject events based on the correlation between track momentum scattering angle. The third stage can make a cut on the sum of tile momenta of two tracks. In addition there is an extra parallel stage that is responsible for ensuring that the beam particle has the correct incoming trajectory. All stages are programmable and, depending on experimental conditions and trigger configuration, usual rejection times are between 2 and 4 μs. (author). 6 refs., 13 figs

  17. The CHAOS second level trigger. A fast, programmable ECL trigger for a magnetic spectrometer using multiwire proportional chambers

    Energy Technology Data Exchange (ETDEWEB)

    Raywood, K J; McFarland, S J [British Columbia Univ., Vancouver, BC (Canada). Dept. of Physics; Amaudruz, P A; Smith, G R [TRIUMF, Vancouver, BC (Canada); Sevior, M E [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1994-11-01

    A versatile second level trigger has been developed for the CHAOS facility at TRIUMF using fast ECLine trigger modules augmented by some specially constructed modules. It consists of a primary stage and two optional secondary stages. The primary track finding stage is capable of making a decision based on track vertex, polarity and momentum. The next stage is able to reject events based on the correlation between track momentum scattering angle. The third stage can make a cut on the sum of tile momenta of two tracks. In addition there is an extra parallel stage that is responsible for ensuring that the beam particle has the correct incoming trajectory. All stages are programmable and, depending on experimental conditions and trigger configuration, usual rejection times are between 2 and 4 {mu}s. (author). 6 refs., 13 figs.

  18. Multiple time digitizers and a trigger system for drift chambers

    International Nuclear Information System (INIS)

    Eggert, K.; Engster, C.; Koningsveld, L. van; Por, G.; Verweij, H.

    1980-01-01

    The architecture of a readout system is described which will be used in conjunction with the muon detector in the UA-1 experiment at the anti pp collider at CERN. In addition to measuring drift time for spatial information, the system provides a fast trigger (< 1 μs after drift time) and a second level trigger decision based on a fast microprocessor. The fast trigger part allows the use of the muon detector as an active trigger element, while a second level trigger decision using detailed digitizer data is included for adequate reduction of the trigger rate. The multipole time digitizer (MTD) is based on the use of fast RAMs (256 x 4) as 125 MHz shift registers, giving time bins of 8 ns and a time range of 2 μs (256 x 8 ns). The high input rate has imposed a fast readout and transfer to a buffer in the controller. The data in this buffer is reordered according to wire number and corresponding times. Together with look-up tables this allows fast processing of the data for a second level trigger. (orig.)

  19. GPUs for fast triggering and pattern matching at the CERN experiment NA62

    International Nuclear Information System (INIS)

    Lamanna, Gianluca; Collazuol, Gianmaria; Sozzi, Marco

    2011-01-01

    In rare decays experiments an effective trigger is crucial to reduce both the quantity of data written on tape and the bandwidth requirements for the DAQ (Data Acquisition) system. A multilevel architecture is commonly used to achieve a higher reduction factor, exploiting dedicated custom hardware and flexible software in standard computers. In this paper we discuss the possibility to use commercial video card processors (GPU) to build a fast and effective trigger system, both at hardware and software level. The case of fast pattern matching in the RICH detector of the NA62 experiment at CERN aiming at measuring the Branching Ratio of the ultra rare decay K + →π + νν-bar is considered as use case although the versatility and the customizability of this approach easily allow exporting the concept to different contexts.

  20. Fast Tracker (FTK): A Hardware Track Finder for the ATLAS Trigger

    CERN Document Server

    Mitani, Takashi; The ATLAS collaboration

    2015-01-01

    During the 2010-2012 run of Large Hadron Collider experiment, the ATLAS trigger system was successfully operated and it contributed to several important results such as observation of Higgs boson with a mass of about 125 GeV. From 2015, collision energy will increase to 13-14 TeV and its instantaneous luminosity will reach $1$-$2\\times10^{34}$cm$^{-2}$s$^{-1}$ with a 25 ns bunch crossing period. Due to the energy increase, the cross sections for SM processes are expected to get much larger. Additionally, the number of overlapping proton-proton interactions per bunch crossing, which is refereed to as pile-up, is expected to increase significantly up to about 80. Therefore it will be challenging to control trigger rates while keeping good efficiency for interesting physics events. This document summarizes the development of Fast Tracker and its tracking performance for the ATLAS experiment. The Fast Tracker is a custom electronics system that will operate at the full Level 1 accepted rate of 100 kHz and provide...

  1. Fast detector for triggering on charged particle multiplicity for relativistic nucleus-nucleus collisions

    International Nuclear Information System (INIS)

    Agakishiev, G.; Man'yakov, P.K.; Drees, A.

    1997-01-01

    The simple and fast detector of charged particle multiplicity for relativistic nucleus-nucleus collision studies is performed. The multiplicity detector has been designed for the first level trigger of the CERES/NA45 experiment to study Pb-Au collisions at CERN SPS energies. The detector has allowed a realization of the 40 ns trigger for selection of events with definite impact parameter. The construction, operation characteristics, method of calibration, and testing results are described in detail

  2. A self seeded first level track trigger for ATLAS

    International Nuclear Information System (INIS)

    Schöning, A

    2012-01-01

    For the planned high luminosity upgrade of the Large Hadron Collider, aiming to increase the instantaneous luminosity to 5 × 10 34 cm −2 s −1 , the implementation of a first level track trigger has been proposed. This trigger could be installed in the year ∼ 2021 along with the complete renewal of the ATLAS inner detector. The fast readout of the hit information from the Inner Detector is considered as the main challenge of such a track trigger. Different concepts for the implementation of a first level trigger are currently studied within the ATLAS collaboration. The so called 'Self Seeded' track trigger concept exploits fast frontend filtering algorithms based on cluster size reconstruction and fast vector tracking to select hits associated to high momentum tracks. Simulation studies have been performed and results on efficiencies, purities and trigger rates are presented for different layouts.

  3. The TOTEM modular trigger system

    Energy Technology Data Exchange (ETDEWEB)

    Bagliesi, M.G., E-mail: mg.bagliesi@pi.infn.i [University of Siena and INFN Pisa (Italy); Berretti, M.; Cecchi, R.; Greco, V.; Lami, S.; Latino, G.; Oliveri, E.; Pedreschi, E.; Scribano, A.; Spinella, F.; Turini, N. [University of Siena and INFN Pisa (Italy)

    2010-05-21

    The TOTEM experiment will measure the total cross-section with the luminosity independent method and study elastic and diffractive scattering at the LHC. We are developing a modular trigger system, based on programmable logic, that will select meaningful events within 2.5{mu}s. The trigger algorithm is based on a tree structure in order to obtain information compression. The trigger primitive is generated directly on the readout chip, VFAT, that has a specific fast output that gives low resolution hits information. In two of the TOTEM detectors, Roman Pots and T2, a coincidence chip will perform track recognition directly on the detector readout boards, while for T1 the hits are transferred from the VFATs to the trigger hardware. Starting from more than 2000 bits delivered by the detector electronics, we extract, in a first step, six trigger patterns of 32 LVDS signals each; we build, then, on a dedicated board, a 1-bit (L1) trigger signal for the TOTEM experiment and 16 trigger bits to the CMS experiment global trigger system for future common data taking.

  4. The TOTEM modular trigger system

    International Nuclear Information System (INIS)

    Bagliesi, M.G.; Berretti, M.; Cecchi, R.; Greco, V.; Lami, S.; Latino, G.; Oliveri, E.; Pedreschi, E.; Scribano, A.; Spinella, F.; Turini, N.

    2010-01-01

    The TOTEM experiment will measure the total cross-section with the luminosity independent method and study elastic and diffractive scattering at the LHC. We are developing a modular trigger system, based on programmable logic, that will select meaningful events within 2.5μs. The trigger algorithm is based on a tree structure in order to obtain information compression. The trigger primitive is generated directly on the readout chip, VFAT, that has a specific fast output that gives low resolution hits information. In two of the TOTEM detectors, Roman Pots and T2, a coincidence chip will perform track recognition directly on the detector readout boards, while for T1 the hits are transferred from the VFATs to the trigger hardware. Starting from more than 2000 bits delivered by the detector electronics, we extract, in a first step, six trigger patterns of 32 LVDS signals each; we build, then, on a dedicated board, a 1-bit (L1) trigger signal for the TOTEM experiment and 16 trigger bits to the CMS experiment global trigger system for future common data taking.

  5. ATLAS FTK: The Fast Tracker

    CERN Document Server

    T, Iizawa; The ATLAS collaboration

    2014-01-01

    The Fast TracKer (FTK) will perform global track reconstruction after each Level-1 trigger accept to enable the software-based High Level Trigger to have early access to tracking information. FTK is a dedicated processor based on a mixture of advanced technologies. Modern, powerful Field Programmable Gate Arrays (FPGAs) form an important part of the system architecture, and the large level of computing power required for pattern recognition is provided by incorporating standard-cell ASICs named Associative Memory (AM). FTK provides global track reconstruction in the full inner silicon detector in approximately 100 microseconds with resolution comparable to the offline algorithms. It allows a fast and precise detection of the primary and secondary vertex information. The track and vertex information is then used by the High Level Trigger algorithms, allowing highly improved trigger performance for the important signatures such as b-jets. In this paper, the architecture and the hardware development status of FT...

  6. Fast track trigger processor for the OPAL detector at LEP

    Energy Technology Data Exchange (ETDEWEB)

    Carter, A A; Carter, J R; Ward, D R; Heuer, R D; Jaroslawski, S; Wagner, A

    1986-09-20

    A fast hardware track trigger processor being built for the OPAL experiment is described. The processor will analyse data from the central drift chambers of OPAL to determine whether any tracks come from the interaction region, and thereby eliminate background events. The processor will find tracks over a large angular range, vertical strokecos thetavertical stroke < or approx. 0.95. The design of the processor is described, together with a brief account of its hardware implementation for OPAL. The results of feasibility studies are also presented.

  7. A remotely triggered fast neutron detection instrument based on a plastic organic scintillator

    Science.gov (United States)

    Jones, A. R.; Aspinall, M. D.; Joyce, M. J.

    2018-02-01

    A detector system for the characterization of radiation fields of both fast neutrons and γ rays is described comprising of a gated photomultiplier tube (PMT), an EJ299-33 solid organic scintillator detector, and an external trigger circuit. The objective of this development was to conceive a means by which the PMT in such a system can be actuated remotely during the high-intensity bursts of pulsed γ-ray contamination that can arise during active interrogation procedures. The system is used to detect neutrons and γ rays using established pulse-shape discrimination (PSD) techniques. The gating circuit enables the PMT to be switched off remotely. This is compatible with use during intense radiation transients to avoid saturation and the disruption of the operation of the PMT during the burst. Data are presented in the form of pulse-height spectra and PSD scatter plots for the system triggered with a strobed light source. These confirm that the gain of the system and the throughput for both triggered and un-triggered scenarios are as expected, given the duty cycle of the stimulating radiation. This demonstrates that the triggering function does not perturb the system response of the detector.

  8. Fast Tracker: A Hardware Real Time Track Finder for the ATLAS Trigger System

    CERN Document Server

    Kimura, N; The ATLAS collaboration

    2014-01-01

    The Fast Tracker (FTK) is an integral part of the trigger upgrade program for the ATLAS detector at the Large Hadron Collider (LHC). As the LHC luminosity approaches its design level of 10^34cm^−2s^−1, the combinatorial problem posed by charged particle tracking becomes increasingly difficult due to the swelling of multiple interactions per bunch crossing (pile-up). The FTK is a highly-parallel hardware system intended to provide high-quality tracks with transverse momentum above 1 GeV/c in real time for online trigger system. The FTK system’s design, based on a mixture of advanced technologies, and expected physics performance will be presented.

  9. Trigger processing using reconfigurable logic in the CMS calorimeter trigger

    Energy Technology Data Exchange (ETDEWEB)

    Brooke, J J; Cussans, D G; Heath, G P; Maddox, A J; Newbold, D M; Rabbetts, P D

    2001-04-01

    We present the design of the Global Calorimeter Trigger processor for the CMS detector at LHC. This is a fully pipelined processor system which collects data from all the CMS calorimeters and produces summary information used in forming the Level-1 trigger decision for each event. The design in based on the use of state-of-the-art reconfigurable logic devices (FPGAs) and fast data links. We present the results of device testing using a low-latency pipelined sort algorithm, which demonstrate that an FPGA can be used to perform processing previously foreseen to require custom ASICs. Our design approach results in a powerful, flexible and compact processor system.

  10. A fast track trigger processor for the OPAL detector at LEP

    International Nuclear Information System (INIS)

    Carter, A.A.; Jaroslawski, S.; Wagner, A.

    1986-01-01

    A fast hardware track trigger processor being built for the OPAL experiment is described. The processor will analyse data from the central drift chambers of OPAL to determine whether any tracks come from the interaction region, and thereby eliminate background events. The processor will find tracks over a large angular range, vertical strokecos thetavertical stroke < or approx. 0.95. The design of the processor is described, together with a brief account of its hardware implementation for OPAL. The results of feasibility studies are also presented. (orig.)

  11. Improving the ATLAS physics potential with the Fast Track Trigger System

    CERN Document Server

    Cavaliere, Viviana; The ATLAS collaboration

    2015-01-01

    The ATLAS Fast TracKer (FTK) is a custom electronics system that will operate at the full Level-1 accept rate, 100 kHz, to provide high quality tracks as input to the High-Level Trigger. The event reconstruction is performed in hardware, thanks to the massive parallelism of associative memories (AM) and FPGAs. We present the advantages for the physics goals of the ATLAS experiment and the recent results on the design, technological advancements and testing of some of the core components used in the processor.

  12. Implementation of BES-III TOF trigger system in programmable logic devices

    International Nuclear Information System (INIS)

    Zheng Wei; Liu Shubin; Liu Xuzong; An Qi

    2009-01-01

    The TOF trigger sub-system on the upgrading Beijing Spectrometer is designed to receive 368 bits fast hit signals from the front end electronics module to yield 7 bits trigger information according to the physical requirement. It sends the processed real time trigger information to the Global-Trigger-Logic to generate the primal trigger signal L1, and sends processed 136 bits real time position information to the Track-Match-Logic to calculate the particle flight tracks. The sub-system also packages the valid events for the DAQ system to read out. Following the reconfigurable concept, a large number of programmable logic devices are employed to increase the flexibility and reliability of the system, and decrease the complexity and the space requirement of PCB layout. This paper describes the implementation of the kernel trigger logic in a programmable logic device. (authors)

  13. The charged particle trigger of the CELLO-detector

    International Nuclear Information System (INIS)

    Schroeder, V.

    1981-01-01

    The fast charged particle trigger of the CELLO-detector at the PETRA e + e - storage ring (DESY) is a fast software programmable hardware processor. It is using multiwire chamber signals as inputs and takes a decision on charged tracks coming from the interaction region in less than 1 μsec. The input signals are addressing Random Access Memory devices in which the mask schemes of all meaningful physical tracks are stored. The RAM output signals give information about the numbers and shapes of the valid masks found. This information is used for fast event acquisition and online data analysis done by a PDP 11 computer. (orig.)

  14. Efficient, reliable and fast high-level triggering using a bonsai boosted decision tree

    International Nuclear Information System (INIS)

    Gligorov, V V; Williams, M

    2013-01-01

    High-level triggering is a vital component of many modern particle physics experiments. This paper describes a modification to the standard boosted decision tree (BDT) classifier, the so-called bonsai BDT, that has the following important properties: it is more efficient than traditional cut-based approaches; it is robust against detector instabilities, and it is very fast. Thus, it is fit-for-purpose for the online running conditions faced by any large-scale data acquisition system.

  15. Production of configuration tables for the Input Mezzanine and Data Formatter components in the ATLAS Fast Tracker Trigger System

    CERN Document Server

    Poudroux, Jean-Michael

    2014-01-01

    The project revolve around developing configuration tables for two components in the Fast Tracker (FTK) trigger system used in the ATLAS trigger system. These components are Input Mezzanine cards and the Data Formatter. The tables give easy access to different ID's which identify which module the data is originating from and also which tower and what detector-region the data is being processed in.

  16. A novel approach to Hough Transform for implementation in fast triggers

    Energy Technology Data Exchange (ETDEWEB)

    Pozzobon, Nicola, E-mail: nicola.pozzobon@pd.infn.it [Istituto Nazionale di Fisica Nucleare, Sezione di Padova, via F. Marzolo 8, 35131 Padova (Italy); Dipartimento di Fisica ed Astronomia “G. Galilei”, Università degli Studi di Padova, via F. Marzolo 8, 35131 Padova (Italy); Montecassiano, Fabio [Istituto Nazionale di Fisica Nucleare, Sezione di Padova, via F. Marzolo 8, 35131 Padova (Italy); Zotto, Pierluigi [Istituto Nazionale di Fisica Nucleare, Sezione di Padova, via F. Marzolo 8, 35131 Padova (Italy); Dipartimento di Fisica ed Astronomia “G. Galilei”, Università degli Studi di Padova, via F. Marzolo 8, 35131 Padova (Italy)

    2016-10-21

    Telescopes of position sensitive detectors are common layouts in charged particles tracking, and programmable logic devices, such as FPGAs, represent a viable choice for the real-time reconstruction of track segments in such detector arrays. A compact implementation of the Hough Transform for fast triggers in High Energy Physics, exploiting a parameter reduction method, is proposed, targeting the reduction of the needed storage or computing resources in current, or next future, state-of-the-art FPGA devices, while retaining high resolution over a wide range of track parameters. The proposed approach is compared to a Standard Hough Transform with particular emphasis on their application to muon detectors. In both cases, an original readout implementation is modeled.

  17. A novel approach to Hough Transform for implementation in fast triggers

    International Nuclear Information System (INIS)

    Pozzobon, Nicola; Montecassiano, Fabio; Zotto, Pierluigi

    2016-01-01

    Telescopes of position sensitive detectors are common layouts in charged particles tracking, and programmable logic devices, such as FPGAs, represent a viable choice for the real-time reconstruction of track segments in such detector arrays. A compact implementation of the Hough Transform for fast triggers in High Energy Physics, exploiting a parameter reduction method, is proposed, targeting the reduction of the needed storage or computing resources in current, or next future, state-of-the-art FPGA devices, while retaining high resolution over a wide range of track parameters. The proposed approach is compared to a Standard Hough Transform with particular emphasis on their application to muon detectors. In both cases, an original readout implementation is modeled.

  18. Fast facts: The availability and accessibility of nutrition information in fast food chains.

    Science.gov (United States)

    Wellard, Lyndal; Glasson, Colleen; Chapman, Kathy; Miller, Caroline

    2011-12-01

    Nutrition information at the point-of-sale assists consumers to make informed fast food choices. This study provides a baseline measure of the availability and accessibility of nutrition information in fast food outlets in Australia, filling a gap in the literature. An in-store observational survey was conducted in 222 outlets of five fast food chains in five states. The Australian websites for each chain were surveyed for nutrition information. At least some nutrition information was available in 66% of outlets. The availability of information was higher in lower socioeconomic areas. Significantly less information was available in signatory chains of the self-regulatory marketing code. Information provided was generally incomplete; only one outlet (0.5%) provided information for all food and beverage items. In some instances information was old. Information was more available for 'healthier' products and less available for meal combinations. Information was provided on all chains' websites, however it was sometimes difficult to locate. While most outlets surveyed made some nutrition information available to consumers, it was generally incomplete. Fast food chains should provide comprehensive, up-to-date information for all menu items. Chains should also ensure their staff members are adequately trained in providing nutrition information.

  19. CONCEPTUAL DESIGN REPORT FOR A FAST MUON TRIGGER

    Energy Technology Data Exchange (ETDEWEB)

    OBRIEN,E.; BASYE, A.; ISENHOWER, D.; JUMPER, D.; SPARKS, N.; TOWELL, R.; WATTS, C.; WOOD, J.; WRIGHT, R.; HAGGERTY, J.; LYNCH, D.; BARISH, K.; EYSER, K.O.; SETO, R.; HU, S.; LI, X.; ZHOU, S.; GLENN, A.; KINNEY, E.; KIRILUK, K.; NAGLE, J.; CHI, C.Y.; SIPPACH, W.; ZAJC. W.; BUTLER, C.; HE, X.; OAKLEY, C.; YING, J.; BLACKBURN, J.; CHIU, M.; PERDEKAMP, M.G.; KIM, Y.J.; KOSTER, J.; LAYTON, D.; MAKINS, N.; MEREDITH, B.; NORTHACKER, D.; PENG, J.-C.; SEIDL, R.; THORSLAND, E.; WADHAMS, S.; WILLIAMSON, S.; YANG, R.; HILL, J.; KEMPEL, T.; LAJOIE, J.; SLEEGE, G.; VALE, C.; WEI, F.; SAITO, N.; HONG, B.; KIM, B.; LEE, K.; LEE, K.S.; PARK, S.; SIM, K.-S.; AOKI, K.; DAIRAKU, S.; IMAI, K.; KARATSU, K.; MURAKAMI, T.; SATO, A.; SENZAKA, K.; SHOJI, K.; TANIDA, K.; BROOKS, M.; LEITCH, M.; ADAMS, J.; CARINGI, A.; FADEM, B.; IDE, J.; LICHTENWALNER, P.; FIELDS, D.; MAO, Y.; HAN, R.; BUNCE, G.; XIE, W.; FUKAO, Y.; TAKETANI, A.; KURITA, K.; MURATA, J.; (PHENIX COLLABORATION)

    2007-08-01

    This document is a Conceptual Design Report for a fast muon trigger for the PHENIX experiment that will enable the study of flavor separated quark and anti-quark spin polarizations in the proton. A powerful way of measuring these polarizations is via single spin asymmetries for W boson production in polarized proton-proton reactions. The measurement is done by tagging W{sup +} and W{sup -} via their decay into high transverse momentum leptons in the forward directions. The PHENIX experiment is capable of measuring high momentum muons at forward rapidity, but the current online trigger does not have sufficient rejection to sample the rare leptons fromW decay at the highest luminosities at the Relativistic Heavy Ion Collider (RHIC). This Report details the goals, design, R&D, and schedule for building new detectors and trigger electronics to use the full RHIC luminosity to make this critical measurement. The idea for W boson measurements in polarized proton-proton collisions at RHIC was first suggested by Jacques Soffer and Claude Bourrely in 1995. This prompted the RIKEN institute in Japan to supply funds to build a second muon arm for PHENIX (south muon arm). The existence of both a north and south muon arm makes it possible to utilize a Z{sup 0} sample to study and control systematic uncertainties which arise in the reconstruction of high momentum muons. This document has its origins in recommendations made by a NSAC Subcommittee that reviewed the U.S. Heavy Ion Physics Program in June 2004. Part of their Recommendation 1 was to 'Invest in near-term detector upgrades of the two large experiments, PHENIX and STAR'. In Recommendation 2 the subcommittee stated '- detector improvements proceed at a rate that allows a timely determination of the flavor dependence of the quark-antiquark sea polarization through W-asymmetry measurements' as we are proposing here. On September 13, 2004 DOE requested from BNL a report articulating a research plan for

  20. TRIGGER

    CERN Multimedia

    W. Smith

    Level-1 Trigger Hardware and Software The trigger system has been constantly in use in cosmic and commissioning data taking periods. During CRAFT running it delivered 300 million muon and calorimeter triggers to CMS. It has performed stably and reliably. During the abort gaps it has also provided laser and other calibration triggers. Timing issues, namely synchronization and latency issues, have been solved. About half of the Trigger Concentrator Cards for the ECAL Endcap (TCC-EE) are installed, and the firmware is being worked on. The production of the other half has started. The HCAL Trigger and Readout (HTR) card firmware has been updated, and new features such as fast parallel zero-suppression have been included. Repairs of drift tube (DT) trigger mini-crates, optical links and receivers of sector collectors are under way and have been completed on YB0. New firmware for the optical receivers of the theta links to the drift tube track finder is being installed. In parallel, tests with new eta track finde...

  1. KATANA – A charge-sensitive triggering system for the SπRIT experiment

    Energy Technology Data Exchange (ETDEWEB)

    Lasko, P. [Institute of Nuclear Physics, Polish Academy of Sciences,Kraków (Poland); Faculty of Physics, Astronomy and Applied Computer Science, Jagiellonian University,Kraków (Poland); Adamczyk, M.; Brzychczyk, J. [Faculty of Physics, Astronomy and Applied Computer Science, Jagiellonian University,Kraków (Poland); Hirnyk, P.; Łukasik, J. [Institute of Nuclear Physics, Polish Academy of Sciences,Kraków (Poland); Pawłowski, P., E-mail: piotr.pawlowski@ifj.edu.pl [Institute of Nuclear Physics, Polish Academy of Sciences,Kraków (Poland); Pelczar, K. [Faculty of Physics, Astronomy and Applied Computer Science, Jagiellonian University,Kraków (Poland); Snoch, A. [University of Wroclaw, Wrocław (Poland); Sochocka, A.; Sosin, Z. [Faculty of Physics, Astronomy and Applied Computer Science, Jagiellonian University,Kraków (Poland); Barney, J. [Department of Physics and Astronomy, Michigan State University, East Lansing (United States); National Superconducting Cyclotron Laboratory, Michigan State University, East Lansing (United States); Cerizza, G. [National Superconducting Cyclotron Laboratory, Michigan State University, East Lansing (United States); Estee, J. [Department of Physics and Astronomy, Michigan State University, East Lansing (United States); National Superconducting Cyclotron Laboratory, Michigan State University, East Lansing (United States); Isobe, T. [RIKEN Nishina Center, Wako, Saitama (Japan); Jhang, G. [Department of Physics, Korea University, Seoul (Korea, Republic of); Kaneko, M. [Department of Physics, Kyoto University, Kita-shirakawa, Kyoto (Japan); Kurata-Nishimura, M. [RIKEN Nishina Center, Wako, Saitama (Japan); and others

    2017-06-01

    KATANA - the Krakow Array for Triggering with Amplitude discrimiNAtion - has been built and used as a trigger and veto detector for the SπRIT TPC at RIKEN. Its construction allows operating in magnetic field and providing fast response for ionizing particles, giving an approximate forward multiplicity and charge information. Depending on this information, trigger and veto signals are generated. The article presents performance of the detector and details of its construction. A simple phenomenological parametrization of the number of emitted scintillation photons in plastic scintillator is proposed. The effect of the light output deterioration in the plastic scintillator due to the in-beam irradiation is discussed.

  2. The ATLAS Fast Tracker Processing Units - input and output data preparation

    CERN Document Server

    Bolz, Arthur Eugen; The ATLAS collaboration

    2016-01-01

    The ATLAS Fast Tracker is a hardware processor built to reconstruct tracks at a rate of up to 100 kHz and provide them to the high level trigger system. The Fast Tracker will allow the trigger to utilize tracking information from the entire detector at an earlier event selection stage than ever before, allowing for more efficient event rejection. The connection of the system from to the detector read-outs and to the high level trigger computing farms are made through custom boards implementing Advanced Telecommunications Computing Technologies standard. The input is processed by the Input Mezzanines and Data Formatter boards, designed to receive and sort the data coming from the Pixel and Semi-conductor Tracker. The Fast Tracker to Level-2 Interface Card connects the system to the computing farm. The Input Mezzanines are 128 boards, performing clustering, placed on the 32 Data Formatter mother boards that sort the information into 64 logical regions required by the downstream processing units. This necessitat...

  3. The ATLAS Muon and Tau Trigger

    CERN Document Server

    Dell'Asta, L; The ATLAS collaboration

    2013-01-01

    [Muon] The ATLAS experiment at CERN's Large Hadron Collider (LHC) deploys a three-levels processing scheme for the trigger system. The level-1 muon trigger system gets its input from fast muon trigger detectors. Fast sector logic boards select muon candidates, which are passed via an interface board to the central trigger processor and then to the High Level Trigger (HLT). The muon HLT is purely software based and encompasses a level-2 (L2) trigger followed by an event filter (EF) for a staged trigger approach. It has access to the data of the precision muon detectors and other detector elements to refine the muon hypothesis. Trigger-specific algorithms were developed and are used for the L2 to increase processing speed for instance by making use of look-up tables and simpler algorithms, while the EF muon triggers mostly benefit from offline reconstruction software to obtain most precise determination of the track parameters. There are two algorithms with different approaches, namely inside-out and outside-in...

  4. A trigger card for event rejection in the RMC experiment at TRIUMF

    International Nuclear Information System (INIS)

    Bennett, P.; Chan, R.; Daviel, S.; Ko, S.; Blecher, M.; Hasinoff, M.; Sample, D.; Wright, D.; Poutissou, R.

    1990-01-01

    A trigger card has been designed and constructed to improve the trigger efficiency of a large solid angle pair spectrometer to be used for the measurement of radiative muon capture at TRIUMF. A number of these trigger cards are connected to FASTBUS pipeline TDCs via the FASTBUS auxiliary connector, to provide coarse information on the tracks of charged particles in a drift chamber. The trigger cards produce a majority OR of groups of six signals from the chamber, allowing very fast on-line event rejection. The performance of the cards and other relevant technical issues will be discussed in this article

  5. Overview of the ATLAS Fast Tracker Project

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00025195; The ATLAS collaboration

    2016-01-01

    The next LHC runs, with a significant increase in instantaneous luminosity, will provide a big challenge for the trigger and data acquisition systems of all the experiments. An intensive use of the tracking information at the trigger level will be important to keep high efficiency for interesting events despite the increase in multiple collisions per bunch crossing. In order to increase the use of tracks within the High Level Trigger, the ATLAS experiment planned the installation of a hardware processor dedicated to tracking: the Fast TracKer processor. The Fast Tracker is designed to perform full scan track reconstruction of every event accepted by the ATLAS first level hardware trigger. To achieve this goal the system uses a parallel architecture, with algorithms designed to exploit the computing power of custom Associative Memory chips, and modern field programmable gate arrays. The processor will provide computing power to reconstruct tracks with transverse momentum greater than 1 GeV in the whole trackin...

  6. A fast filter processor as a part of the trigger logic in an elastic scattering experiment

    International Nuclear Information System (INIS)

    Kenyon Gjerpe, I.

    1981-01-01

    A fast special purpose processor as a part of the trigger logic in an elastic scattering experiment is described. The decision to incorporate such a processor was taken because the trigger rate was estimated to be an order of magnitude higher than the date taking capability of the on-line minicomputer, a NORD 10. The processor is capable of checking the coplanarity and the opening angle of the two outgoing tracks within about 100 μs. This is done with a spatial resolution of 1 mm by using two points each track given by 3 MWPCs. For comparison this is two orders of magnitude faster than the same algorithm coded in assembly language on a PDP 11/40. The main contribution to this increased speed is due to extensive use of pipelining and parallelism. When running with the processor in the trigger, 75% more elastic events per incoming beam particle were collected, and 3 times as many elastic events per trigger were recorded on to tape for further in-depth analysis, than previously. Due to major improvements in the primary trigger logic this was less than the gain initially anticipated. A first version of the processor was designed and constructed in the CERN DD division by J. Joosten, M. Letheren and B. Martin under the supervision of C. Verkerk. The author was involved in the final design, construction and testing, and subsequently was responsible for the intergration, programming and running of the processor in the experiment. (orig.)

  7. The ATLAS FTK system: how to improve the physics potential with a tracking trigger

    CERN Document Server

    Iizawa, T; The ATLAS collaboration

    2014-01-01

    After a very successful data taking run, the ATLAS experiment is being upgraded to cope with the higher luminosity and higher center of mass energy that the Large Hadron Collider will provide in the next years. The Fast Tracker (FTK) trigger system, part of the ATLAS trigger upgrade program, is a highly parallel hardware device designed to operate at the level-1 trigger output rate. FTK is a dedicated processor based on a mixture of advanced technologies. Modern, powerful Field Programmable Gate Arrays (FPGAs) form an important part of the system architecture, and the large level of computing power required for pattern recognition is provided by incorporating standard-cell ASICs named Associative Memories (AM). FTK provides global track reconstruction in the full inner silicon detector, with resolution comparable to the offline algorithms, in approximately 100 microseconds, allowing a fast and precise detection of the primary and secondary vertex information. The track and vertex information is then used by t...

  8. The ATLAS FTK system: how to improve the physics potential with a tracking trigger

    CERN Document Server

    Iizawa, T; The ATLAS collaboration

    2016-01-01

    After a very successful data taking run, the ATLAS experiment [1] is being upgraded to cope with the higher luminosity and higher center of mass energy that the Large Hadron Collider (LHC) will provide in the next years. The Fast Tracker (FTK) trigger system, part of the ATLAS trigger upgrade program, is a highly parallel hardware device processor based on a mixture of advanced technologies. Modern, powerful Field Programmable Gate Arrays (FPGAs) form an important part of the system architecture, and the large level of computing power required for pattern recognition is provided by incorporating standard-cell ASICs named Associative Memory (AM). FTK provides global track reconstruction in the full inner silicon detector, with resolution comparable to the offline algorithms, in approximately 100 microseconds, allowing a fast and precise detection of the primary and secondary vertex information. The track and vertex information is then used by the high-level trigger (HLT) algorithms, allowing highly improved tr...

  9. Scintillation trigger system of the liquid argon neutrino detector

    International Nuclear Information System (INIS)

    Belikov, S.V.; Gurzhiev, S.N.; Gutnikov, Yu.E.; Denisov, A.G.; Kochetkov, V.I.; Matveev, M.Yu.; Mel'nikov, E.A.; Usachev, A.P.

    1994-01-01

    This paper presents the organization of the Scintillation Trigger System (STS) for the Liquid Argon Neutrino Detector of the Tagged Neutrino Facility. STS is aimed at the effective registration of the needed neutrino interaction type and production of a fast trigger signal with high time resolution. The fast analysis system of analog signal from the trigger scintillation planes for rejection of the trigger signals from background processes is described. Real scintillation trigger planes characteristics obtained on the basis of the presented data acquisition system are shown. 10 refs., 12 figs., 3 tabs

  10. Trigger Algorithms for Alignment and Calibration at the CMS Experiment

    CERN Document Server

    Fernandez Perez Tomei, Thiago Rafael

    2017-01-01

    The data needs of the Alignment and Calibration group at the CMS experiment are reasonably different from those of the physics studies groups. Data are taken at CMS through the online event selection system, which is implemented in two steps. The Level-1 Trigger is implemented on custom-made electronics and dedicated to analyse the detector information at a coarse-grained scale, while the High Level Trigger (HLT) is implemented as a series of software algorithms, running in a computing farm, that have access to the full detector information. In this paper we describe the set of trigger algorithms that is deployed to address the needs of the Alignment and Calibration group, how it fits in the general infrastructure of the HLT, and how it feeds the Prompt Calibration Loop (PCL), allowing for a fast turnaround for the alignment and calibration constants.

  11. Performance and upgrade of the CMS electromagnetic calorimeter trigger for Run II

    CERN Document Server

    Sauvan, Jean-Baptiste

    2015-01-01

    The CMS experiment implements a sophisticated two-level online trigger selection system that achieves a rejection factor of nearly $10^5$. The level one (L1) trigger is based on coarse information coming from the calorimeters and the muon detectors while the high-level trigger combines fine-grain information from all sub-detectors. In the near future the LHC will increase its centre of mass energy to 13 TeV and progressively reach an instantaneous luminosity of $2\\times 10^{34}\\,\\textrm{cm}^{-2}\\textrm{s}^{-1}$. In order to guarantee a successful and ambitious physics program under this challenging environment, the CMS Trigger and Data acquisition system must be consolidated. In particular the L1 calorimeter Trigger hardware and architecture will be changed. The aim is to maintain the current thresholds and improve the performance. This programme will be achieved by using $\\mu$TCA (Advanced Mezzanine Card) architecture with fast optical links and latest generation FPGAs. Sophisticated object reconstruction al...

  12. The ATLAS fast tracker processor design

    CERN Document Server

    Volpi, Guido; Albicocco, Pietro; Alison, John; Ancu, Lucian Stefan; Anderson, James; Andari, Nansi; Andreani, Alessandro; Andreazza, Attilio; Annovi, Alberto; Antonelli, Mario; Asbah, Needa; Atkinson, Markus; Baines, J; Barberio, Elisabetta; Beccherle, Roberto; Beretta, Matteo; Biesuz, Nicolo Vladi; Blair, R E; Bogdan, Mircea; Boveia, Antonio; Britzger, Daniel; Bryant, Partick; Burghgrave, Blake; Calderini, Giovanni; Camplani, Alessandra; Cavaliere, Viviana; Cavasinni, Vincenzo; Chakraborty, Dhiman; Chang, Philip; Cheng, Yangyang; Citraro, Saverio; Citterio, Mauro; Crescioli, Francesco; Dawe, Noel; Dell'Orso, Mauro; Donati, Simone; Dondero, Paolo; Drake, G; Gadomski, Szymon; Gatta, Mauro; Gentsos, Christos; Giannetti, Paola; Gkaitatzis, Stamatios; Gramling, Johanna; Howarth, James William; Iizawa, Tomoya; Ilic, Nikolina; Jiang, Zihao; Kaji, Toshiaki; Kasten, Michael; Kawaguchi, Yoshimasa; Kim, Young Kee; Kimura, Naoki; Klimkovich, Tatsiana; Kolb, Mathis; Kordas, K; Krizka, Karol; Kubota, T; Lanza, Agostino; Li, Ho Ling; Liberali, Valentino; Lisovyi, Mykhailo; Liu, Lulu; Love, Jeremy; Luciano, Pierluigi; Luongo, Carmela; Magalotti, Daniel; Maznas, Ioannis; Meroni, Chiara; Mitani, Takashi; Nasimi, Hikmat; Negri, Andrea; Neroutsos, Panos; Neubauer, Mark; Nikolaidis, Spiridon; Okumura, Y; Pandini, Carlo; Petridou, Chariclia; Piendibene, Marco; Proudfoot, James; Rados, Petar Kevin; Roda, Chiara; Rossi, Enrico; Sakurai, Yuki; Sampsonidis, Dimitrios; Saxon, James; Schmitt, Stefan; Schoening, Andre; Shochet, Mel; Shoijaii, Jafar; Soltveit, Hans Kristian; Sotiropoulou, Calliope-Louisa; Stabile, Alberto; Swiatlowski, Maximilian J; Tang, Fukun; Taylor, Pierre Thor Elliot; Testa, Marianna; Tompkins, Lauren; Vercesi, V; Wang, Rui; Watari, Ryutaro; Zhang, Jianhong; Zeng, Jian Cong; Zou, Rui; Bertolucci, Federico

    2015-01-01

    The extended use of tracking information at the trigger level in the LHC is crucial for the trigger and data acquisition (TDAQ) system to fulfill its task. Precise and fast tracking is important to identify specific decay products of the Higgs boson or new phenomena, as well as to distinguish the contributions coming from the many collisions that occur at every bunch crossing. However, track reconstruction is among the most demanding tasks performed by the TDAQ computing farm; in fact, complete reconstruction at full Level-1 trigger accept rate (100 kHz) is not possible. In order to overcome this limitation, the ATLAS experiment is planning the installation of a dedicated processor, the Fast Tracker (FTK), which is aimed at achieving this goal. The FTK is a pipeline of high performance electronics, based on custom and commercial devices, which is expected to reconstruct, with high resolution, the trajectories of charged-particle tracks with a transverse momentum above 1 GeV, using the ATLAS inner tracker info...

  13. The ATLAS Fast Tracker

    CERN Document Server

    Volpi, Guido; The ATLAS collaboration

    2015-01-01

    The use of tracking information at the trigger level in the LHC Run II period is crucial for the trigger an data acquisition (TDAQ) system. The tracking precision is in fact important to identify specific decay products of the Higgs boson or new phenomena, a well as to distinguish the contributions coming from many contemporary collisions that occur at every bunch crossing. However, the track reconstruction is among the most demanding tasks performed by the TDAQ computing farm; in fact, full reconstruction at full Level-1 trigger accept rate (100 KHz) is not possible. In order to overcome this limitation, the ATLAS experiment is planning the installation of a specific processor: the Fast Tracker (FTK), which is aimed at achieving this goal. The FTK is a pipeline of high performance electronic, based on custom and commercial devices, which is expected to reconstruct, with high resolution, the trajectories of charged tracks with a transverse momentum above 1 GeV, using the ATLAS inner tracker information. Patte...

  14. The D0 run II trigger system

    International Nuclear Information System (INIS)

    Schwienhorst, Reinhard; Michigan State U.

    2004-01-01

    The D0 detector at the Fermilab Tevatron was upgraded for Run II. This upgrade included improvements to the trigger system in order to be able to handle the increased Tevatron luminosity and higher bunch crossing rates compared to Run I. The D0 Run II trigger is a highly exible system to select events to be written to tape from an initial interaction rate of about 2.5 MHz. This is done in a three-tier pipelined, buffered system. The first tier (level 1) processes fast detector pick-off signals in a hardware/firmware based system to reduce the event rate to about 1. 5kHz. The second tier (level 2) uses information from level 1 and forms simple Physics objects to reduce the rate to about 850 Hz. The third tier (level 3) uses full detector readout and event reconstruction on a filter farm to reduce the rate to 20-30 Hz. The D0 trigger menu contains a wide variety of triggers. While the emphasis is on triggering on generic lepton and jet final states, there are also trigger terms for specific final state signatures. In this document we describe the D0 trigger system as it was implemented and is currently operating in Run II

  15. FPGA-based fast pipeline-parameterized-sorter implementation for first level trigger systems in HEP experiments

    CERN Document Server

    Pozniak, Krzysztof T

    2004-01-01

    The paper describes a behavioral model of fast, pipeline sorter dedicated to electronic triggering applications in the experiments of high energy physics (HEP). The sorter was implemented in FPGA for the RPC Muon Detector of CMS experiment (LHC accelerator, CERN) and for Backing Calorimeter (BAC) in ZEUS experiment (HERA accelerator, DESY) . A general principle of the applied sorting algorithm was presented. The implementation results were debated in detail for chosen FPGA chips by ALTERA and XILINX manufactures. The realization costs have been calculated as function of system parameters.

  16. The design and simulated performance of a fast Level 1 track trigger for the ATLAS High Luminosity Upgrade

    CERN Document Server

    Martensson, Mikael; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment at the High Luminosity LHC will face a fivefold increase in the number of interactions per bunch crossing relative to the ongoing Run 2. This will require a proportional improvement in rejection power at the earliest levels of the detector trigger system, while preserving good signal efficiency. One critical aspect of this improvement will be the implementation of precise track reconstruction, through which sharper trigger turn-on curves can be achieved, and b-tagging and tau-tagging techniques can in principle be implemented. The challenge of such a project comes in the development of a fast, custom electronic device integrated in the hardware based first trigger level of the experiment. This article will discuss the requirements, architecture and projected performance of the system in terms of tracking, timing and physics, based on detailed simulations. Studies are carried out using data from the strip subsystem only or both strip and pixel subsystems.

  17. The design and simulated performance of a fast Level 1 track trigger for the ATLAS High Luminosity Upgrade

    CERN Document Server

    Martensson, Mikael; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment at the high-luminosity LHC will face a five-fold increase in the number of interactions per collision relative to the ongoing Run 2. This will require a proportional improvement in rejection power at the earliest levels of the detector trigger system, while preserving good signal efficiency. One critical aspect of this improvement will be the implementation of precise track reconstruction, through which sharper trigger turn-on curves can be achieved, and b-tagging and tau-tagging techniques can in principle be implemented. The challenge of such a project comes in the development of a fast, custom electronic device integrated in the hardware-based first trigger level of the experiment, with repercussions propagating as far as the detector read-out philosophy. This talk will discuss the requirements, architecture and projected performance of the system in terms of tracking, timing and physics, based on detailed simulations. Studies are carried out comparing two detector geometries and using...

  18. Overview of the ATLAS Fast Tracker Project

    CERN Document Server

    Ancu, Lucian Stefan; The ATLAS collaboration

    2016-01-01

    The next LHC runs, with a significant increase in instantaneous luminosity, will provide a big challenge for the trigger and data acquisition systems of all the experiments. An intensive use of the tracking information at the trigger level will be important to keep high efficiency for interesting events despite the increase in multiple collisions per bunch crossing. In order to increase the use of tracks within the High Level Trigger, the ATLAS experiment planned the installation of a hardware processor dedicated to tracking: the Fast TracKer processor. The Fast Tracker is designed to perform full scan track reconstruction of every event accepted by the ATLAS first level hardware trigger. To achieve this goal the system uses a parallel architecture, with algorithms designed to exploit the computing power of custom Associative Memory chips, and modern field programmable gate arrays. The processor will provide computing power to reconstruct tracks with transverse momentum greater than 1 GeV in the whol...

  19. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Kimura, N; The ATLAS collaboration

    2012-01-01

    Selecting interesting events with triggering is very challenging at the LHC due to the busy hadronic environment. Starting in 2014 the LHC will run with an energy of 14TeV and instantaneous luminosities which could exceed 10^34 interactions per cm^2 and per second. The triggering in the ATLAS detector is realized using a three level trigger approach, in which the first level (L1) is hardware based and the second (L2) and third (EF) stag are realized using large computing farms. It is a crucial and non-trivial task for triggering to maintain a high efficiency for events of interest while suppressing effectively the very high rates of inclusive QCD process, which constitute mainly background. At the same time the trigger system has to be robust and provide sufficient operational margins to adapt to changes in the running environment. In the current design track reconstruction can be performed only in limited regions of interest at L2 and the CPU requirements may limit this even further at the highest instantane...

  20. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Kimura, N; The ATLAS collaboration

    2012-01-01

    Selecting interesting events with triggering is very challenging at the LHC due to the busy hadronic environment. Starting in 2014 the LHC will run with an energy of 13 or 14 TeV and instantaneous luminosities which could exceed 1034 interactions per cm2 and per second. The triggering in the ATLAS detector is realized using a three level trigger approach, in which the first level (Level-1) is hardware based and the second (Level-2) and third (EF) stag are realized using large computing farms. It is a crucial and non-trivial task for triggering to maintain a high efficiency for events of interest while suppressing effectively the very high rates of inclusive QCD process, which constitute mainly background. At the same time the trigger system has to be robust and provide sufficient operational margins to adapt to changes in the running environment. In the current design track reconstruction can be performed only in limited regions of interest at L2 and the CPU requirements may limit this even further at the hig...

  1. The CMS calorimeter trigger upgrade for the LHC Run II

    CERN Document Server

    Zabi, Alexandre

    2014-01-01

    The CMS experiment implements a sophisticated two-level online selection system that achieves a rejection factor of nearly 10e5. The first level (L1) is based on coarse information coming from the calorimeters and the muon detectors while the High-Level Trigger combines fine-grain information from all sub-detectors. During Run II, the LHC will increase its centre of mass energy up to 13 TeV and progressively reach an instantaneous luminosity of 2e34 cm-2s-1. In order to guarantee a successful and ambitious physics program under this intense environment, the CMS Trigger and Data acquisition system must be consolidated. In particular the L1 calorimeter Trigger hardware and architecture will be modified. The goal is to maintain the current thresholds (e.g., for electrons and photons) and improve the performance for the selection of tau leptons. This can only be achieved by designing an updated trigger architecture based on the recent microTCA technology. Racks can be equipped with fast optical links and latest...

  2. The ATLAS Fast Tracker Processing Units - input and output data preparation

    CERN Document Server

    Bolz, Arthur; Adelman, Jahred; Anderson, John Thomas; Armbruster, Aaron James; Asbah, Nedaa; Blair, Robert; Brost, Elizabeth; Drake, Gary; Gkaitatzis, Stamatios; Iizawa, Tomoya; Ilic, Nikolina; Jiang, Zihao; Kawaguchi, Yoshimasa; Kimura, Naoki; Kordas, Kostantinos; Sotiropoulou, Calliope Louisa; Love, Jeremy; Mitani, Takashi; Nikolaidis, Spyridon; Okumura, Yasuyuki; Proudfoot, James; Thayil, Steffie Ann; Tompkins, Lauren; Wang, Rui; Watari, Ryutaro; Webster, Jordan S; Yorita, Kohei; Zhang, Jinlong

    2017-01-01

    The ATLAS Fast TracKer(FTK) is a custom hardware system for fast, associative memory based track reconstruction. It will provide tracking information within the full acceptance of the inner tracking detectors to the high level trigger at a rate of up to 100 kHz. %, thus allowing for a refined and more efficient event selection at the trigger level. At the first stage of the FTK the Data Formatter subsystem clusters inner detector hits and organizes them into 64 $\\eta$-$\\phi$ trigger regions. At the last stage, the FTK to Level-2 Interface Cards repackage track records and send them to the high level trigger computing farm. This report aims to give an overview over the functionality of the two systems, their hardware implementation in the Advanced Telecommunications Computing Architecture standard, and the status of their integration into ATLAS.

  3. The ATLAS Fast Tracker Processing Units - input and output data preparation

    CERN Document Server

    Bolz, Arthur; Adelman, Jahred; Anderson, John Thomas; Armbruster, Aaron James; Asbah, Nedaa; Blair, Robert; Brost, Elizabeth; Drake, Gary; Gkaitatzis, Stamatios; Iizawa, Tomoya; Ilic, Nikolina; Jiang, Zihao; Kawaguchi, Yoshimasa; Kimura, Naoki; Kordas, Kostantinos; Sotiropoulou, Calliope Louisa; Love, Jeremy; Mitani, Takashi; Nikolaidis, Spyridon; Okumura, Yasuyuki; Proudfoot, James; Thayil, Steffie Ann; Tompkins, Lauren; Wang, Rui; Watari, Ryutaro; Webster, Jordan S; Yorita, Kohei; Zhang, Jinlong

    2016-01-01

    The ATLAS Fast TracKer(FTK) is a custom hardware system for fast, associative memory based track reconstruction. It will provide tracking information within the full acceptance of the inner tracking detectors to the high level trigger at a rate of up to 100 kHz. %, thus allowing for a refined and more efficient event selection at the trigger level. At the first stage of the FTK the Data Formatter subsystem clusters inner detector hits and organizes them into 64 $\\eta$-$\\phi$ trigger regions. At the last stage, the FTK to Level-2 Interface Cards repackage track records and send them to the high level trigger computing farm. This report aims to give an overview over the functionality of the two systems, their hardware implementation in the Advanced Telecommunications Computing Architecture standard, and the status of their integration into ATLAS.

  4. Fast mutual-information-based contrast enhancement

    Science.gov (United States)

    Cao, Gang; Yu, Lifang; Tian, Huawei; Huang, Xianglin; Wang, Yongbin

    2017-07-01

    Recently, T. Celik proposed an effective image contrast enhancement (CE) method based on spatial mutual information and PageRank (SMIRANK). According to the state-of-the-art evaluation criteria, it achieves the best visual enhancement quality among existing global CE methods. However, SMIRANK runs much slower than the other counterparts, such as histogram equalization (HE) and adaptive gamma correction. Low computational complexity is also required for good CE algorithms. In this paper, we novelly propose a fast SMIRANK algorithm, called FastSMIRANK. It integrates both spatial and gray-level downsampling into the generation of pixel value mapping function. Moreover, the computation of rank vectors is speeded up by replacing PageRank with a simple yet efficient row-based operation of mutual information matrix. Extensive experimental results show that the proposed FastSMIRANK could accelerate the processing speed of SMIRANK by about 20 times, and is even faster than HE. Comparable enhancement quality is preserved simultaneously.

  5. The BTeV trigger system

    International Nuclear Information System (INIS)

    Kaplan, D.M.

    2000-01-01

    BTeV is a dedicated beauty and charm experiment proposed for the Fermilab Tevatron. The broad physics program envisaged for BTeV requires a trigger that is efficient for a wide variety of heavy-quark decays, including those to all-hadronic final states. To achieve this, we plan to trigger on evidence of detached vertices at the very first trigger level, taking advantage of fast-readout pixel detectors to facilitate fast pattern recognition. Simulations show that 100-to-1 rejection of light-quark background events can be achieved at Level 1 using specialized trackfinding hardware, and that an additional factor of 10-100 in data reduction can be achieved using general purpose processor farms at Levels 2 and 3. This is adequate to allow data taking at luminosities in excess of 2x10 32 cm -2 s -1

  6. A Fast Hardware Tracker for the ATLAS Trigger System

    CERN Document Server

    Neubauer, M; The ATLAS collaboration

    2011-01-01

    In hadron collider experiments, triggering the detector to store interesting events for offline analysis is a challenge due to the high rates and multiplicities of particles produced. The LHC will soon operate at a center-of-mass energy of 14 TeV and at high instantaneous luminosities of the order of $10^{34}$ to $10^{35}$ cm$^{-2}$ s$^{-1}$. A multi-level trigger strategy is used in ATLAS, with the first level (LVL1) implemented in hardware and the second and third levels (LVL2 and EF) implemented in a large computer farm. Maintaining high trigger efficiency for the physics we are most interested in while at the same time suppressing high rate physics from inclusive QCD processes is a difficult but important problem. It is essential that the trigger system be flexible and robust, with sufficient redundancy and operating margin. Providing high quality track reconstruction over the full ATLAS detector by the start of processing at LVL2 is an important element to achieve these needs. As the instantaneous lumino...

  7. The UA1 trigger processor

    International Nuclear Information System (INIS)

    Grayer, G.H.

    1981-01-01

    Experiment UA1 is a large multi-purpose spectrometer at the CERN proton-antiproton collider, scheduled for late 1981. The principal trigger is formed on the basis of the energy deposition in calorimeters. A trigger decision taken in under 2.4 microseconds can avoid dead time losses due to the bunched nature of the beam. To achieve this we have built fast 8-bit charge to digital converters followed by two identical digital processors tailored to the experiment. The outputs of groups of the 2440 photomultipliers in the calorimeters are summed to form a total of 288 input channels to the ADCs. A look-up table in RAM is used to convert the digitised photomultiplier signals to energy in one processor, combinations of input channels, and also counts the number of clusters with electromagnetic or hadronic energy above pre-determined levels. Up to twelve combinations of these conditions, together with external information, may be combined in coincidence or in veto to form the final trigger. Provision has been made for testing using simulated data in an off-line mode, and sampling real data when on-line. (orig.)

  8. Triggering for charm, beauty, and truth

    International Nuclear Information System (INIS)

    Appel, J.A.

    1982-02-01

    As the search for more and more rare processes accelerates, the need for more and more effective event triggers also accelerates. In the earliest experiments, a simple coincidence often sufficed not only as the event trigger, but as the complete record of an event of interest. In today's experiments, not only has the fast trigger become more sophisticated, but one or more additional level of trigger processing precedes writing event data to magnetic tape for later analysis. Further search experiments will certainly require further expansion in the number of trigger levels required to filter those rare events of particular interest

  9. Private information alone can trigger trapping of ant colonies in local feeding optima.

    Science.gov (United States)

    Czaczkes, Tomer J; Salmane, Anete K; Klampfleuthner, Felicia A M; Heinze, Jürgen

    2016-03-01

    Ant colonies are famous for using trail pheromones to make collective decisions. Trail pheromone systems are characterised by positive feedback, which results in rapid collective decision making. However, in an iconic experiment, ants were shown to become 'trapped' in exploiting a poor food source, if it was discovered earlier. This has conventionally been explained by the established pheromone trail becoming too strong for new trails to compete. However, many social insects have a well-developed memory, and private information often overrules conflicting social information. Thus, route memory could also explain this collective 'trapping' effect. Here, we disentangled the effects of social and private information in two 'trapping' experiments: one in which ants were presented with a good and a poor food source, and one in which ants were presented with a long and a short path to the same food source. We found that private information is sufficient to trigger trapping in selecting the poorer of two food sources, and may be sufficient to cause it altogether. Memories did not trigger trapping in the shortest path experiment, probably because sufficiently detailed memories did not form. The fact that collective decisions can be triggered by private information alone may require other collective patterns previously attributed solely to social information use to be reconsidered. © 2016. Published by The Company of Biologists Ltd.

  10. A Highly Selective First-Level Muon Trigger With MDT Chamber Data for ATLAS at HL-LHC

    CERN Document Server

    INSPIRE-00390105

    2016-07-11

    Highly selective triggers are essential for the physics programme of the ATLAS experiment at HL-LHC where the instantaneous luminosity will be about an order of magnitude larger than the LHC instantaneous luminosity in Run 1. The first level muon trigger rate is dominated by low momentum muons below the nominal trigger threshold due to the moderate momentum resolution of the Resistive Plate and Thin Gap trigger chambers. The resulting high trigger rates at HL-LHC can be su?ciently reduced by using the data of the precision Muon Drift Tube chambers for the trigger decision. This requires the implementation of a fast MDT read-out chain and of a fast MDT track reconstruction algorithm with a latency of at most 6 microseconds. A hardware demonstrator of the fast read-out chain has been successfully tested at the HL-LHC operating conditions at the CERN Gamma Irradiation Facility. The fast track reconstruction algorithm has been implemented on a fast trigger processor.

  11. Fast Plasma Investigation for MMS: Simulation of the Burst Triggering System

    Science.gov (United States)

    Barrie, A. C.; Dorelli, J. C.; Winkert, G. E.; Lobell, J. V.; Holland, M. P.; Adrian, M. L.; Pollock, C. J.

    2011-01-01

    The Magnetospheric Multiscale (MMS) mission will study small-scale reconnection structures and their rapid motions from closely spaced platforms using instruments capable of high angular, energy, and time resolution measurements. To meet these requirements, the Fast Plasma Instrument (FPI) consists of eight (8) identical half top-hat electron sensors and eight (8) identical ion sensors and an Instrument Data Processing Unit (IDPU). The sensors (electron or ion) are grouped into pairs whose 6 degree x 180 degree fields-of-view (FOV) are set 90 degrees apart. Each sensor is equipped with electrostatic aperture steering to allow the sensor to scan a 45 degree x 180 degree fan about the its nominal viewing (0 deflection) direction. Each pair of sensors, known as the Dual Electron Spectrometer (DES) and the Dual Ion Spectrometer (DIS), occupies a quadrant on the MMS spacecraft and the combination of the eight electron/ion sensors, employing aperture steering, image the full-sky every 30-ms (electrons) and 150-ms (ions), respectively. To probe the diffusion regions of reconnection, the highest temporal/spatial resolution mode of FPI results in the DES complement of a given spacecraft generating 6.5-Mb (raised dot) per second of electron data while the DIS generates 1.1-Mb (raised dot) per second of ion data yielding an FPI total data rate of 6.6-Mb (raised dot) per second. The FPI electron/ion data is collected by the IDPU then transmitted to the Central Data Instrument Processor (CIDP) on the spacecraft for science interest ranking. Only data sequences that contain the greatest amount of temporal/spatial structure will be intelligently down-linked by the spacecraft. This requires a data ranking process known as the burst trigger system. The burst trigger system uses pseudo physical quantities to approximate the local plasma environments. As each pseudo quantity will have a different value, a set of two scaling factors is employed for each pseudo term. These pseudo

  12. FTK status and track triggers in ATLAS at HL-LHC

    CERN Document Server

    ATLAS Collaboration; The ATLAS collaboration

    2016-01-01

    The expected instantaneous luminosities delivered by the Large Hadron Collider will place continually increasing burdens on the trigger systems of the ATLAS detector. The use of tracking information is key to maintaining a manageable trigger rate while keeping a high efficiency. At the same time, however, track finding is one of the more resource-intensive tasks in the software-based processing farms of the high level trigger system. To support the trigger, ATLAS is building and currently installing the Fast TracK Finder (FTK), a hardware-based system that uses massively parallel pattern recognition in Associative Memory to reconstruct tracks above transverse momenta of 1 GeV across the entire detector at 100 kHz with a latency of ~100 microseconds. In the first-stage of track finding, FTK compares hits in ATLAS silicon detectors against ~1 billion pre-computed track pattern candidates. Track parameters for these candidates, including goodness-of-fit tests, are calculated in FPGAs using a linear approximation...

  13. Towards a Level-1 Tracking Trigger for the ATLAS Experiment

    CERN Document Server

    De Santo, A; The ATLAS collaboration

    2014-01-01

    Plans for a physics-driven upgrade of the LHC foresee staged increases of the accelerator's average instantaneous luminosity, of up to a factor of five compared to the original design. In order to cope with the sustained luminosity increase, and the resulting higher detector occupancy and particle interaction rates, the ATLAS experiment is planning phased upgrades of the trigger system and of the DAQ infrastructure. In the new conditions, maintaining an adequate signal acceptance for electro-weak processes will pose unprecedented challenges, as the default solution to cope with the higher rates would be to increase thresholds on the transverse momenta of physics objects (leptons, jets, etc). Therefore the possibility to apply fast processing at the first trigger level in order to use tracking information as early as possible in the trigger selection represents a most appealing opportunity, which can preserve the ATLAS trigger's selectivity without reducing its flexibility. Studies to explore the feasibility o...

  14. L1Track: A fast Level 1 track trigger for the ATLAS high luminosity upgrade

    International Nuclear Information System (INIS)

    Cerri, Alessandro

    2016-01-01

    With the planned high-luminosity upgrade of the LHC (HL-LHC), the ATLAS detector will see its collision rate increase by approximately a factor of 5 with respect to the current LHC operation. The earliest hardware-based ATLAS trigger stage (“Level 1”) will have to provide a higher rejection factor in a more difficult environment: a new improved Level 1 trigger architecture is under study, which includes the possibility of extracting with low latency and high accuracy tracking information in time for the decision taking process. In this context, the feasibility of potential approaches aimed at providing low-latency high-quality tracking at Level 1 is discussed. - Highlights: • HL-LH requires highly performing event selection. • ATLAS is studying the implementation of tracking at the very first trigger level. • Low latency and high-quality seem to be achievable with dedicated hardware and adequate detector readout architecture.

  15. A muon trigger for the MACRO apparatus

    International Nuclear Information System (INIS)

    Barbarito, E.; Bellotti, R.; Calicchio, M.; Castellano, M.; DeCataldo, G.; DeMarzo, C.; Erriquez, O.; Favuzzi, C.; Giglietto, N.; Liuzzi, R.; Spinelli, P.

    1991-01-01

    A trigger circuit based on EPROM components, able to manage up to 30 lines from independent counters, is described. The circuit has been designed and used in the MACRO apparatus at the Gran Sasso Laboratory for triggering on fast particles. The circuit works with standard TTL positive logic and is assembled in a double standard CAMAC module. It has a high triggering capacity and a high flexibility. (orig.)

  16. A Highly Selective First-Level Muon Trigger With MDT Chamber Data for ATLAS at HL-LHC

    CERN Document Server

    Nowak, Sebastian; The ATLAS collaboration

    2015-01-01

    Highly selective triggers are essential for the physics programme of the ATLAS experiment at HL-LHC where the instantaneous luminosity will be about an order of magnitude larger than the LHC design luminosity. The Level-1 muon trigger rate is dominated by low momentum muons below the nominal trigger threshold due to the limited momentum resolution of the Resistive Plate and Thin Gap trigger chambers. The resulting high trigger rates at HL-LHC can be sufficient reduced by using the data of the precision Muon Drift Tube chambers for the trigger decision. This requires the implementation of a fast MDT read-out chain and of a fast MDT track reconstruction algorithm with a latency of at most 6~$\\mu$s. A hardware demonstrator of the fast read-out chain has been successfully tested at the high HL-LHC background rates at the CERN Gamma Irradiation Facility. The fast track reconstruction algorithm has been implemented on a fas trigger processor.

  17. The STAR trigger

    International Nuclear Information System (INIS)

    Bieser, F.S.; Crawford, H.J.; Engelage, J.; Eppley, G.; Greiner, L.C.; Judd, E.G.; Klein, S.R.; Meissner, F.; Minor, R.; Milosevich, Z.; Mutchler, G.; Nelson, J.M.; Schambach, J.; VanderMolen, A.S.; Ward, H.; Yepes, P.

    2003-01-01

    We describe the trigger system that we designed and implemented for the STAR detector at RHIC. This is a 10 MHz pipelined system based on fast detector output that controls the event selection for the much slower tracking detectors. Results from the first run are presented and new detectors for the 2001 run are discussed

  18. Trigger and decision processors

    International Nuclear Information System (INIS)

    Franke, G.

    1980-11-01

    In recent years there have been many attempts in high energy physics to make trigger and decision processes faster and more sophisticated. This became necessary due to a permanent increase of the number of sensitive detector elements in wire chambers and calorimeters, and in fact it was possible because of the fast developments in integrated circuits technique. In this paper the present situation will be reviewed. The discussion will be mainly focussed upon event filtering by pure software methods and - rather hardware related - microprogrammable processors as well as random access memory triggers. (orig.)

  19. Trigger region unit for the ALICE PHOS calorimeter

    CERN Document Server

    Müller, Hans; Li Qing Xia; Pimenta, Rui; Röhrich, Dieter; Skaali, Bernhard; Oltean, Alexandra

    2005-01-01

    The Photon Spectrometer (PHOS) of ALICE measures electromagnetic showers of up to 100 GeV via a large matrix of PWO crystals, each read out by an APD. Trigger regions consist of 28*16 crystals, inter-connected via analogue signals generated on front-end cards and transmitted to Trigger Region Units (TRU) which digitize and process the analogue hit information. Eight TRU cards are embedded inside each PHOS module in water-cooled cassettes, each inserted between a block 14 FEE readout cards. Analogue sums are generated by fast summing shapers, with their outputs connected to the TRU via equal-length differential cables. The TRU receives analogue sums on 112 inputs and digitizes these via 12 bit ADCs which are inter-connected with a central FPGA via serial LVDS links. The level-0 and level-1 trigger algorithms are based on pipelined charge summing over 4 consecutive samples and over 4*4 crystal windows. Low latency level-0 decisions and more refined level-1 decisions are generated as a 40 MHz Yes/No sequence whi...

  20. A new Highly Selective First Level ATLAS Muon Trigger With MDT Chamber Data for HL-LHC

    CERN Document Server

    Nowak, Sebastian; The ATLAS collaboration

    2015-01-01

    Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC where the instantaneous luminosity will exceed the LHC's instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum sub-trigger threshold muons due to the poor momentum resolution at trigger level caused by the moderate spatial resolution of the resistive plate and thin gap trigger chambers. This limitation can be overcome by including the data of the precision muon drift tube chambers in the first level trigger decision. This requires the implementation of a fast MDT read-out chain and a fast MDT track reconstruction. A hardware demonstrator of the fast read-out chain was successfully tested under HL-LHC operating conditions at CERN's Gamma Irradiation Facility. It could be shown that the data provided by the demonstrator can be processed with a fast track reconstruction algorithm on an ARM CPU within the 6 microseconds latency...

  1. Input Mezzanine Card for the Fast Tracker at ATLAS

    CERN Document Server

    Iizawa, Tomoya; The ATLAS collaboration

    2016-01-01

    The Fast Tracker (FTK) is an integral part of trigger upgrade program for the ATLAS experiment. At LHC Run 2, which started operations in June 2015 at a center-of-mass energy of 13 TeV, the luminosity could reach up to 2*1034 cm-2s-1 and an average of 40-50 simultaneous proton collisions per beam crossing will be expected. The higher luminosity demands a more sophisticated trigger system with increased use of tracking information. The Fast Tracker is a highly-parallel hardware system that rapidly finds and reconstructs tracks in the ATLAS inner-detector at the triggering stage. This paper focuses on the FTK Input Mezzanine Board that is input module of entire system. The functions of this board are to receive the insertable b-layer, pixel and micro-strip data from the ATLAS Silicon read-out drivers, perform clustering, and forward the data to its mother board. Mass production and quality control tests of Mezzanine Boards were completed, and staged installation and commissioning are ongoing. Details of its fun...

  2. The LHCb vertex locator and level-1 trigger

    CERN Document Server

    Dijkstra, H

    2000-01-01

    LHCb will study CP violation and other rare phenomena in B-decays with a forward detector at the LHC. One of the challenges is to design a fast and efficient trigger. The design of the silicon Vertex Locator (VELO) has been driven by the requirements of one of the most selective triggers of the experiment. The VELO trigger is designed to work at an input rate of 1 MHz. The requirements and implementation of the VELO and the associated trigger are summarised, followed by a description of an upgrade which improves the trigger performance significantly. (3 refs).

  3. The Soft X-ray real time fast trigger system

    International Nuclear Information System (INIS)

    Blackler, K.; Edwards, A.; Holm, J.

    1992-05-01

    Most current diagnostics are limited to recording data either at fixed times and data rates, or in response to certain predefined events - such as the injection of a pellet. The previous Soft X-Ray trigger system at Joint European Torus Joint Undertaking (JET)(A.W. Edwards et al., Rev Sci Instrum. 57(8), p2142, 1986) improved upon this by using Analogue Signal Processors to monitor the analogue data in real time and to provide 'triggers' to the data acquisition system in response to an event such as a sawtooth collapse. This system was however limited in the type of events that could be detected. It was also incapable of being rapidly re-configured. Advances in digital electronics caused a study to be undertaken to see if this situation could be improved. The system described below is the result of this study and has successfully run at JET since the summer of 1990, providing a greatly increased quality of data as well as recording some new phenomena such as the spontaneous snake. This note has been produced to describe the function and operation of the trigger system. (author)

  4. The Central Trigger Processor (CTP)

    CERN Multimedia

    Franchini, Matteo

    2016-01-01

    The Central Trigger Processor (CTP) receives trigger information from the calorimeter and muon trigger processors, as well as from other sources of trigger. It makes the Level-1 decision (L1A) based on a trigger menu.

  5. The Alice dimuon trigger: overview and electronics prototypes

    International Nuclear Information System (INIS)

    Arnaldi, R.; Baldit, A.; Barret, V.; Bastid, N.

    2000-01-01

    ALICE is the LHC experiment (2005) dedicated to the study of heavy ion collisions. Amongst the ALICE sub-detectors, the muon spectrometer will investigate the dimuon production from heavy resonance (J/ψ,γ) decays, which is believed to be a promising signature of the QGP (quark Gluon Plasma) formation. For maximum efficiency of the spectrometer, a dedicated dimuon trigger is presently built. The detector part itself is based on RPCs operated in streamer mode and is the topic of another contribution to this conference. This paper gives the principle and the simulated performances of the trigger and is also focussed on the description of the electronics prototypes and future developments. The RPCs are read-out by X and Y orthogonal strips: the front-end chips are presently developed. The signals are sent to the trigger electronics which basically performs a pt cut on the tracks to reduce the background. A prototype of fast (decision time 200 ns) programmable electronics working in a pipelined mode at 40 MHz has been built and tested. This prototype handles simultaneously 160 digital information from the strips. The tests of the trigger card have required the construction of a pattern generator (160 bits at 40 MHz). (author)

  6. Calorimeter trigger system for the ISR axial-field spectrometer

    International Nuclear Information System (INIS)

    1981-01-01

    A fast and flexible trigger processor system designed to run in parallel up to 51 different types of trigger is used in a large hadron calorimeter experiment at CERN-ISR. A very fast data bus connected to 255 10 bit address ECL memory chips allows programmable selection of events according to their topology and energy pattern in less than 150 ns. In addition this system can interrogate two programmable processors (ESOP) to isolate events characterized by a large energy flow in the central drift chamber (< 500 μs). All functions of the trigger processor can be checked externally by a computer through injecting in parallel simulated input signals into various stages of the system. Salient features and performances will be discussed

  7. TRIGGER

    CERN Multimedia

    W. Smith

    Level-1 Trigger Hardware and Software The road map for the final commissioning of the level-1 trigger system has been set. The software for the trigger subsystems is being upgraded to run under CERN Scientific Linux 4 (SLC4). There is also a new release for the Trigger Supervisor (TS 1.4), which implies upgrade work by the subsystems. As reported by the CERN group, a campaign to tidy the Trigger Timing and Control (TTC) racks has begun. The machine interface was upgraded by installing the new RF2TTC module, which receives RF signals from LHC Point 4. Two Beam Synchronous Timing (BST) signals, one for each beam, can now be received in CMS. The machine group will define the exact format of the information content shortly. The margin on the locking range of the CMS QPLL is planned for study for different subsystems in the next Global Runs, using a function generator. The TTC software has been successfully tested on SLC4. Some TTC subsystems have already been upgraded to SLC4. The TTCci Trigger Supervisor ...

  8. The design of a fast Level-1 track trigger for the high luminosity upgrade of ATLAS.

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00413032; The ATLAS collaboration

    2016-01-01

    The high/luminosity upgrade of the LHC will increase the rate of the proton-proton collisions by approximately a factor of 5 with respect to the initial LHC-design. The ATLAS experiment will upgrade consequently, increasing its robustness and selectivity in the expected high radiation environment. In particular, the earliest, hardware based, ATLAS trigger stage ("Level 1") will require higher rejection power, still maintaining efficient selection on many various physics signatures. The key ingredient is the possibility of extracting tracking information from the brand new full-silicon detector and use it for the process. While fascinating, this solution poses a big challenge in the choice of the architecture, due to the reduced latency available at this trigger level (few tens of micro-seconds) and the high expected working rates (order of MHz). In this paper, we review the design possibilities of such a system in a potential new trigger and readout architecture, and present the performance resulting from a d...

  9. The new UA1 calorimeter trigger

    International Nuclear Information System (INIS)

    Eisenhandler, E.

    1988-01-01

    The new UA1 first-level calorimeter trigger processor is described, with emphasis on the fast two-dimensional electromagnetic cluster-finding that is its most novel feature. This processor is about five times more powerful than its predecessor, and makes extensive use of pipelining techniques. It allows multiple combinations of triggers on electromagnetic showers, hadronic jets and energy sums, including a total-energy veto of multiple interactions and a full vector sum of missing transverse energy. (author)

  10. AIDS radio triggers.

    Science.gov (United States)

    Elias, A M

    1991-07-01

    In April 1991, the Ethnic Communities' Council of NSW was granted funding under the Community AIDS Prevention and Education Program through the Department of Community Services and Health, to produce a series of 6x50 second AIDS radio triggers with a 10-second tag line for further information. The triggers are designed to disseminate culturally-sensitive information about HIV/AIDS in English, Italian, Greek, Spanish, Khmer, Turkish, Macedonian, Serbo-Croatian, Arabic, Cantonese, and Vietnamese, with the goal of increasing awareness and decreasing the degree of misinformation about HIV/AIDS among people of non-English-speaking backgrounds through radio and sound. The 6 triggers cover the denial that AIDS exists in the community, beliefs that words and feelings do not protect one from catching HIV, encouraging friends to be compassionate, compassion within the family, AIDS information for a young audience, and the provision of accurate and honest information on HIV/AIDS. The triggers are slated to be completed by the end of July 1991 and will be broadcast on all possible community, ethnic, and commercial radio networks across Australia. They will be available upon request in composite form with an information kit for use by health care professionals and community workers.

  11. A 40 MHz Trigger-free Readout Architecture for the LHCb Experiment

    CERN Document Server

    Alessio, F; Guzik, Z

    2009-01-01

    The LHCb experiment is considering an upgrade towards a trigger-free 40 MHz complete event readout in which the event selection will only be performed on a processing farm by a high-level software trigger with access to all detector information. This would allow operating LHCb at ten times the current design luminosity and improving the trigger efficiencies in order to collect more than ten times the statistics foreseen in the first phase. In this paper we present the new architecture in consideration. In particular, we investigate new technologies and protocols for the distribution of timing and synchronous control commands, and rate control. This so called Timing and Fast Control (TFC) system will also perform a central destination control for the events and manage the load balancing of the readout network and the event filter farm. The TFC system will be centred on a single FPGA-based multimaster allowing concurrent stand-alone operation of any subset of sub-detectors. The TFC distribution network under in...

  12. submitter Muon trigger efficiency of the ATLAS Detector at LHC

    CERN Document Server

    Gallus, Petr

    The diploma thesis is devoted to the study of the muon trigger efficiency performance in the ATLAS experiment at the LHC collider. It contains measurements of efficiency of muon triggers of Level 1 and Level 2. Level 1 (LVL1) trigger efficiency of L1 MU20 and L1 2MU20 triggers is measured using Monte-Carlo simulated events. For Level 2 the efficiency of MuFast trigger is analysed in relation to the LVL1 decision. In both examples it is shown that the trigger efficiency depends on the detector geometry and transversal momentum pT of muons. Key words: ATLAS, LHC, trigger

  13. A programmable systolic trigger processor for FERA bus data

    International Nuclear Information System (INIS)

    Appelquist, G.; Hovander, B.; Sellden, B.; Bohm, C.

    1992-09-01

    A generic CAMAC based trigger processor module for fast processing of large amounts of ADC data, has been designed. This module has been realised using complex programmable gate arrays (LCAs from XILINX). The gate arrays have been connected to memories and multipliers in such a way that different gate array configurations can cover a wide range of module applications. Using this module, it is possible to construct complex trigger processors. The module uses both the fast ECL FERA bus and the CAMAC bus for inputs and outputs. The latter, however, is primarily used for set-up and control but may also be used for data output. Large numbers of ADCs can be served by a hierarchical arrangement of trigger processor modules, processing ADC data with pipe-line arithmetics producing the final result at the apex of the pyramid. The trigger decision will be transmitted to the data acquisition system via a logic signal while numeric results may be extracted by the CAMAC controller. The trigger processor was originally developed for the proposed neutral particle search experiment at CERN, NUMASS. There it was designed to serve as a second level trigger processor. It was required to correct all ADC raw data for efficiency and pedestal, calculate the total calorimeter energy, obtain the optimal time of flight data and calculate the particle mass. A suitable mass cut would then deliver the trigger decision. More complex triggers were also considered. (au)

  14. Readout and trigger electronics for the TPC vertex chamber

    International Nuclear Information System (INIS)

    Ronan, M.T.; Jared, R.C.; McGathen, T.K.; Eisner, A.M.; Broeder, W.J.; Godfrey, G.L.

    1987-10-01

    The introduction of the vertex chamber required the addition of new front-end electronics and a new 1024-channel, high-accuracy TDC system. The preamplifier/discriminator should be capable of triggering on the first electrons and the time digitzer should preserve the measurement resolution. For the TDC's, in order to maintain compatibility with the existing TPC readout system, an upgrade of a previous inner drift chamber digitizer system has been chosen. Tests of the accuracy and stability of the original design indicated that the new design specifications would be met. The TPC detector requires a fast pretrigger to turn on its gating grid within 500 ns of the e/sup +/e/sup -/ beam crossing time, to minimize the loss of ionization information. A pretrigger based on the Straw Chamber signals, operating at a rate of about 2 K/sec, will be used for charged particle final states. In addition, in order to reject low mass Two-Photon events at the final trigger level, an accurate transverse momentum cutoff will be made by the Straw Chamber trigger logic. In this paper, we describe the readout and trigger electronics systems which have been built to satisfy the above requirements. 5 refs., 8 figs

  15. Test of a demonstrator of an MDT-based first-level muon Trigger for HL-LHC under realistic operating conditions

    CERN Document Server

    Kroha, Hubert; The ATLAS collaboration

    2015-01-01

    Highly selective first level triggers are essential for the physics programme of the ATLAS Experiment at the HL-LHC where the instantaneous luminosity will exceed the LHC’s instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum sub-trigger threshold muons due to the limited momentum resolution at trigger level caused by the moderate spatial resolution of the resistive plate and thin gap trigger chambers. This limitation can be overcome by including the data of the precision muon drift tube chambers in the first level Trigger decision. This requires the implementation of a fast MDT read-out chain and a fast MDT track reconstruction. A hardware demonstrator of the fast read-out chain was successfully tested under HL-LHC operating conditions at CERN’s Gamma Irradiation Facility. It could be shown that the data provided by the demonstrator can be processed with a fast track reconstruction algorithm on an ARM CPU within the 6 microseconds ...

  16. CMS Triggers for the LHC Startup

    CERN Document Server

    Nhan Nguyen, Chi

    2009-01-01

    The LHC will collide proton beams at a bunch-crossing rate of 40 MHz. At the design luminosity of $10^{34}$ cm$^{-2}$s$^{-1}$ each crossing results in an average of about 20 inelastic pp events. The CMS trigger system is designed to reduce the input rate to about 100 Hz. This task is carried out in two steps, namely the Level-1 (L1) and the High-Level trigger (HLT). The L1 trigger is built of customized fast electronics and is designed to reduce the rate to 100 kHz. The HLT is implemented in a filter farm running on hundreds of CPUs and is designed to reduce the rate by another factor of ~1000. It combines the traditional L2 and L3 trigger components in a novel way and allows the coherent tuning of the HLT algorithms to accommodate multiple physics channels. We will discuss the strategies for optimizing triggers covering the experiment`s early physics program.

  17. The ATLAS Trigger: Recent Experience and Future Plans

    CERN Document Server

    The ATLAS collaboration

    2009-01-01

    This paper will give an overview of the ATLAS trigger design and its innovative features. It will describe the valuable experience gained in running the trigger reconstruction and event selection in the fastchanging environment of the detector commissioning during 2008. It will also include a description of the trigger selection menu and its 2009 deployment plan from first collisions to the nominal luminosity. ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). The trigger system needs to efficiently reject a large rate of background events and still select potentially interesting ones with high efficiency. After a first level trigger implemented in custom electronics, the trigger event selection is made by the High Level Trigger (HLT) system, implemented in software. To reduce the processing time to manageable levels, the HLT uses seeded, step-wise and fast selection algorithms, aiming at the earliest possible rejection of background events. The ATLAS trigger event selection...

  18. The FTK: A Hardware Track Finder for the ATLAS Trigger

    CERN Document Server

    Alison, J; Anderson, J; Andreani, A; Andreazza, A; Annovi, A; Antonelli, M; Atkinson, M; Auerbach, B; Baines, J; Barberio, E; Beccherle, R; Beretta, M; Biesuz, N V; Blair, R; Blazey, G; Bogdan, M; Boveia, A; Britzger, D; Bryant, P; Burghgrave, B; Calderini, G; Cavaliere, V; Cavasinni, V; Chakraborty, D; Chang, P; Cheng, Y; Cipriani, R; Citraro, S; Citterio, M; Crescioli, F; Dell'Orso, M; Donati, S; Dondero, P; Drake, G; Gadomski, S; Gatta, M; Gentsos, C; Giannetti, P; Giulini, M; Gkaitatzis, S; Howarth, J W; Iizawa, T; Kapliy, A; Kasten, M; Kim, Y K; Kimura, N; Klimkovich, T; Kordas, K; Korikawa, T; Krizka, K; Kubota, T; Lanza, A; Lasagni, F; Liberali, V; Li, H L; Love, J; Luciano, P; Luongo, C; Magalotti, D; Melachrinos, C; Meroni, C; Mitani, T; Negri, A; Neroutsos, P; Neubauer, M; Nikolaidis, S; Okumura, Y; Pandini, C; Penning, B; Petridou, C; Piendibene, M; Proudfoot, J; Rados, P; Roda, C; Rossi, E; Sakurai, Y; Sampsonidis, D; Sampsonidou, D; Schmitt, S; Schoening, A; Shochet, M; Shojaii, S; Soltveit, H; Sotiropoulou, C L; Stabile, A; Tang, F; Testa, M; Tompkins, L; Vercesi, V; Villa, M; Volpi, G; Webster, J; Wu, X; Yorita, K; Yurkewicz, A; Zeng, J C; Zhang, J

    2014-01-01

    The ATLAS experiment trigger system is designed to reduce the event rate, at the LHC design luminosity of 1034 cm-2 s-1, from the nominal bunch crossing rate of 40 MHz to less than 1 kHz for permanent storage. During Run 1, the LHC has performed exceptionally well, routinely exceeding the design luminosity. From 2015 the LHC is due to operate with higher still luminosities. This will place a significant load on the High Level Trigger system, both due to the need for more sophisticated algorithms to reject background, and from the larger data volumes that will need to be processed. The Fast TracKer is a hardware upgrade for Run 2, consisting of a custom electronics system that will operate at the full rate for Level-1 accepted events of 100 kHz and provide high quality tracks at the beginning of processing in the High Level Trigger. This will perform track reconstruction using hardware with massive parallelism using associative memories and FPGAs. The availability of the full tracking information will enable r...

  19. DUMAND data acquisition with triggering

    International Nuclear Information System (INIS)

    Brenner, A.E.; Theriot, D.; March, R.H.

    1980-01-01

    A data acquisition scheme for the standard DUMAND array that includes a simple triggering scheme as a fundamental part of the system is presented. Although there are a number of not yet fully understood parameters, it is assumed that thresholds can be set in such a manner as to give rise to a triggered signal that is not so dominated by randoms that it gives a substantial decrease in the data acquisition rate over that which would be required by a nontriggered system. It is also assumed that the triggering logic is relatively simple and does not need major computational capabilities for a trigger logic decision. With these assumptions, it is possible to generate the trigger at the array and restrict the data transfer to shore. However, with a not unreasonable delay of 200 microseconds, it is even possible to transmit the information for the trigger to shore and perform all that logic on the shore. The critical point is to send the minimum amount of information necessary to construct the trigger such that one need not send all the possible information in all detectors of the array continuously to shore. 1 figure

  20. An experimental comparison of triggered and random pulse train uncertainties

    International Nuclear Information System (INIS)

    Henzlova, Daniela; Menlove, Howard O.; Swinhoe, Martyn T.

    2010-01-01

    In this paper we present an experimental comparison of signal-triggered and randomly triggered based analysis algorithms of neutron multiplicity data. Traditional shift register type signal-triggered multiplicity analysis of singles, doubles and triples rates is compared with analysis using randomly triggered gates. Two methods of random gate generation are explored - non-overlapping gates (Feyrunan approach) and periodic overlapping gates (fast accidentals). Using californium sources with low, medium and high rate in combination with AmLi sources (as a surrogate for plutonium) we investigate relative standard deviation (RSD) of data in order to determine if there are parameter spaces in which one of the measurement methods should be preferred. Neutron correlation analysis is a commonly used NDA technique to assay plutonium mass. The data can be collected in two distinct ways: using signal-triggered or randomly triggered counting gates. Analysis algorithms were developed for both approaches to determine singles (S), doubles (D) and triples (7) rates from the measured sample. Currently the most commonly implemented technique to collect neutron coincidence data utilizes shift register based electronics. Shift register uses signal-triggered counting gates to generate foreground multiplicity distribution of correlated+accidental events and a random gate (opened after a predefined long delay following the signal trigger) to generate background multiplicity distribution of accidental events. Modern shift registers include fast accidental option to sample data with a fixed clock frequency. This way a set of overlapping gates is used to generate background multiplicity distributions in order to improve the measurement precision. In parallel to shift register approach the Feynman variance technique is frequently used, which utilizes set of consecutive non-overlapping gates. In general, different user communities (e.g. safeguards, nuclear material accountancy, emergency

  1. Pixel DAQ and trigger for HL-LHC

    International Nuclear Information System (INIS)

    Morettini, P.

    2017-01-01

    The read-out is one of the challenges in the design of a pixel detector for the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), that is expected to operate from 2026 at a leveled luminosity of 5 × 10 34  cm −2  s −1 . This is especially true if tracking information is needed in a low latency trigger system. The difficulties of a fast read-out will be reviewed, and possible strategies explained. The solutions that are being evaluated by the ATLAS and CMS collaborations for the upgrade of their trackers will be outlined and ideas on possible development beyond HL-LHC will be presented.

  2. A Novel Highly Ionizing Particle Trigger using the ATLAS Transition Radiation Tracker

    CERN Document Server

    Penwell, J; The ATLAS collaboration

    2011-01-01

    The ATLAS Transition Radiation Tracker (TRT) is an important part of the experiment’s charged particle tracking system. It also provides the ability to discriminate electrons from pions efficiently using large signal amplitudes induced in the TRT straw tubes by transition radiation. This amplitude information can also be used to identify heavily ionizing particles, such as monopoles, or Q-balls, that traverse the straws. Because of their large ionization losses, these particles can range out before they reach the ATLAS calorimeter, making them difficult to identify by the experiment’s first level trigger. Much of this inefficiency could be regained by making use of a feature of the TRT electronics that allows fast access to information on whether large-amplitude signals were produced in regions of the detector. A modest upgrade to existing electronics could allow triggers sensitive to heavily ionizing particles at level-1 to be constructed by counting such large-amplitude signals in roads corresponding to...

  3. The multilevel trigger system of the DIRAC experiment

    International Nuclear Information System (INIS)

    Afanas'ev, L.; Gallas, M.; Goldin, D.

    2002-01-01

    The multilevel trigger system of the DIRAC experiment at CERN is presented. It includes a fast first level trigger as well as various trigger processors to select events with a pair of pions having a low relative momentum typical of the physical process under study. One of these processors employs the drift chamber data, another one is based on a neural network algorithm and the others use various hit-map detector correlations. Two versions of the trigger system used at different stages of the experiment are described. The complete system reduces the event rate by a factor of 1000, with efficiency ≥ 95 % of detecting the events in the relative momentum range of interest

  4. {Performance of the ATLAS Inner Detector Trigger algorithms in pp collisions at 7TeV

    CERN Document Server

    Masik, Jiri; The ATLAS collaboration

    2011-01-01

    The ATLAS trigger performs online event selection in three stages. The Inner Detector information is used in the second (Level 2) and third (Event Filter) stages. Track reconstruction in the silicon detectors and transition radiation tracker contributes significantly to the rejection of uninteresting events while retaining a high signal efficiency. To achieve an overall trigger execution time of 40 ms per event, Level 2 tracking uses fast custom algorithms. The Event Filter tracking uses modified offline algorithms, with an overall execution time of 4s per event. Performance of the trigger tracking algorithms with data collected by ATLAS in 2011 is shown. The high efficiency and track quality of the trigger tracking algorithms for identification of physics signatures is presented. We also discuss the robustness of the reconstruction software with respect to the presence of multiple interactions per bunch crossing, an increasingly important feature for optimal performance moving towards the design luminosities...

  5. Performance of the ATLAS Inner Detector Trigger algorithms in pp collisions at 7TeV

    CERN Document Server

    Masik, Jiri; The ATLAS collaboration

    2011-01-01

    The ATLAS trigger performs online event selection in three stages. The Inner Detector information is used in the second (Level 2) and third (Event Filter) stages. Track reconstruction in the silicon detectors and transition radiation tracker contributes significantly to the rejection of uninteresting events while retaining a high signal efficiency. To achieve an overall trigger execution time of 40 ms per event, Level 2 tracking uses fast custom algorithms. The Event Filter tracking uses modified offline algorithms, with an overall execution time of 4s per event. Performance of the trigger tracking algorithms with data collected by ATLAS in 2011 is shown. The high efficiency and track quality of the trigger tracking algorithms for identification of physics signatures is presented. We also discuss the robustness of the reconstruction software with respect to the presence of multiple interactions per bunch crossing, an increasingly important feature for optimal performance moving towards the design luminosities...

  6. Use of calorie information at fast-food and chain restaurants among US adults, 2009.

    Science.gov (United States)

    Wethington, Holly; Maynard, Leah M; Haltiwanger, Christine; Blanck, Heidi M

    2014-09-01

    The aim of this study was to examine reading and use of calorie information at fast-food/chain restaurants. A cross-sectional analysis was conducted on a sample of 4363 US adults using the 2009 HealthStyles survey. The outcome variable was reading calorie information when available while ordering at fast-food/chain restaurants. Among those who go to fast-food/chain restaurants, we conducted multivariable logistic regression to examine associations between sociodemographic variables and reading calorie information when available. Among those who report reading calorie information when available, we assessed the proportion using calorie information. Among those who reported eating at fast-food/chain restaurants, 36.4% reported reading calorie information when available. Reading calorie information was not related to race/ethnicity, income or education. Compared with men, women had higher odds [adjusted odds ratio (OR) = 1.8; 95% confidence interval (CI) = 1.5-2.1] of reading calorie information when available while those who frequented fast-food/chain restaurants ≥3 times/week (aOR = 0.6; 95% CI = 0.4-0.8) had lower odds compared with those going Health 2013. This work is written by (a) US Government employee(s) and is in the public domain in the US.

  7. An FPGA based demonstrator for a topological processor in the future ATLAS L1-Calo trigger “GOLD”

    CERN Document Server

    Ebling, A; Büscher, V; Degele, R; Ji, W; Meyer, C; Moritz, S; Schäfer, U; Simioni, E; Tapprogge, S; Wenzel, V

    2012-01-01

    Abstract: The existing ATLAS trigger consists of three levels. The level 1 (L1) is an FPGAs based custom designed trigger, while the second and third levels are software based. The LHC machine plans to bring the beam energy to the maximum value of 7 TeV and to increase the luminosity in the coming years. The current L1 trigger system is therefore seriously challenged. To cope with the resulting higher event rate, as part of the ATLAS trigger upgrade, a new electronics module is foreseen to be added in the ATLAS Level-1 Calorimeter Trigger electronics chain: the Topological Processor (TP). Such a processor needs fast optical I/O and large aggregate bandwidth to use the information on trigger object position in space (e.g. jets in the calorimeters or muons measured in the muon detectors) to improve the purity of the L1 triggers streams by applying topological cuts within the L1 latency budget. In this paper, an overview of the adopted technological solutions and the R&D activities on the demonstrator for th...

  8. Online software trigger at PANDA/FAIR

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Donghee; Kliemt, Ralf; Nerling, Frank [Helmholtz-Institut Mainz (Germany); Denig, Achim [Institut fuer Kernphysik, Universitaet Mainz (Germany); Goetzen, Klaus; Peters, Klaus [GSI Helmholtzzentrum fuer Schwerionenforschung GmbH (Germany); Collaboration: PANDA-Collaboration

    2014-07-01

    The PANDA experiment at FAIR will employ a novel trigger-less read-out system. Since a conventional hardware trigger concept is not suitable for PANDA, a high level online event filter will be applied to perform fast event selection based on physics properties of the reconstructed events. A trigger-less data stream implies an event selection with track reconstruction and pattern recognition to be performed online, and thus analysing data under real time conditions at event rates of up to 40 MHz.The projected data rate reduction of about three orders of magnitude requires an effective background rejection, while retaining interesting signal events. Real time event selection in the environment of hadronic reactions is rather challenging and relies on sophisticated algorithms for the software trigger. The implementation and the performance of physics trigger algorithms presently studied with realistic Monte Carlo simulations is discussed. The impact of parameters such as momentum or mass resolution, PID probability, vertex reconstruction and a multivariate analysis using the TMVA package for event filtering is presented.

  9. The ZEUS calorimeter first level trigger

    Science.gov (United States)

    Silverstein, S.; Ali, I.; Behrens, B.; Foudas, C.; Fordham, C.; Goussiou, A.; Jaworski, M.; Lackey, J.; Reeder, D.; Robl, P.; Smith, W. H.; Vaiciulis, A.; Wodarczyk, M.; Dawson, J.; Krakauer, D.; Talaga, R.; Schlereth, J.; Zhang, H.

    1995-02-01

    An overview of the ZEUS calorimeter first level trigger is presented. The CFLT uses a pipelined architecture to accept and analyze calorimeter data for every 96 ns beam crossing interval. PMT signals are combined by analog electronics into electromagnetic and hadronic sums for 896 trigger towers. The analog sums are then digitized and analyzed. The CFLT determines the total, transverse, and missing transverse energy, identifies isolated electrons and muons, and sums energies in programmable subregions. Calculations are performed in 96 ns steps, and new data are accepted for every beam crossing. Trigger data are forwarded to the global first level trigger (GFLT) after 2 μs, allowing a GFLT accept to be issued 5 μs after the beam crossing which produced the event. Important features of the CFLT include a 12-bit effective dynamic range, extensive use of memory lookup tables for trigger calculations, fast pattern searches for isolated leptons, and low electronics noise. During the 1993 HERA run, the CFLT reduced a 50 kHz background rate to around 100 Hz.

  10. Smart Trigger Pre-Processor Custom Electronics for the PHENIX Experiment

    International Nuclear Information System (INIS)

    Nagle, James L.

    2003-01-01

    OAK-B135 The document provides a final technical report on activities and accomplishments of the experimental relativistic heavy ion physics group at the University of Colorado at Boulder as supported by the Outstanding Junior Investigator Program, Division of Nuclear Physics at the Department of Energy. All of the goals of the grant proposal were achieved during this last year of the Outstanding Junior Investigator funding period. The development of a Smart Trigger Pre-Processor module for fast trigger primitive calculations in the PHENIX experiment has been completed. We finalized the board design, constructed and tested two prototype modules, and with additional funding from the PHENIX project, we fabricated a full set of 15 modules for the Muon Tracking system. During Run-4 at RHIC:, we have begun the process of integrating these modules into the PHENIX data acquisition system, Additionally, we put a large Effort into developing new trigger and fast-track analysis methods for J j J data filtering and reconstruction. These algorithms make use of the trigger primitivE∼s generated via the new electronics

  11. The ARGUS vertex trigger

    International Nuclear Information System (INIS)

    Koch, N.; Kolander, M.; Kolanoski, H.; Siegmund, T.; Bergter, J.; Eckstein, P.; Schubert, K.R.; Waldi, R.; Imhof, M.; Ressing, D.; Weiss, U.; Weseler, S.

    1995-09-01

    A fast second level trigger has been developed for the ARGUS experiment which recognizes tracks originating from the interaction region. The processor compares the hits in the ARGUS Micro Vertex Drift Chamber to 245760 masks stored in random access memories. The masks which are fully defined in three dimensions are able to reject tracks originating in the wall of the narrow beampipe of 10.5 mm radius. (orig.)

  12. Use of GPUs in Trigger Systems

    Science.gov (United States)

    Lamanna, Gianluca

    In recent years the interest for using graphics processor (GPU) in general purpose high performance computing is constantly rising. In this paper we discuss the possible use of GPUs to construct a fast and effective real time trigger system, both in software and hardware levels. In particular, we study the integration of such a system in the NA62 trigger. The first application of GPUs for rings pattern recognition in the RICH will be presented. The results obtained show that there are not showstoppers in trigger systems with relatively low latency. Thanks to the use of off-the-shelf technology, in continous development for purposes related to video game and image processing market, the architecture described would be easily exported to other experiments, to build a versatile and fully customizable online selection.

  13. NOMAD Trigger Studies

    International Nuclear Information System (INIS)

    Varvell, K.

    1995-01-01

    The author reports on the status of an offline study of the NOMAD triggers, which has several motivations. Of primary importance is to demonstrate, using offline information recorded by the individual subdetectors comprising NOMAD, that the online trigger system is functioning as expected. Such an investigation serves to complement the extensive monitoring which is already carried out online. More specific to the needs of the offline software and analysis, the reconstruction of tracks and vertices in the detector requires some knowledge of the time at which the trigger has occurred, in order to locate relevant hits in the drift chambers and muon chambers in particular. The fact that the different triggers allowed by the MIOTRINO board take varying times to form complicates this task. An offline trigger algorithm may serve as a tool to shed light on situations where the online trigger status bits have not been recorded correctly, as happens in a small number of cases, or as an aid to studies with the aim of further refinement of the online triggers themselves

  14. Causality and headache triggers

    Science.gov (United States)

    Turner, Dana P.; Smitherman, Todd A.; Martin, Vincent T.; Penzien, Donald B.; Houle, Timothy T.

    2013-01-01

    Objective The objective of this study was to explore the conditions necessary to assign causal status to headache triggers. Background The term “headache trigger” is commonly used to label any stimulus that is assumed to cause headaches. However, the assumptions required for determining if a given stimulus in fact has a causal-type relationship in eliciting headaches have not been explicated. Methods A synthesis and application of Rubin’s Causal Model is applied to the context of headache causes. From this application the conditions necessary to infer that one event (trigger) causes another (headache) are outlined using basic assumptions and examples from relevant literature. Results Although many conditions must be satisfied for a causal attribution, three basic assumptions are identified for determining causality in headache triggers: 1) constancy of the sufferer; 2) constancy of the trigger effect; and 3) constancy of the trigger presentation. A valid evaluation of a potential trigger’s effect can only be undertaken once these three basic assumptions are satisfied during formal or informal studies of headache triggers. Conclusions Evaluating these assumptions is extremely difficult or infeasible in clinical practice, and satisfying them during natural experimentation is unlikely. Researchers, practitioners, and headache sufferers are encouraged to avoid natural experimentation to determine the causal effects of headache triggers. Instead, formal experimental designs or retrospective diary studies using advanced statistical modeling techniques provide the best approaches to satisfy the required assumptions and inform causal statements about headache triggers. PMID:23534872

  15. Payoff Information Biases a Fast Guess Process in Perceptual Decision Making under Deadline Pressure: Evidence from Behavior, Evoked Potentials, and Quantitative Model Comparison.

    Science.gov (United States)

    Noorbaloochi, Sharareh; Sharon, Dahlia; McClelland, James L

    2015-08-05

    We used electroencephalography (EEG) and behavior to examine the role of payoff bias in a difficult two-alternative perceptual decision under deadline pressure in humans. The findings suggest that a fast guess process, biased by payoff and triggered by stimulus onset, occurred on a subset of trials and raced with an evidence accumulation process informed by stimulus information. On each trial, the participant judged whether a rectangle was shifted to the right or left and responded by squeezing a right- or left-hand dynamometer. The payoff for each alternative (which could be biased or unbiased) was signaled 1.5 s before stimulus onset. The choice response was assigned to the first hand reaching a squeeze force criterion and reaction time was defined as time to criterion. Consistent with a fast guess account, fast responses were strongly biased toward the higher-paying alternative and the EEG exhibited an abrupt rise in the lateralized readiness potential (LRP) on a subset of biased payoff trials contralateral to the higher-paying alternative ∼ 150 ms after stimulus onset and 50 ms before stimulus information influenced the LRP. This rise was associated with poststimulus dynamometer activity favoring the higher-paying alternative and predicted choice and response time. Quantitative modeling supported the fast guess account over accounts of payoff effects supported in other studies. Our findings, taken with previous studies, support the idea that payoff and prior probability manipulations produce flexible adaptations to task structure and do not reflect a fixed policy for the integration of payoff and stimulus information. Humans and other animals often face situations in which they must make choices based on uncertain sensory information together with information about expected outcomes (gains or losses) about each choice. We investigated how differences in payoffs between available alternatives affect neural activity, overt choice, and the timing of choice

  16. Using FPGA coprocessor for ATLAS level 2 trigger application

    International Nuclear Information System (INIS)

    Khomich, Andrei; Hinkelbein, Christian; Kugel, Andreas; Maenner, Reinhard; Mueller, Matthias

    2006-01-01

    Tracking has a central role in the event selection for the High-Level Triggers of ATLAS. It is particularly important to have fast tracking algorithms in the trigger system. This paper investigates the feasibility of using FPGA coprocessor for speeding up of the TRT LUT algorithm-one of the tracking algorithms for second level trigger for ATLAS experiment (CERN). Two realisations of the same algorithm have been compared: one in C++ and a hybrid C++/VHDL implementation. Using a FPGA coprocessor gives an increase of speed by a factor of two compared to a CPU-only implementation

  17. ATLAS calorimetry: Trigger, simulation and jet calibration

    CERN Document Server

    Weber, Pavel

    2008-01-01

    The Pre-Processor system of the ATLAS Level-1 Calorimeter Trigger performs complex processing of analog trigger tower signals from electromagnetic and hadronic calorimeters. The main processing block of the Pre-Processor System is the Multi-Chip Module (MCM). The first part of this thesis describes MCM quality assurance tests that have been developed, their use in the MCM large scale production and the results that have been obtained. In the second part of the thesis a validation of a shower parametrisation model for the ATLAS fast simulation package ATLFAST based on QCD dijet events is performed. A detailed comparison of jet response and jet energy resolution between the fast and the full simulation is presented. The uniformity of the calorimeter response has a significant impact on the accuracy of the jet energy measurement. A study of the calorimeter intercalibration using QCD dijet events is presented in the last part of the thesis. The intercalibration study is performed in azimuth angle phi and in pseud...

  18. Fast track-finding trigger processor for the SLAC/LBL Mark II Detector

    International Nuclear Information System (INIS)

    Brafman, H.; Breidenbach, M.; Hettel, R.; Himel, T.; Horelick, D.

    1977-10-01

    The SLAC/LBL Mark II Magnetic Detector consists of various particle detectors arranged in cylindrical symmetry located in and around an axial magnetic field. A versatile, programmable secondary trigger processor was designed and built to find curved tracks in the detector. The system operates at a 10 MHz clock rate with a total processing time of 34 μsec and is used to ''trigger'' the data processing computer, thereby rejecting background and greatly improving the data acquisition aspects of the detector-computer combination

  19. GPUs for real-time processing in HEP trigger systems

    CERN Document Server

    Ammendola, R; Deri, L; Fiorini, M; Frezza, O; Lamanna, G; Lo Cicero, F; Lonardo, A; Messina, A; Sozzi, M; Pantaleo, F; Paolucci, Ps; Rossetti, D; Simula, F; Tosoratto, L; Vicini, P

    2014-01-01

    We describe a pilot project (GAP - GPU Application Project) for the use of GPUs (Graphics processing units) for online triggering applications in High Energy Physics experiments. Two major trends can be identied in the development of trigger and DAQ systems for particle physics experiments: the massive use of general-purpose commodity systems such as commercial multicore PC farms for data acquisition, and the reduction of trigger levels implemented in hardware, towards a fully software data selection system (\\trigger-less"). The innovative approach presented here aims at exploiting the parallel computing power of commercial GPUs to perform fast computations in software not only in high level trigger levels but also in early trigger stages. General-purpose computing on GPUs is emerging as a new paradigm in several elds of science, although so far applications have been tailored to the specic strengths of such devices as accelerators in oine computation. With the steady reduction of GPU latencies, and the incre...

  20. Bellcord: a multilevel fast preprocessor for 1024 ECL channels

    International Nuclear Information System (INIS)

    Kerns, C.R.

    1978-01-01

    To provide a fast decision trigger on multiple tracks passing through multiwire proportional chambers, a high-speed (arriving at an answer in 60 ns) track counting system was developed at Fermilab. The circuit is capable of selecting the track multiplicities utilizing a coaxial cable ''Bus'' (the Bellcord) on which fast pulses are summed. Up to 16 Bellcord coax cables, each having 64 inputs, are fanned into a central ''Hub'' processor where the trigger level decision is made. 7 figures

  1. A binary link tracker for the BaBar level 1 trigger system

    International Nuclear Information System (INIS)

    Berenyi, A.; Chen, H.K.; Dao, K.

    1999-01-01

    The BaBar detector at PEP-II will operate in a high-luminosity e + e - collider environment near the Υ(4S) resonance with the primary goal of studying CP violation in the B meson system. In this environment, typical physics events of interest involve multiple charged particles. These events are identified by counting these tracks in a fast first level (Level 1) trigger system, by reconstructing the tracks in real time. For this purpose, a Binary Link Tracker Module (BLTM) was designed and fabricated for the BaBar Level 1 Drift Chamber trigger system. The BLTM is responsible for linking track segments, constructed by the Track Segment Finder Modules (TSFM), into complete tracks. A single BLTM module processes a 360 MBytes/s stream of segment hit data, corresponding to information from the entire Drift Chamber, and implements a fast and robust algorithm that tolerates high hit occupancies as well as local inefficiencies of the Drift Chamber. The algorithms and the necessary control logic of the BLTM were implemented in Field Programmable Gate Arrays (FPGAs), using the VHDL hardware description language. The finished 9U x 400 mm Euro-format board contains roughly 75,000 gates of programmable logic or about 10,000 lines of VHDL code synthesized into five FPGAs

  2. Workshop on data acquisition and trigger system simulations for high energy physics

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    This report discusses the following topics: DAQSIM: A data acquisition system simulation tool; Front end and DCC Simulations for the SDC Straw Tube System; Simulation of Non-Blocklng Data Acquisition Architectures; Simulation Studies of the SDC Data Collection Chip; Correlation Studies of the Data Collection Circuit & The Design of a Queue for this Circuit; Fast Data Compression & Transmission from a Silicon Strip Wafer; Simulation of SCI Protocols in Modsim; Visual Design with vVHDL; Stochastic Simulation of Asynchronous Buffers; SDC Trigger Simulations; Trigger Rates, DAQ & Online Processing at the SSC; Planned Enhancements to MODSEM II & SIMOBJECT -- an Overview -- R.; DAGAR -- A synthesis system; Proposed Silicon Compiler for Physics Applications; Timed -- LOTOS in a PROLOG Environment: an Algebraic language for Simulation; Modeling and Simulation of an Event Builder for High Energy Physics Data Acquisition Systems; A Verilog Simulation for the CDF DAQ; Simulation to Design with Verilog; The DZero Data Acquisition System: Model and Measurements; DZero Trigger Level 1.5 Modeling; Strategies Optimizing Data Load in the DZero Triggers; Simulation of the DZero Level 2 Data Acquisition System; A Fast Method for Calculating DZero Level 1 Jet Trigger Properties and Physics Input to DAQ Studies.

  3. Workshop on data acquisition and trigger system simulations for high energy physics

    International Nuclear Information System (INIS)

    1992-01-01

    This report discusses the following topics: DAQSIM: A data acquisition system simulation tool; Front end and DCC Simulations for the SDC Straw Tube System; Simulation of Non-Blocklng Data Acquisition Architectures; Simulation Studies of the SDC Data Collection Chip; Correlation Studies of the Data Collection Circuit ampersand The Design of a Queue for this Circuit; Fast Data Compression ampersand Transmission from a Silicon Strip Wafer; Simulation of SCI Protocols in Modsim; Visual Design with vVHDL; Stochastic Simulation of Asynchronous Buffers; SDC Trigger Simulations; Trigger Rates, DAQ ampersand Online Processing at the SSC; Planned Enhancements to MODSEM II ampersand SIMOBJECT -- an Overview -- R.; DAGAR -- A synthesis system; Proposed Silicon Compiler for Physics Applications; Timed -- LOTOS in a PROLOG Environment: an Algebraic language for Simulation; Modeling and Simulation of an Event Builder for High Energy Physics Data Acquisition Systems; A Verilog Simulation for the CDF DAQ; Simulation to Design with Verilog; The DZero Data Acquisition System: Model and Measurements; DZero Trigger Level 1.5 Modeling; Strategies Optimizing Data Load in the DZero Triggers; Simulation of the DZero Level 2 Data Acquisition System; A Fast Method for Calculating DZero Level 1 Jet Trigger Properties and Physics Input to DAQ Studies

  4. Commissioning the ATLAS Level-1 Central Trigger System

    CERN Document Server

    Sherman, Daniel

    2010-01-01

    The ATLAS Level-1 central trigger is a critical part of ATLAS operation. It receives the 40 MHz bunch clock from the LHC and distributes it to all sub-detectors. It initiates their read-out by forming the Level-1 Accept decision, which is based on information from the calorimeter and muon trigger processors and a variety of additional trigger inputs from detectors in the forward region. It also provides trigger summary information to the data acquisition system and the Level-2 trigger system. In this paper, we present the completion of the installed central trigger system, its performance during cosmic-ray data taking and the experience gained with triggering on the first LHC beams.

  5. Designing signal-enriched triggers for boosted jets.

    CERN Document Server

    Toumazou, Marina

    2017-01-01

    Triggers designed to favour the selection of hadronically decaying massive particles have been studied. Both triggers using solely ET and mass cuts (similar to new 2017 triggers) and triggers exploiting polarization information have been studied. The mass cut triggers show substantial gains in rate reduction, while the benefits of polarization triggers are less obvious. The final conclusion is that it is more useful to identify and trigger on generic boosted decays, irrespective of the polarization of the decaying particle

  6. Studies of scintillator-based muon triggers in CMS

    Energy Technology Data Exchange (ETDEWEB)

    Scheuch, Florian

    2017-03-16

    The CMS experiment at the LHC will face challenges due to upgrades and improvements of the LHC in future. Especially, the upgrade towards the high luminosity LHC in 2025 with a foreseen center of mass energy of 14 TeV, an instantaneous luminosity of O(10{sup 35} cm{sup -2} s{sup -1}) and the concurrent aging of and radiation damage to the detectors will have an impact on the fast CMS trigger system and the CMS sub-detectors. Especially, the impact on the CMS muon system - and more particular on the drift tube (DT) system - is of vital interest. In order to respond to these challenges the performance of the DT system as part of the L1 muon trigger and the use of a scintillator-based muon trigger as supportive detector are analyzed in this thesis. First, the concept of such a scintillator-based muon trigger, the Muon Track fast Tag (MTT), as support for the DT trigger system, is presented. The conducted related R and D is described. Exploiting the similarity of the MTT concept and the existing hadron outer calorimeter (HO), studies are presented that evaluate the impact of the challenges on the L1 Trigger as well as the potential of the HO detector as a possible response to these challenges. It is shown that the HO detector can be of help in case of DT detector failures and it is able to improve the muon recognition of the DT detector in the L1 Trigger. The reduction of L1 muon ambiguities with the HO detector is found to be not feasible. The results, that were obtained using HO, are extrapolated towards the MTT concept. The MTT concept is rated as valuable backup solution that, however, will not increase the benefit above the HO detector in the presented application scenarios. After a summary of the performed analyses, the conclusion is drawn, that the HO detector should be included into the L1 Trigger decision. The initiated upgrade process of the HO integration into the L1 muon trigger, that was motivated by these studies, is presented. The preceding upgrade of HO

  7. The Impact of Caloric Information on College Students' Fast Food Purchasing Intentions

    Science.gov (United States)

    Zigmont, Victoria; Bulmer, Sandra Minor

    2015-01-01

    Background: Fast food establishments are available on many college campuses and, as a result, many students consume foods that are high in calories and contribute to unhealthy weight gain. Purpose: This study measured college students' knowledge of the calorie content for fast food items and whether the provision of calorie information for those…

  8. The ATLAS Level-1 Central Trigger Processor (CTP)

    CERN Document Server

    Spiwoks, Ralf; Ellis, Nick; Farthouat, P; Gällnö, P; Haller, J; Krasznahorkay, A; Maeno, T; Pauly, T; Pessoa-Lima, H; Resurreccion-Arcas, I; Schuler, G; De Seixas, J M; Torga-Teixeira, R; Wengler, T

    2005-01-01

    The ATLAS Level-1 Central Trigger Processor (CTP) combines information from calorimeter and muon trigger processors and makes the final Level-1 Accept (L1A) decision on the basis of lists of selection criteria (trigger menus). In addition to the event-selection decision, the CTP also provides trigger summary information to the Level-2 trigger and the data acquisition system. It further provides accumulated and bunch-by-bunch scaler data for monitoring of the trigger, detector and beam conditions. The CTP is presented and results are shown from tests with the calorimeter adn muon trigger processors connected to detectors in a particle beam, as well as from stand-alone full-system tests in the laboratory which were used to validate the CTP.

  9. Upgrade of the ATLAS Level-1 Trigger with event topology information

    CERN Document Server

    Simioni, Eduard; The ATLAS collaboration; Bauss, B; Büscher, V; Jakobi, K; Kaluza, A; Kahra, C; Reiss, A; Schäffer, J; Schulte, A; Simon, M; Tapprogge, S; Vogel, A; Zinser, M; Palka, M

    2015-01-01

    The Large Hadron Collider (LHC) in 2015 will collide proton beams with increased luminosity from \\unit{10^{34}} up to \\unit{3 \\times 10^{34}cm^{-2}s^{-1}}. ATLAS is an LHC experiment designed to measure decay properties of high energetic particles produced in the protons collisions. The higher luminosity places stringent operational and physical requirements on the ATLAS Trigger in order to reduce the 40MHz collision rate to a manageable event storage rate of 1kHz while at the same time, selecting those events with valuable physics meaning. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 100kHz and decision latency of less than 2.5$\\mu s$. It is composed of the Calorimeter Trigger (L1Calo), the Muon Trigger (L1Muon) and the Central Trigger Processor (CTP). In 2014, there will be a new electronics element in the chain: the Topological Processor System (L1Topo system).\\\\ The L1Topo system consist of a single AdvancedTCA shelf equipped with three L1Topo processor ...

  10. A Data Formatter for the ATLAS Fast Tracker

    CERN Document Server

    Olsen, J; The ATLAS collaboration; Liu, Ted; Okumura, Y; Penning, B

    2012-01-01

    The Fast TracKer (FTK) is an upgrade to the ATLAS level-2 trigger. The FTK system will reconstruct tracks using data from the inner Pixel and SCT silicon detector modules at trigger rates up to 100 kHz. We present an overview of the Data Formatter system, which is designed to remap, share and reformat the Pixel and SCT module data to match the geometry of the FTK trigger towers.

  11. The ATLAS muon trigger performance in pp collisions at sqrt(s) = 8 TeV in year 2012 runs

    CERN Document Server

    Nobe, T; The ATLAS collaboration

    2012-01-01

    Events with muons in the final state are an important signature for many physics topics at Large Hadron Collider (LHC), for instance, searches for Higgs boson production or new phenomena, measurements on the standard model processes like top-quark, W, Z production. Thus, efficient trigger on muons in data taking and understanding its performance are crucial to perform these physics studies. At LHC high rejection power against large backgrounds, while maintaining high efficiency for rare signal events, is required for online selection at the trigger level. The ATLAS experiment employs a multi-level trigger architecture that selects the events in three sequential steps of increasing complexity and accuracy to cope with this challenging task. The L1 muon trigger system gets its input from fast muon trigger detectors. Fast sector logic boards select muon candidates, which are passed via an interface board to the central trigger processor and then to the High Level Trigger (HLT). The Muon HLT is purely software ba...

  12. A 96-channel FPGA-based Time-to-Digital Converter (TDC) and fast trigger processor module with multi-hit capability and pipeline

    International Nuclear Information System (INIS)

    Bogdan, Mircea; Frisch, Henry; Heintz, Mary; Paramonov, Alexander; Sanders, Harold; Chappa, Steve; DeMaat, Robert; Klein, Rod; Miao, Ting; Wilson, Peter; Phillips, Thomas J.

    2005-01-01

    We describe an field-programmable gate arrays based (FPGA), 96-channel, Time-to-Digital converter (TDC) and trigger logic board intended for use with the Central Outer Tracker (COT) [T. Affolder et al., Nucl. Instr. and Meth. A 526 (2004) 249] in the CDF Experiment [The CDF-II detector is described in the CDF Technical Design Report (TDR), FERMILAB-Pub-96/390-E. The TDC described here is intended as a further upgrade beyond that described in the TDR] at the Fermilab Tevatron. The COT system is digitized and read out by 315 TDC cards, each serving 96 wires of the chamber. The TDC is physically configured as a 9U VME card. The functionality is almost entirely programmed in firmware in two Altera Stratix FPGAs. The special capabilities of this device are the availability of 840MHz LVDS inputs, multiple phase-locked clock modules, and abundant memory. The TDC system operates with an input resolution of 1.2ns, a minimum input pulse width of 4.8ns and a minimum separation of 4.8ns between pulses. Each input can accept up to 7 hits per collision. The time-to-digital conversion is done by first sampling each of the 96 inputs in 1.2-ns bins and filling a circular memory; the memory addresses of logical transitions (edges) in the input data are then translated into the time of arrival and width of the COT pulses. Memory pipelines with a depth of 5.5μs allow deadtime-less operation in the first-level trigger; the data are multiple-buffered to diminish deadtime in the second-level trigger. The complete process of edge-detection and filling of buffers for readout takes 12μs. The TDC VME interface allows a 64-bit Chain Block Transfer of multiple boards in a crate with transfer-rates up to 47Mbytes/s. The TDC module also produces prompt trigger data every Tevatron crossing via a deadtimeless fast logic path that can be easily reprogrammed. The trigger bits are clocked onto the P3 VME backplane connector with a 22-ns clock for transmission to the trigger. The full TDC design and

  13. The ATLAS Fast Tracker system

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00353645; The ATLAS collaboration

    2017-01-01

    From 2010 to 2012 the Large Hadron Collider (LHC) operated at a centre-of-mass energy of 7 TeV and 8 TeV, colliding bunches of particles every 50 ns. During operation, the ATLAS trigger system has performed efficiently contributing to important results, including the discovery of the Higgs boson in 2012. The LHC restarted in 2015 and will operate for four years at a center of mass energy of 13 TeV and bunch crossing of 50 ns and 25 ns. These running conditions result in the mean number of overlapping proton-proton interactions per bunch crossing increasing from 20 to 60. The Fast Tracker (FTK) system is designed to deliver full event track reconstruction for all tracks with transverse momentum above 1 GeV at a Level-1 rate of 100 kHz with an average latency below 100 microseconds. This will allow the trigger to utilize tracking information from the entire detector at an earlier event selection stage than ever before, allowing for more efficient event rejection. To achieve this goal the system uses a parallel ...

  14. VHDL, FPGA and the master trigger controller of BES

    International Nuclear Information System (INIS)

    Guo Yanan; Wang Jufang; Zhao Dixin

    1996-01-01

    A Master Trigger Controller was made using fast FPGA (Field-Programmable Gate Array) instead of ECLIC (Emitter-Coupled Logic Integrated Circuit). VHDL (Verilog Hardware Description Language) was used in its design. The same performance was obtained with increased flexibility

  15. Self-triggering superconducting fault current limiter

    Science.gov (United States)

    Yuan, Xing [Albany, NY; Tekletsadik, Kasegn [Rexford, NY

    2008-10-21

    A modular and scaleable Matrix Fault Current Limiter (MFCL) that functions as a "variable impedance" device in an electric power network, using components made of superconducting and non-superconducting electrically conductive materials. The matrix fault current limiter comprises a fault current limiter module that includes a superconductor which is electrically coupled in parallel with a trigger coil, wherein the trigger coil is magnetically coupled to the superconductor. The current surge doing a fault within the electrical power network will cause the superconductor to transition to its resistive state and also generate a uniform magnetic field in the trigger coil and simultaneously limit the voltage developed across the superconductor. This results in fast and uniform quenching of the superconductors, significantly reduces the burnout risk associated with non-uniformity often existing within the volume of superconductor materials. The fault current limiter modules may be electrically coupled together to form various "n" (rows).times."m" (columns) matrix configurations.

  16. Predictability in the Epidemic-Type Aftershock Sequence model of interacting triggered seismicity

    Science.gov (United States)

    Helmstetter, AgnèS.; Sornette, Didier

    2003-10-01

    As part of an effort to develop a systematic methodology for earthquake forecasting, we use a simple model of seismicity on the basis of interacting events which may trigger a cascade of earthquakes, known as the Epidemic-Type Aftershock Sequence model (ETAS). The ETAS model is constructed on a bare (unrenormalized) Omori law, the Gutenberg-Richter law, and the idea that large events trigger more numerous aftershocks. For simplicity, we do not use the information on the spatial location of earthquakes and work only in the time domain. We demonstrate the essential role played by the cascade of triggered seismicity in controlling the rate of aftershock decay as well as the overall level of seismicity in the presence of a constant external seismicity source. We offer an analytical approach to account for the yet unobserved triggered seismicity adapted to the problem of forecasting future seismic rates at varying horizons from the present. Tests presented on synthetic catalogs validate strongly the importance of taking into account all the cascades of still unobserved triggered events in order to predict correctly the future level of seismicity beyond a few minutes. We find a strong predictability if one accepts to predict only a small fraction of the large-magnitude targets. Specifically, we find a prediction gain (defined as the ratio of the fraction of predicted events over the fraction of time in alarms) equal to 21 for a fraction of alarm of 1%, a target magnitude M ≥ 6, an update time of 0.5 days between two predictions, and for realistic parameters of the ETAS model. However, the probability gains degrade fast when one attempts to predict a larger fraction of the targets. This is because a significant fraction of events remain uncorrelated from past seismicity. This delineates the fundamental limits underlying forecasting skills, stemming from an intrinsic stochastic component in these interacting triggered seismicity models. Quantitatively, the fundamental

  17. ATLAS calorimetry. Trigger, simulation and jet calibration

    Energy Technology Data Exchange (ETDEWEB)

    Weber, P

    2007-02-06

    The Pre-Processor system of the ATLAS Level-1 Calorimeter Trigger performs complex processing of analog trigger tower signals from electromagnetic and hadronic calorimeters. The main processing block of the Pre-Processor System is the Multi-Chip Module (MCM). The first part of this thesis describes MCM quality assurance tests that have been developed, their use in the MCM large scale production and the results that have been obtained. In the second part of the thesis a validation of a shower parametrisation model for the ATLAS fast simulation package ATLFAST based on QCD dijet events is performed. A detailed comparison of jet response and jet energy resolution between the fast and the full simulation is presented. The uniformity of the calorimeter response has a significant impact on the accuracy of the jet energy measurement. A study of the calorimeter intercalibration using QCD dijet events is presented in the last part of the thesis. The intercalibration study is performed in azimuth angle {phi} and in pseudorapidity {eta}. The performance of the calibration methods including possible systematic and statistical effects is described. (orig.)

  18. Effective mass trigger at the Brookhaven Multi-Particle Spectrometer (MPS)

    International Nuclear Information System (INIS)

    Willen, E.H.

    1980-01-01

    An effective mass trigger for use at the Brookhaven Multiparticle Spectrometer (MPS) is described. It is a microprocessor based device using extensive fast memory attached to proportional wire chambers in the MPS magnetic field. It will select kinematic quantities unique to the reaction being studied, thereby permitting higher sensitivities and a reduction in data-processing cost for MPS experiments. The principles of operation for this trigger, and the results of simulations to assess its performance, are presented

  19. The ATLAS Tau Trigger

    CERN Document Server

    Dam, M; The ATLAS collaboration

    2009-01-01

    The ATLAS experiment at CERN’s LHC has implemented a dedicated tau trigger system to select hadronically decaying tau leptons from the enormous background of QCD jets. This promises a significant increase in the discovery potential to the Higgs boson and in searches for physics beyond the Standard Model. The three level trigger system has been optimised for effciency and good background rejection. The first level uses information from the calorimeters only, while the two higher levels include also information from the tracking detectors. Shower shape variables and the track multiplicity are important variables to distinguish taus from QCD jets. At the initial lumonosity of 10^31 cm^−2 s^−1, single tau triggers with a transverse energy threshold of 50 GeV or higher can be run standalone. Below this level, the tau signatures will be combined with other event signature

  20. A Muon Trigger with high pT-resolution for Phase-II of the LHC Upgrade, based on the ATLAS Muon Drift Tube Chambers

    CERN Document Server

    Nowak, S; The ATLAS collaboration

    2014-01-01

    The ATLAS Muon Trigger in the ATLAS end-cap region is based on Thin Gap Chambers (TGC) which have an excellent time resolution but a moderate spatial resolution. The Muon Trigger efficiency curves show that for a transverse momentum ($p_{t}$) threshold of 20 GeVc$^{-1}$ the trigger rate is mainly dominated by muons with a $p_{t}$ between 10 GeVc$^{-1}$ and 20 GeVc$^{-1}$. To cope with the expected Muon Trigger rate at HL-LHC luminosities, we propose to include the precision tracking chambers (MDT) in the Muon Trigger. According to a potential study based on ATLAS data and assuming the HL-LHC scenario, this leads to a dramatical reduction of the Muon Trigger rate below the nominal threshold. As the already existing MDT chamber read-out chain is not capable of reading out the MDT fast enough to be used for the Muon Trigger, an additional fast read-out (FRO) chain with moderate spatial resolution but low latency is necessary. To conduct fast track reconstruction and muon $p_{t}$ determination with the data acqui...

  1. A fast charge-integrating sample-and-hold circuit for fast decision-making with calorimeter arrays

    International Nuclear Information System (INIS)

    Schuler, G.

    1982-01-01

    This paper describes a fast charge-integrating sample-and-hold circuit, particularly suited to the fast trigger electronics used with large arrays of photomultipliers in total-energy measurements of high-energy particles interactions. During a gate logic pulse, the circuit charges a capacitor with the current fed into the signal input. The output voltage is equal to the voltage developed across the capacitor, which is held until a fast clear discharges the capacitor. The main characteristics of the fast-charge-integrating sample-and-hold circuit are: i) a conversion factor of 1 V/220 pC; ii) a droop rate of 4 mV/μs for a 50 Ω load; and iii) a 1 μs fast-clear time. (orig.)

  2. Upgrade of the CMS Global Muon Trigger

    CERN Document Server

    Lingemann, Joschka; Sakulin, Hannes; Jeitler, Manfred; Stahl, Achim

    2015-01-01

    The increase in center-of-mass energy and luminosity for Run 2 of the Large Hadron Collider pose new challenges for the trigger systems of the experiments. To keep triggering with a similar performance as in Run 1, the CMS muon trigger is currently being upgraded. The new algorithms will provide higher resolution, especially for the muon transverse momentum and will make use of isolation criteria that combine calorimeter with muon information already in the level-1 trigger. The demands of the new algorithms can only be met by upgrading the level-1 trigger system to new powerful FPGAs with high bandwidth I/O. The processing boards will be based on the new microTCA standard. We report on the planned algorithms for the upgraded Global Muon Trigger (GMT) which combines information from the muon trigger sub-systems and assigns the isolation variable. The upgraded GMT will be implemented using a Master Processor 7 card, built by Imperial College, that features a large Xilinx Virtex 7 FPGA. Up to 72 optical links at...

  3. Chromatic Information and Feature Detection in Fast Visual Analysis.

    Directory of Open Access Journals (Sweden)

    Maria M Del Viva

    Full Text Available The visual system is able to recognize a scene based on a sketch made of very simple features. This ability is likely crucial for survival, when fast image recognition is necessary, and it is believed that a primal sketch is extracted very early in the visual processing. Such highly simplified representations can be sufficient for accurate object discrimination, but an open question is the role played by color in this process. Rich color information is available in natural scenes, yet artist's sketches are usually monochromatic; and, black-and-white movies provide compelling representations of real world scenes. Also, the contrast sensitivity of color is low at fine spatial scales. We approach the question from the perspective of optimal information processing by a system endowed with limited computational resources. We show that when such limitations are taken into account, the intrinsic statistical properties of natural scenes imply that the most effective strategy is to ignore fine-scale color features and devote most of the bandwidth to gray-scale information. We find confirmation of these information-based predictions from psychophysics measurements of fast-viewing discrimination of natural scenes. We conclude that the lack of colored features in our visual representation, and our overall low sensitivity to high-frequency color components, are a consequence of an adaptation process, optimizing the size and power consumption of our brain for the visual world we live in.

  4. Trigger and electronics issues for scintillating fiber tracking

    International Nuclear Information System (INIS)

    Baumbaugh, A.E.

    1994-01-01

    Scintillating Fiber technology has made great advances and has demonstrated great promise for high speed charged particle tracking and triggering. The small detector sizes and fast scintillation floors available, make them very promising for use at high luminosity experiments at today's and tomorrow's colliding and fixed target experiments where high rate capability is essential. This paper will discuss some of the system aspects which should be considered by anyone attempting to design a scintillating fiber tracking system and high speed tracking trigger. As the reader will see, seemingly simple decisions can have far reaching effects on overall system performance

  5. The UA1 upgrade calorimeter trigger processor

    International Nuclear Information System (INIS)

    Bains, N.; Baird, S.A.; Biddulph, P.

    1990-01-01

    The increased luminosity of the improved CERN Collider and the more subtle signals of second-generation collider physics demand increasingly sophisticated triggering. We have built a new first-level trigger processor designed to use the excellent granularity of the UA1 upgrade calorimeter. This device is entirely digital and handles events in 1.5 μs, thus introducing no deadtime. Its most novel feature is fast two-dimensional electromagnetic cluster-finding with the possibility of demanding an isolated shower of limited penetration. The processor allows multiple combinations of triggers on electromagnetic showers, hadronic jets and energy sums, including a total-energy veto of multiple interactions and a full vector sum of missing transverse energy. This hard-wired processor is about five times more powerful than its predecessor, and makes extensive use of pipelining techniques. It was used extensively in the 1988 and 1989 runs of the CERN Collider. (author)

  6. The UA1 upgrade calorimeter trigger processor

    International Nuclear Information System (INIS)

    Bains, M.; Charleton, D.; Ellis, N.; Garvey, J.; Gregory, J.; Jimack, M.P.; Jovanovic, P.; Kenyon, I.R.; Baird, S.A.; Campbell, D.; Cawthraw, M.; Coughlan, J.; Flynn, P.; Galagedera, S.; Grayer, G.; Halsall, R.; Shah, T.P.; Stephens, R.; Biddulph, P.; Eisenhandler, E.; Fensome, I.F.; Landon, M.; Robinson, D.; Oliver, J.; Sumorok, K.

    1990-01-01

    The increased luminosity of the improved CERN Collider and the more subtle signals of second-generation collider physics demand increasingly sophisticated triggering. We have built a new first-level trigger processor designed to use the excellent granularity of the UA1 upgrade calorimeter. This device is entirely digital and handles events in 1.5 μs, thus introducing no dead time. Its most novel feature is fast two-dimensional electromagnetic cluster-finding with the possibility of demanding an isolated shower of limited penetration. The processor allows multiple combinations of triggers on electromagnetic showers, hadronic jets and energy sums, including a total-energy veto of multiple interactions and a full vector sum of missing transverse energy. This hard-wired processor is about five times more powerful than its predecessor, and makes extensive use of pipelining techniques. It was used extensively in the 1988 and 1989 runs of the CERN Collider. (orig.)

  7. Concept of a Stand-Alone Muon Trigger with High Transverse Momentum Resolution for the ATLAS Detector at the High-Luminosity LHC

    CERN Document Server

    Horii, Yasuyuki; The ATLAS collaboration

    2014-01-01

    The ATLAS trigger uses a three-level trigger system. The level-1 (L1) trigger for muons with high transverse momentum pT in ATLAS is based on fast chambers with excellent time resolution which are able to identify muons coming from a particular beam crossing. These trigger chambers also provide a fast measurement of the muon transverse momenta, however with limited accuracy caused by the moderate spatial resolution along the deflecting direction of the magnetic field. The higher luminosity foreseen for Phase-II puts stringent limits on the L1 trigger rates. A way to control these rates is the improvement of the spatial resolution of the triggering device which drastically sharpens the turn-on curve of the L1 trigger. To do this, the precision tracking chambers (MDT) can be used in the L1 trigger, if the corresponding trigger latency is increased as planned. The trigger rate reduction is accomplished by strongly decreasing the rate of triggers from muons with pT lower than a predefined threshold (typically 20 ...

  8. Who reports noticing and using calorie information posted on fast food restaurant menus?

    Science.gov (United States)

    Breck, Andrew; Cantor, Jonathan; Martinez, Olivia; Elbel, Brian

    2014-10-01

    Identify consumer characteristics that predict seeing and using calorie information on fast food menu boards. Two separate data collection methods were used in Philadelphia during June 2010, several weeks after calorie labeling legislation went into effect: (1) point-of-purchase survey and receipt collection conducted outside fast food restaurants (N = 669) and (2) a random digit dial telephone survey (N = 702). Logistic regressions were used to predict the odds of reporting seeing, and of reporting seeing and being influenced by posted calorie information. Approximately 35.1% of point-of-purchase and 65.7% of telephone survey respondents reported seeing posted calorie information, 11.8% and 41.7%, respectively, reported that the labels influenced their purchasing decisions, and 8.4% and 17% reported they were influenced in a healthful direction. BMI, education, income, gender, consumer preferences, restaurant chain, and frequency of visiting fast food restaurants were associated with heterogeneity in the likelihood of reporting seeing and reporting seeing and using calorie labels. Demographic characteristics and consumer preferences are important determinants in the use of posted calorie information. Future work should consider the types of consumers this information is intended for, and how to effectively reach them. Copyright © 2014 Elsevier Ltd. All rights reserved.

  9. The ATLAS Fast TracKer Processing Units

    CERN Document Server

    Krizka, Karol; The ATLAS collaboration

    2016-01-01

    The Fast Tracker is a hardware upgrade to the ATLAS trigger and data-acquisition system, with the goal of providing global track reconstruction by the start of the High Level Trigger starts. The Fast Tracker can process incoming data from the whole inner detector at full first level trigger rate, up to 100 kHz, using custom electronic boards. At the core of the system is a Processing Unit installed in a VMEbus crate, formed by two sets of boards: the Associative Memory Board and a powerful rear transition module called the Auxiliary card, while the second set is the Second Stage board. The associative memories perform the pattern matching looking for correlations within the incoming data, compatible with track candidates at coarse resolution. The pattern matching task is performed using custom application specific integrated circuits, called associative memory chips. The auxiliary card prepares the input and reject bad track candidates obtained from from the Associative Memory Board using the full precision a...

  10. Update on The Ultra-Fast Flash Observatory (UFFO) Pathfinder

    DEFF Research Database (Denmark)

    Grossan, B.; Brandt, Søren; Budtz-Jørgensen, Carl

    2011-01-01

    The Ultra-Fast Flash Observatory (UFFO) uses an X/gamma and an optical/UV instrument to observe gamma-ray bursts (GRB) starting milliseconds after burst trigger and location. The X/gamma instrument, a standard coded-mask camera, locates the GRB and triggers the system. The optical/UV instrument, ...

  11. ATLAS level-1 jet trigger rates and study of the ATLAS discovery potential of the neutral MSSM Higgs bosons in b-jet decay channels

    CERN Document Server

    Mahboubi, Kambiz

    2001-01-01

    The response of the ATLAS calorimeters to electrons, photons and hadrons, in terms of the longitudinal and lateral shower development, is parameterized using the GEANT package and a detailed detector description (DICE). The parameterizations are implemented in the ATLAS Level-1 (LVL1) Calorimeter Trigger fast simulation package which, based on an average detector geometry, simulates the complete chain of the LVL1 calorimeter trigger system. In addition, pile-up effects due to multiple primary interactions are implemented taking into account the shape and time history of the trigger signals. An interface to the fast physics simulation package (ATLFAST) is also developed in order to perform ATLAS physics analysis, including the LVL1 trigger effects, in a consistent way. The simulation tools, the details of the parameterization and the interface are described. The LVL1 jet trigger thresholds corresponding to the current trigger menus are determined within the framework of the fast simulation, and the LVL1 jet tr...

  12. Global search of triggered non-volcanic tremor

    Science.gov (United States)

    Chao, Tzu-Kai Kevin

    Deep non-volcanic tremor is a newly discovered seismic phenomenon with low amplitude, long duration, and no clear P- and S-waves as compared with regular earthquake. Tremor has been observed at many major plate-boundary faults, providing new information about fault slip behaviors below the seismogenic zone. While tremor mostly occurs spontaneously (ambient tremor) or during episodic slow-slip events (SSEs), sometimes tremor can also be triggered during teleseismic waves of distance earthquakes, which is known as "triggered tremor". The primary focus of my Ph.D. work is to understand the physical mechanisms and necessary conditions of triggered tremor by systematic investigations in different tectonic regions. In the first chapter of my dissertation, I conduct a systematic survey of triggered tremor beneath the Central Range (CR) in Taiwan for 45 teleseismic earthquakes from 1998 to 2009 with Mw ≥ 7.5. Triggered tremors are visually identified as bursts of high-frequency (2-8 Hz), non-impulsive, and long-duration seismic energy that are coherent among many seismic stations and modulated by the teleseismic surface waves. A total of 9 teleseismic earthquakes has triggered clear tremor in Taiwan. The peak ground velocity (PGV) of teleseismic surface waves is the most important factor in determining tremor triggering potential, with an apparent threshold of ˜0.1 cm/s, or 7-8 kPa. However, such threshold is partially controlled by the background noise level, preventing triggered tremor with weaker amplitude from being observed. In addition, I find a positive correlation between the PGV and the triggered tremor amplitude, which is consistent with the prediction of the 'clock-advance' model. This suggests that triggered tremor can be considered as a sped-up occurrence of ambient tremor under fast loading from the passing surface waves. Finally, the incident angles of surface waves also play an important rule in controlling the tremor triggering potential. The next

  13. The ATLAS Level-1 Calorimeter Trigger

    International Nuclear Information System (INIS)

    Achenbach, R; Andrei, V; Adragna, P; Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J P; Asman, B; Bohm, C; Ay, C; Bauss, B; Bendel, M; Dahlhoff, A; Eckweiler, S; Booth, J R A; Thomas, P Bright; Charlton, D G; Collins, N J; Curtis, C J

    2008-01-01

    The ATLAS Level-1 Calorimeter Trigger uses reduced-granularity information from all the ATLAS calorimeters to search for high transverse-energy electrons, photons, τ leptons and jets, as well as high missing and total transverse energy. The calorimeter trigger electronics has a fixed latency of about 1 μs, using programmable custom-built digital electronics. This paper describes the Calorimeter Trigger hardware, as installed in the ATLAS electronics cavern

  14. The ATLAS Level-1 Calorimeter Trigger

    Energy Technology Data Exchange (ETDEWEB)

    Achenbach, R; Andrei, V [Kirchhoff-Institut fuer Physik, University of Heidelberg, D-69120 Heidelberg (Germany); Adragna, P [Physics Department, Queen Mary, University of London, London E1 4NS (United Kingdom); Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J P [STFC Rutherford Appleton Laboratory, Harwell Science and Innovation Campus, Didcot, Oxon OX11 0QX (United Kingdom); Asman, B; Bohm, C [Fysikum, Stockholm University, SE-106 91 Stockholm (Sweden); Ay, C; Bauss, B; Bendel, M; Dahlhoff, A; Eckweiler, S [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Booth, J R A; Thomas, P Bright; Charlton, D G; Collins, N J; Curtis, C J [School of Physics and Astronomy, University of Birmingham, Birmingham B15 2TT (United Kingdom)], E-mail: e.eisenhandler@qmul.ac.uk (and others)

    2008-03-15

    The ATLAS Level-1 Calorimeter Trigger uses reduced-granularity information from all the ATLAS calorimeters to search for high transverse-energy electrons, photons, {tau} leptons and jets, as well as high missing and total transverse energy. The calorimeter trigger electronics has a fixed latency of about 1 {mu}s, using programmable custom-built digital electronics. This paper describes the Calorimeter Trigger hardware, as installed in the ATLAS electronics cavern.

  15. Message frame and self-efficacy influence the persuasiveness of nutrition information in a fast-food restaurant

    NARCIS (Netherlands)

    Riet, J.P. van 't; Werrij, M.Q.; Nieuwkamp, R.; Vries, H. de; Ruiter, R.A.C.

    2013-01-01

    The present study investigated the persuasiveness of gain- and loss-framed information recommending healthier choices in fast-food restaurants. Visitors of two fast-food restaurants (N = 235) filled in a questionnaire concerning their fast food choices and received gain-or loss-framed nutrition

  16. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The hardware of the trigger components has been mostly finished. The ECAL Endcap Trigger Concentrator Cards (TCC) are in production while Barrel TCC firmware has been upgraded, and the Trigger Primitives can now be stored by the Data Concentrator Card for readout by the DAQ. The Regional Calorimeter Trigger (RCT) system is complete, and the timing is being finalized. All 502 HCAL trigger links to RCT run without error. The HCAL muon trigger timing has been equalized with DT, RPC, CSC and ECAL. The hardware and firmware for the Global Calorimeter Trigger (GCT) jet triggers are being commissioned and data from these triggers is available for readout. The GCT energy sums from rings of trigger towers around the beam pipe beam have been changed to include two rings from both sides. The firmware for Drift Tube Track Finder, Barrel Sorter and Wedge Sorter has been upgraded, and the synchronization of the DT trigger is satisfactory. The CSC local trigger has operated flawlessly u...

  17. Local Trigger Electronics for the CMS Drift Tubes Muon detector

    CERN Document Server

    Travaglini, R

    2003-01-01

    In the CMS detector in preparation for the CERN LHC collider, the Drift Tubes Muon Chambers are equipped with mini-crates hosting custom electronics for fast data processing and local trigger generation. In particular the Trigger Server of a DTC consists of Track Sorter Slave ASICs and a Track Sorter Master system. The trigger electronics boards are in production, to be ready for the muon detector installation in the CMS barrel starting at the end of 2003.In this work, the performance of the Trigger Server will be discussed, on the basis both of high-statistics tests with predefined patterns and of test beam data collected at CERN, where a DTC was exposed to a muon beam having an LHC-like bunch structure. Finally, some system performance expectations, concerning radiation tolerance and signal transmission issues during LHC running, will be also discussed.

  18. Studies of ATM for ATLAS high-level triggers

    CERN Document Server

    Bystrický, J; Huet, M; Le Dû, P; Mandjavidze, I D

    2001-01-01

    This paper presents some of the conclusions of our studies on asynchronous transfer mode (ATM) and fast Ethernet in the ATLAS level-2 trigger pilot project. We describe the general concept and principles of our data-collection and event-building scheme that could be transposed to various experiments in high-energy and nuclear physics. To validate the approach in view of ATLAS high-level triggers, we assembled a testbed composed of up to 48 computers linked by a 7.5-Gbit/s ATM switch. This modular switch is used as a single entity or is split into several smaller interconnected switches. This allows study of how to construct a large network from smaller units. Alternatively, the ATM network can be replaced by fast Ethernet. We detail the operation of the system and present series of performance measurements made with event-building traffic pattern. We extrapolate these results to show how today's commercial networking components could be used to build a 1000-port network adequate for ATLAS needs. Lastly, we li...

  19. Performance of the NOνA Data Acquisition and Trigger Systems for the full 14 kT Far Detector

    International Nuclear Information System (INIS)

    Norman, A.; Ding, P.F.; Rebel, B.; Shanahan, P.; Davies, G.S.; Niner, E.; Dukes, E.C.; Frank, M.J.; Group, R.C.; Henderson, W.; Mina, R.; Oksuzian, Y.; Duyan, H.; Habig, A.; Moren, A.; Tomsen, K.; Mualem, L.; Sheshukov, A.; Tamsett, M.; Vinton, L.

    2015-01-01

    The NOvA experiment uses a continuous, free-running, dead-timeless data acquisition system to collect data from the 14 kT far detector. The DAQ system readouts the more than 344,000 detector channels and assembles the information into an raw unfiltered high bandwidth data stream. The NOvA trigger systems operate in parallel to the readout and asynchronously to the primary DAQ readout/event building chain. The data driven triggering systems for NOvA are unique in that they examine long contiguous time windows of the high resolution readout data and enable the detector to be sensitive to a wide range of physics interactions from those with fast, nanosecond scale signals up to processes with long delayed coincidences between hits which occur at the tens of milliseconds time scale. The trigger system is able to achieve a true 100% live time for the detector, making it sensitive to both beam spill related and off-spill physics. (paper)

  20. The First Level Trigger of JEM-EUSO: Concept and tests

    Energy Technology Data Exchange (ETDEWEB)

    Bertaina, M., E-mail: bertaina@to.infn.it [Department of Physics, University of Torino & INFN Torino, Torino (Italy); Caruso, R. [Department of Physics, University of Catania & INFN Catania, Catania (Italy); Catalano, O. [INAF-IASF Palermo & INFN Catania, Palermo (Italy); Contino, G. [Department of Physics, University of Catania & INFN Catania, Catania (Italy); Fenu, F.; Mignone, M.; Mulas, R. [Department of Physics, University of Torino & INFN Torino, Torino (Italy)

    2016-07-11

    The trigger system of JEM-EUSO is designed to meet specific challenging requirements. These include managing a large number of pixels (~3·10{sup 5}) and using a very fast, low power consuming, and radiation hard electronics. It must achieve a high signal-to-noise performance and flexibility and cope with the limited down-link transmission rate from the International Space Station (ISS) to Earth. The general overview of the First Level Trigger for cosmic ray detection is reviewed; tests that validate its performance are discussed.

  1. Testing and Performance of UFFO Burst Alert & Trigger Telescope

    DEFF Research Database (Denmark)

    Rípa, Jakub; Bin Kim, Min; Lee, Jik

    2014-01-01

    The Ultra-Fast Flash Observatory pathfinder (UFFO-p) is a new space mission dedicated to detect Gamma-Ray Bursts (GRBs) and rapidly follow their afterglows in order to provide early optical/ultraviolet measurements. A GRB location is determined in a few seconds by the UFFO Burst Alert & Trigger t...

  2. Reducing preoperative fasting time: A trend based on evidence

    OpenAIRE

    de Aguilar-Nascimento, José Eduardo; Dock-Nascimento, Diana Borges

    2010-01-01

    Preoperative fasting is mandatory before anesthesia to reduce the risk of aspiration. However, the prescribed 6-8 h of fasting is usually prolonged to 12-16 h for various reasons. Prolonged fasting triggers a metabolic response that precipitates gluconeogenesis and increases the organic response to trauma. Various randomized trials and meta-analyses have consistently shown that is safe to reduce the preoperative fasting time with a carbohydrate-rich drink up to 2 h before surgery. Benefits re...

  3. Concept of the CMS Trigger Supervisor

    CERN Document Server

    Magrans de Abril, Ildefons; Varela, Joao

    2006-01-01

    The Trigger Supervisor is an online software system designed for the CMS experiment at CERN. Its purpose is to provide a framework to set up, test, operate and monitor the trigger components on one hand and to manage their interplay and the information exchange with the run control part of the data acquisition system on the other. The Trigger Supervisor is conceived to provide a simple and homogeneous client interface to the online software infrastructure of the trigger subsystems. This document specifies the functional and non-functional requirements, design and operational details, and the components that will be delivered in order to facilitate a smooth integration of the trigger software in the context of CMS.

  4. Trigger factors mainly from the environmental type are reported by adolescents with migraine

    Directory of Open Access Journals (Sweden)

    Marcela Dalla Bernardina Fraga

    2013-05-01

    Full Text Available Migraine can be triggered by many factors such as stress, sleep, fasting and environmental causes. There are few studies that evaluated migraine trigger factors in the adolescent population. Methods: A total of 100 participants from 10 to 19 years were subjected to a detailed headache questionnaire, with demographic and clinical data, and a headache diary including trigger factors during a two-month period was asked. Results: Fifty of the participants exhibited chronic migraine and the other 50 participants demonstrated episodic migraine. The most common group of trigger factors reported was the environmental one, mainly sun/clarity, followed by hot weather and the smell of perfume. Conclusions: Ninety-one percent of children and adolescents with migraine reported a trigger factor precipitating the migraine attack.

  5. Level-0 trigger algorithms for the ALICE PHOS detector

    CERN Document Server

    Wang, D; Wang, Y P; Huang, G M; Kral, J; Yin, Z B; Zhou, D C; Zhang, F; Ullaland, K; Muller, H; Liu, L J

    2011-01-01

    The PHOS level-0 trigger provides a minimum bias trigger for p-p collisions and information for a level-1 trigger at both p-p and Pb-Pb collisions. There are two level-0 trigger generating algorithms under consideration: the Direct Comparison algorithm and the Weighted Sum algorithm. In order to study trigger algorithms via simulation, a simplified equivalent model is extracted from the trigger electronics to derive the waveform function of the Analog-or signal as input to the trigger algorithms. Simulations shown that the Weighted Sum algorithm can achieve higher trigger efficiency and provide more precise single channel energy information than the direct compare algorithm. An energy resolution of 9.75 MeV can be achieved with the Weighted Sum algorithm at a sampling rate of 40 Msps (mega samples per second) at 1 GeV. The timing performance at a sampling rate of 40 Msps with the Weighted Sum algorithm is better than that at a sampling rate of 20 Msps with both algorithms. The level-0 trigger can be delivered...

  6. Availability, Location, and Format of Nutrition Information in Fast-food Chain Restaurants in Ontario, Canada.

    Science.gov (United States)

    Hobin, Erin; Lebenbaum, Michael; Rosella, Laura; Hammond, David

    2015-03-01

    To assess the availability, location, and format of nutrition information in fast-food chain restaurants in Ontario. Nutrition information in restaurants was assessed using an adapted version of the Nutrition Environment Measures Study for Restaurants (NEMS-R). Two raters independently visited 50 restaurants, 5 outlets of each of the top-10 fast-food chain restaurants in Canada. The locations of the restaurants were randomly selected within the Waterloo, Wellington, and Peel regions in Ontario, Canada. Descriptive results are presented for the proportion of restaurants presenting nutrition information by location (e.g., brochure), format (e.g., use of symbols), and then by type of restaurant (e.g., quick take-away, full-service). Overall, 96.0% (n = 48) of the restaurants had at least some nutrition information available in the restaurant. However, no restaurant listed calorie information for all items on menu boards or menus, and only 14.0% (n = 7) of the restaurants posted calorie information and 26.0% (n = 13) of restaurants posted other nutrients (e.g., total fat) for at least some items on menus boards or menus. The majority of the fast-food chain restaurants included in our study provided at least some nutrition information in restaurants; however, very few restaurants made nutrition information readily available for consumers on menu boards and menus.

  7. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The trigger synchronization procedures for running with cosmic muons and operating with the LHC were reviewed during the May electronics week. Firmware maintenance issues were also reviewed. Link tests between the new ECAL endcap trigger concentrator cards (TCC48) and the Regional Calorimeter Trigger have been performed. Firmware for the energy sum triggers and an upgraded tau trigger of the Global Calorimeter Triggers has been developed and is under test. The optical fiber receiver boards for the Track-Finder trigger theta links of the DT chambers are now all installed. The RPC trigger is being made more robust by additional chamber and cable shielding and also by firmware upgrades. For the CSC’s the front-end and trigger motherboard firmware have been updated. New RPC patterns and DT/CSC lookup tables taking into account phi asymmetries in the magnetic field configuration are under study. The motherboard for the new pipeline synchronizer of the Global Trigg...

  8. Large-scale performance studies of the Resistive Plate Chamber fast tracker for the ATLAS 1st-level muon trigger

    CERN Document Server

    Cattani, G; The ATLAS collaboration

    2009-01-01

    In the ATLAS experiment, Resistive Plate Chambers provide the first-level muon trigger and bunch crossing identification over large area of the barrel region, as well as being used as a very fast 2D tracker. To achieve these goals a system of about ~4000 gas gaps operating in avalanche mode was built (resulting in a total readout surface of about 16000 m2 segmented into 350000 strips) and is now fully operational in the ATLAS pit, where its functionality has been widely tested up to now using cosmic rays. Such a large scale system allows to study the performance of RPCs (both from the point of view of gas gaps and readout electronics) with unprecedented sensitivity to rare effects, as well as providing the means to correlate (in a statistically significant way) characteristics at production sites with performance during operation. Calibrating such a system means fine tuning thousands of parameters (involving both front-end electronics and gap voltage), as well as constantly monitoring performance and environm...

  9. The ZEUS second level calorimeter trigger

    International Nuclear Information System (INIS)

    Jong, S.J. de.

    1990-01-01

    ZEUS is a detector for the HERA ep collider, consisting of several large components. The most important being the inner tracking detectors, which are positioned nearest to the interaction point, the calorimeter surrounding the inner tracking detectors and the muon detectors on the outside of the experimental setup. Each component will deliver a vast amount of information. In order to keep this information manageable, data is preprocessed and condensed per component and then combined to obtain the final global trigger result. The main subject of this thesis is the second level calorimeter trigger processor of the ZEUS detector. In order to be able to reject the unwanted events passing the first level, the topological event signature will have to be used at the second level. The most demanding task of the second level is the recognition of local energy depositions corresponding to isolated electrons and hadron jets. Also part of the work performed by the first level will be repeated with a higher level of accuracy. Additional information not available to the first level trigger will be processed and will be made available to the global second level trigger decision module. For the second level calorimeter trigger processor a special VME module, containing two transputers, has been developed. The second level calorimeter trigger algorithm described in this thesis was tested with simulated events, that were tracked through a computer simulation of the ZEUS detector. A part of this thesis is therefore devoted to the description of the various Monte Carlo models and the justification of the way in which they were used. (author). 132 refs.; 76 figs.; 18 tabs

  10. Ultra-fast flash observatory for detecting the early photons from gamma-ray bursts

    DEFF Research Database (Denmark)

    Lim, H.; Jeong, S.; Ahn, K.-B.

    ) for the fast measurement of the UV-optical photons from GRBs, and a gamma-ray monitor for energy measurement. The triggering is done by the UFFO burst Alert & Trigger telescope (UBAT) using the hard X-ray from GRBs and the UV/optical Trigger Assistant Telescope (UTAT) using the UV/optical photons from GRBs...

  11. Information, Sentiment, and Price in a Fast Order-Driven Market

    Czech Academy of Sciences Publication Activity Database

    Derviz, Alexis

    2011-01-01

    Roč. 8, č. 3 (2011), s. 43-75 ISSN 0972-916X Institutional research plan: CEZ:AV0Z10750506 Keywords : limit order * market order * high frequency trading * price dicovery * sentiment Subject RIV: AH - Economics http://library.utia.cas.cz/separaty/2011/E/derviz-information, sentiment, and price in a fast order-driven market.pdf

  12. Triggering on electrons and photons with CMS

    Directory of Open Access Journals (Sweden)

    Zabi Alexandre

    2012-06-01

    Full Text Available Throughout the year 2011, the Large Hadron Collider (LHC has operated with an instantaneous luminosity that has risen continually to around 4 × 1033cm−2s−1. With this prodigious high-energy proton collisions rate, efficient triggering on electrons and photons has become a major challenge for the LHC experiments. The Compact Muon Solenoid (CMS experiment implements a sophisticated two-level online selection system that achieves a rejection factor of nearly 106. The first level (L1 is based on coarse information coming from the calorimeters and the muon detectors while the High-Level Trigger (HLT combines fine-grain information from all sub-detectors. In this intense hadronic environment, the L1 electron/photon trigger provides a powerful tool to select interesting events. It is based upon information from the Electromagnetic Calorimeter (ECAL, a high-resolution detector comprising 75848 lead tungstate (PbWO4 crystals in a “barrel” and two “endcaps”. The performance as well as the optimization of the electron/photon trigger are presented.

  13. The ATLAS Level-1 Muon to Central Trigger Processor Interface

    CERN Document Server

    Berge, D; Farthouat, P; Haas, S; Klofver, P; Krasznahorkay, A; Messina, A; Pauly, T; Schuler, G; Spiwoks, R; Wengler, T; PH-EP

    2007-01-01

    The Muon to Central Trigger Processor Interface (MUCTPI) is part of the ATLAS Level-1 trigger system and connects the output of muon trigger system to the Central Trigger Processor (CTP). At every bunch crossing (BC), the MUCTPI receives information on muon candidates from each of the 208 muon trigger sectors and calculates the total multiplicity for each of six transverse momentum (pT) thresholds. This multiplicity value is then sent to the CTP, where it is used together with the input from the Calorimeter trigger to make the final Level-1 Accept (L1A) decision. In addition the MUCTPI provides summary information to the Level-2 trigger and to the data acquisition (DAQ) system for events selected at Level-1. This information is used to define the regions of interest (RoIs) that drive the Level-2 muontrigger processing. The MUCTPI system consists of a 9U VME chassis with a dedicated active backplane and 18 custom designed modules. The design of the modules is based on state-of-the-art FPGA devices and special ...

  14. TRIGGER

    CERN Multimedia

    W. Smith

    2012-01-01

      Level-1 Trigger The Level-1 Trigger group is ready to deploy improvements to the L1 Trigger algorithms for 2012. These include new high-PT patterns for the RPC endcap, an improved CSC PT assignment, a new PT-matching algorithm for the Global Muon Trigger, and new calibrations for ECAL, HCAL, and the Regional Calorimeter Trigger. These should improve the efficiency, rate, and stability of the L1 Trigger. The L1 Trigger group also is migrating the online systems to SLC5. To make the data transfer from the Global Calorimeter Trigger to the Global Trigger more reliable and also to allow checking the data integrity online, a new optical link system has been developed by the GCT and GT groups and successfully tested at the CMS electronics integration facility in building 904. This new system is now undergoing further tests at Point 5 before being deployed for data-taking this year. New L1 trigger menus have recently been studied and proposed by Emmanuelle Perez and the L1 Detector Performance Group...

  15. The Fast TracKer

    CERN Document Server

    Potamianos, Karolos Jozef; The ATLAS collaboration

    2018-01-01

    The Fast Tracker (FTK) is a hardware upgrade to the ATLAS trigger and data acquisition system providing global track reconstruction to the High-Level Trigger (HLT) with the goal to improve pile-up rejection. The FTK processes incoming data from the Pixel and SCT detectors (part of the Inner Detector, ID) at up to 100 kHz using custom electronic boards. ID hits are matched to pre-defined track patterns stored in associative memory (AM) on custom ASICs and data routing, reduction and parameter extraction is achieved with processing on FPGAs. With 8000 AM chips and 2000 FPGAs, the FTK provides enough resources to reconstruct tracks with transverse momentum greater than 1 GeV/c in the whole tracking volume with an average latency below 100 microseconds at collisions intensities expected in Runs II and III of the LHC. The tracks will be available at the beginning of the trigger selection process, which allows development of pile-up resilient triggering strategies to identify b-quarks and tau-leptons, as well as pr...

  16. Measurement of the fast electron distribution in laser-plasma experiments in the context of the 'fast ignition' approach to inertial confinement fusion

    International Nuclear Information System (INIS)

    Batani, Dimitri; Morace, Alessio

    2010-01-01

    The recent 'fast ignition approach' to ICF relies on the presence of fast electrons to provide the 'external' ignition spark triggering the nuclear fusion reaction in the compressed core of a thermonuclear target. Such fast electron beam is produced by the interaction of a short-pulse high-intensity laser with the target itself. In this context, it becomes essential to characterize the density of fast electrons and their average energy (i.e. the 'laser to fast electron' energy conversion efficiency) but also the finer details of the velocity and angular distribution. In this work we will discuss several techniques used to determine the fast electron distribution function.

  17. ATLAS L1 Muon Trigger Upgrade with sTGC: Design and Performance

    CERN Document Server

    Gerbaudo, Davide

    2014-01-01

    We describe the upgrade of the ATLAS forward Level 1 (L1) muon trigger planned for the LHC run with luminosity above 2 10 34 cm. This upgrade, which aims at suppressing the fake muon triggers from non-pointing tracks, foresees the installation of a New Small Wheel (NSW) detector in the endcap region. This region of the detector will be instrumented with small-strip Thin Gap Chambers (sTGC) that will allow to keep the L1 muon trigger rate below 25 kHz. This rate suppression is realized with a two-step trigger system: first, an ultra-fast pad trigger defines the regions of interest containing potential high- p T muon candidates; second, an accurate track measurement is performed with precision readouts from the sTGC strips, providing the required 1 mrad angular resolution. The new, sTGC-based, L1 muon trigger is reviewed. A description of the sTGC detector as well as of its readout system is given. The first results from the simulation of this new trigger system are presented. These studies show that the pad-tr...

  18. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The production of the trigger hardware is now basically finished, and in time for the turn-on of the LHC. The last boards produced are the Trigger Concentrator Cards for the ECAL Endcaps (TCC-EE). After the recent installation of the four EE Dees, the TCC-EE prototypes were used for their commissioning. Production boards are arriving and are being tested continuously, with the last ones expected in November. The Regional Calorimeter Trigger hardware is fully integrated after installation of the last EE cables. Pattern tests from the HCAL up to the GCT have been performed successfully. The HCAL triggers are fully operational, including the connection of the HCAL-outer and forward-HCAL (HO/HF) technical triggers to the Global Trigger. The HCAL Trigger and Readout (HTR) board firmware has been updated to permit recording of the tower “feature bit” in the data. The Global Calorimeter Trigger hardware is installed, but some firmware developments are still n...

  19. Destructive electronics from electrochemical-mechanically triggered chemical dissolution

    International Nuclear Information System (INIS)

    Sim, Kyoseung; Wang, Xu; Yu, Cunjiang; Li, Yuhang; Linghu, Changhong; Song, Jizhou; Gao, Yang

    2017-01-01

    The considerable need to enhance data and hardware security suggest one possible future for electronics where it is possible to destroy them and even make them disappear physically. This paper reports a type of destructive electronics which features fast transience from chemical dissolution on-demand triggered in an electrochemical-mechanical manner. The detailed materials, mechanics, and device construction of the destructive electronics are presented. Experiment and analysis of the triggered releasing and transience study of electronic materials, resistors and metal-oxide-semiconductor field effect transistors illustrate the key aspects of the destructive electronics. The reported destructive electronics is useful in a wide range of areas from security and defense, to medical applications (paper)

  20. Use of calorie information at fast food and chain restaurants among US youth aged 9-18 years, 2010.

    Science.gov (United States)

    Wethington, H; Maynard, L M; Blanck, H M

    2013-09-01

    To examine whether youth use calorie information when it is available at fast food/chain restaurants and what factors are associated with using this information to make their food selection. A cross-sectional analysis was conducted on a sample of 721 youth (9-18 years) using the 2010 YouthStyles and HealthStyles surveys. The outcome measure was reported use of calorie information at fast food/chain restaurants. Multivariable logistic regression was used to examine the associations between sociodemographic variables and the use of calorie information at fast food/chain restaurants. Of those who visited fast food/chain restaurants, 42.4% reported using calorie information at least sometimes. Girls were more likely than boys (adjusted odds ratio (aOR) = 1.8, 95% confidence interval (CI) = 1.2-2.5) and youth who were obese were more likely than those at a healthy weight (aOR = 1.7, 95% CI = 1.04-2.9) to use calorie information, and youth eating at a fast food/chain restaurant twice a week or more versus once a week or less were half as likely to report using calorie information (aOR = 0.5, 95% CI = 0.4-0.8). Public health education efforts can benefit from research to determine how to increase usage among youth so that their food choices are appropriate for their caloric needs.

  1. The DOe Silicon Track Trigger

    International Nuclear Information System (INIS)

    Steinbrueck, Georg

    2003-01-01

    We describe a trigger preprocessor to be used by the DOe experiment for selecting events with tracks from the decay of long-lived particles. This Level 2 impact parameter trigger utilizes information from the Silicon Microstrip Tracker to reconstruct tracks with improved spatial and momentum resolutions compared to those obtained by the Level 1 tracking trigger. It is constructed of VME boards with much of the logic existing in programmable processors. A common motherboard provides the I/O infrastructure and three different daughter boards perform the tasks of identifying the roads from the tracking trigger data, finding the clusters in the roads in the silicon detector, and fitting tracks to the clusters. This approach provides flexibility for the design, testing and maintenance phases of the project. The track parameters are provided to the trigger framework in 25 μs. The effective impact parameter resolution for high-momentum tracks is 35 μm, dominated by the size of the Tevatron beam

  2. Timing, Trigger and Control Systems for LHC Detectors

    CERN Multimedia

    2002-01-01

    \\\\ \\\\At the LHC, precise bunch-crossing clock and machine orbit signals must be broadcast over distances of several km from the Prevessin Control Room to the four experiment areas and other destinations. At the LHC experiments themselves, quite extensive distribution systems are also required for the transmission of timing, trigger and control (TTC) signals to large numbers of front-end electronics controllers from a single location in the vicinity of the central trigger processor. The systems must control the detector synchronization and deliver the necessary fast signals and messages that are phased with the LHC clock, orbit or bunch structure. These include the bunch-crossing clock, level-1 trigger decisions, bunch and event numbers, as well as test signals and broadcast commands. A common solution to this TTC system requirement is expected to result in important economies of scale and permit a rationalization of the development, operational and support efforts required. LHC Common Project RD12 is developi...

  3. The ALICE Dimuon Trigger Overview and Electronics Prototypes

    CERN Document Server

    Arnaldi, R; Barret, V; Bastid, N; Blanchard, G; Chiavassa, E; Cortese, P; Crochet, Philippe; Dellacasa, G; De Marco, N; Drancourt, C; Dupieux, P; Espagnon, B; Fargeix, J; Ferretti, A; Gallio, M; Genoux-Lubain, A; Lamoine, L; Lefèvre, F; Luquin, Lionel; Manso, F; Métivier, V; Musso, A; Oppedisano, C; Piccotti, A; Royer, L; Roig, O; Rosnet, P; Scalas, E; Scomparin, E; Vercellin, Ermanno

    2000-01-01

    Presentation made at RPC99 and submitted to NIM ALICE is the LHC experiment (2005) dedicated to the study of heavy ion collisions. Amongst the ALICE sub-detectors, the muon spectrometer will investigate the dimuon production from heavy resonance (J/psi, Gamma) decays, which is believed to be a promising signature of the QGP (Quark Gluon Plasma) formation.For maximum efficiency of the spectrometer, a dedicated dimuon trigger is presently built. The detector partis itself based on RPCs operated in streamer mode and is the topic of another contribution to this conference. This paper gives the principle and the simulated performances of the trigger and is also focussed on the description of the electronics prototypes and future developments. The RPCs are read-out by X and Y orthogonal strips: the front-end chips are presently developed. The signals are sent to the trigger electronics which basically performs a pt cut on the tracks to reduce the background. A prototype of fast (decision time 200 ns) programmable e...

  4. Fast valve for the National Synchrotron Light Source

    International Nuclear Information System (INIS)

    Oversluizen, T.

    1981-01-01

    A prototype fast closing, all metal, UHV-compatible valve has been built to protect the NSLS storage rings from sudden vacuum failures which may occur in the experimental beamlines. When triggered, an area of 10 x 140 mm is covered by a spring-driven, guillotine type blade, which forms a high impedance to the inrushing gas. This fast closure assures the protection of the ring vacuum from contamination before the slower UHV valve can be sealed off. Closing times on the order of 3 to 5 msec have been measured. The valve is triggered by a commercial solenoid, powered by a 16,800 μF capacitor bank at 100 volts. Because the valve is situated in a high radiation area, it is remotely resettable

  5. An Alternative Flight Software Trigger Paradigm: Applying Multivariate Logistic Regression to Sense Trigger Conditions Using Inaccurate or Scarce Information

    Science.gov (United States)

    Smith, Kelly M.; Gay, Robert S.; Stachowiak, Susan J.

    2013-01-01

    In late 2014, NASA will fly the Orion capsule on a Delta IV-Heavy rocket for the Exploration Flight Test-1 (EFT-1) mission. For EFT-1, the Orion capsule will be flying with a new GPS receiver and new navigation software. Given the experimental nature of the flight, the flight software must be robust to the loss of GPS measurements. Once the high-speed entry is complete, the drogue parachutes must be deployed within the proper conditions to stabilize the vehicle prior to deploying the main parachutes. When GPS is available in nominal operations, the vehicle will deploy the drogue parachutes based on an altitude trigger. However, when GPS is unavailable, the navigated altitude errors become excessively large, driving the need for a backup barometric altimeter to improve altitude knowledge. In order to increase overall robustness, the vehicle also has an alternate method of triggering the parachute deployment sequence based on planet-relative velocity if both the GPS and the barometric altimeter fail. However, this backup trigger results in large altitude errors relative to the targeted altitude. Motivated by this challenge, this paper demonstrates how logistic regression may be employed to semi-automatically generate robust triggers based on statistical analysis. Logistic regression is used as a ground processor pre-flight to develop a statistical classifier. The classifier would then be implemented in flight software and executed in real-time. This technique offers improved performance even in the face of highly inaccurate measurements. Although the logistic regression-based trigger approach will not be implemented within EFT-1 flight software, the methodology can be carried forward for future missions and vehicles.

  6. The Level 0 Pixel Trigger system for the ALICE experiment

    International Nuclear Information System (INIS)

    Rinella, G Aglieri; Kluge, A; Krivda, M

    2007-01-01

    The ALICE Silicon Pixel Detector contains 1200 readout chips. Fast-OR signals indicate the presence of at least one hit in the 8192 pixel matrix of each chip. The 1200 bits are transmitted every 100 ns on 120 data readout optical links using the G-Link protocol. The Pixel Trigger System extracts and processes them to deliver an input signal to the Level 0 trigger processor targeting a latency of 800 ns. The system is compact, modular and based on FPGA devices. The architecture allows the user to define and implement various trigger algorithms. The system uses advanced 12-channel parallel optical fiber modules operating at 1310 nm as optical receivers and 12 deserializer chips closely packed in small area receiver boards. Alternative solutions with multi-channel G-Link deserializers implemented directly in programmable hardware devices were investigated. The design of the system and the progress of the ALICE Pixel Trigger project are described in this paper

  7. TRIGGER

    CERN Multimedia

    by Wesley Smith

    2010-01-01

    Level-1 Trigger Hardware and Software The overall status of the L1 trigger has been excellent and the running efficiency has been high during physics fills. The timing is good to about 1%. The fine-tuning of the time synchronization of muon triggers is ongoing and will be completed after more than 10 nb-1 of data have been recorded. The CSC trigger primitive and RPC trigger timing have been refined. A new configuration for the CSC Track Finder featured modified beam halo cuts and improved ghost cancellation logic. More direct control was provided for the DT opto-receivers. New RPC Cosmic Trigger (RBC/TTU) trigger algorithms were enabled for collision runs. There is further work planned during the next technical stop to investigate a few of the links from the ECAL to the Regional Calorimeter Trigger (RCT). New firmware and a new configuration to handle trigger rate spikes in the ECAL barrel are also being tested. A board newly developed by the tracker group (ReTRI) has been installed and activated to block re...

  8. Performance of the ATLAS first-level Trigger with first LHC Data

    CERN Document Server

    Lundberg, J; The ATLAS collaboration

    2010-01-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). Its trigger system must reduce the anticipated proton collision rate of up to 40 MHz to a recordable event rate of 100-200 Hz. This is realized through a multi-level trigger system. The first-level trigger is implemented with custom-built electronics and makes an initial selection which reduces the rate to less than 100 kHz. The subsequent trigger selection is done in software run on PC farms. The first-level trigger decision is made by the central-trigger processor using information from coarse grained calorimeter information, dedicated muon-trigger detectors, and a variety of additional trigger inputs from detectors in the forward regions. We present the performance of the first-level trigger during the commissioning of the ATLAS detector during early LHC running. We cover the trigger strategies used during the different machine commissioning phases from first circulating beams and splash events to collisions. It is descri...

  9. A real-time high level trigger system for CALIFA

    Energy Technology Data Exchange (ETDEWEB)

    Gernhaeuser, Roman; Heiss, Benjamin; Klenze, Philipp; Remmels, Patrick; Winkel, Max [Physik Department, Technische Universitaet Muenchen (Germany)

    2016-07-01

    The CALIFA calorimeter with its about 2600 scintillator crystals is a key component of the R{sup 3}B setup. For many experiments CALIFA will have to perform complex trigger decisions depending on the total energy deposition, γ multiplicities or geometrical patterns with a minimal latency. This selection is an essential tool for the accurate preselection of relevant events and provides a significant data reduction. The challenge is to aggregate local trigger information from up to 200 readout modules. The trigger tree transport protocol (T{sup 3}P) will use dedicated FPGA boards and bus systems to collect trigger information and perform hierarchical summations to ensure a trigger decision within 1 μs. The basic concept and implementation of T{sup 3}P are presented together with first tests on a prototype system.

  10. TRIGGER

    CERN Multimedia

    Roberta Arcidiacono

    2013-01-01

    Trigger Studies Group (TSG) The Trigger Studies Group has just concluded its third 2013 workshop, where all POGs presented the improvements to the physics object reconstruction, and all PAGs have shown their plans for Trigger development aimed at the 2015 High Level Trigger (HLT) menu. The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for Trigger menu development, path timing, Trigger performance studies coordination, HLT offline DQM as well as HLT release, menu and conditions validation – this last task in collaboration with PdmV (Physics Data and Monte Carlo Validation group). In the last months the group has delivered several HLT rate estimates and comparisons, using the available data and Monte Carlo samples. The studies were presented at the Trigger workshops in September and December, and STEAM has contacted POGs and PAGs to understand the origin of the discrepancies observed between 8 TeV data and Monte Carlo simulations. The most recent results show what the...

  11. A TRD Trigger for the tevatron collider experiment at D0

    Energy Technology Data Exchange (ETDEWEB)

    Utes, M.; Johnson, M.; Martin, M.

    1991-11-01

    A VME-based module for use as an input to the D0 Detector Level 1.5 Trigger is described. Its main function will be the confirmation of electron candidates flagged by the First Level Calorimeter Trigger using digitized data from the Transition Radiation Detector. Features of the board include the use of fast FIFOs to store incoming track coordinates, dual ported SRAM lookup tables for addressing integrated charge data and forming scalars, multiplier/accumulators for speed of calculation, and a single synchronous finite state machine to control all board operations. 4 refs., 3 figs.

  12. Track Reconstruction and b-Jet Identification for the ATLAS Trigger System

    CERN Document Server

    Coccaro, A; The ATLAS collaboration

    2011-01-01

    A sophisticated trigger system, capable of real-time track reconstruction, is in place in the ATLAS experiment, to reject most of the events containing uninteresting background collisions while preserving as much as possible the interesting physics signals. The strategy adopted for fast reconstruction of charged tracks and in particular its application to the selection of jets from the hadronization of b-quarks is reviewed. Track reconstruction is routinely used for selection based on various physics objects while b-jet triggers are actively selecting events from the beginning of the 2011 LHC data-taking campaign.

  13. A TRD Trigger for the tevatron collider experiment at D0

    International Nuclear Information System (INIS)

    Utes, M.; Johnson, M.; Martin, M.

    1991-11-01

    A VME-based module for use as an input to the D0 Detector Level 1.5 Trigger is described. Its main function will be the confirmation of electron candidates flagged by the First Level Calorimeter Trigger using digitized data from the Transition Radiation Detector. Features of the board include the use of fast FIFOs to store incoming track coordinates, dual ported SRAM lookup tables for addressing integrated charge data and forming scalars, multiplier/accumulators for speed of calculation, and a single synchronous finite state machine to control all board operations. 4 refs., 3 figs

  14. Front-end Intelligence for triggering and local track recognition in Gas Pixel Detectors

    CERN Document Server

    Hessey, NP; The ATLAS collaboration; van der Graaf, H; Vermeulen, J; Jansweijer, P; Romaniouk, A

    2012-01-01

    The combination of gaseous detectors with pixel readout chips gives unprecedented hit resolution (improving from O(100 um) for wire chambers to 10 um), as well as high-rate capability, low radiation length and giving in addition angular information on the local track. These devices measure individually every electron liberated by the passage of a charged particle, leading to a large quantity of data to be read out. Typically an external trigger is used to start the read-out. We are investigating the addition of local intelligence to the pixel read-out chip. A first level of processing detects the passage of a particle through the gas volume, and accurately determines the time of passage. A second level measures in an approximate but fast way the tilt-angle of the track. This can be used to trigger a third stage in which all hits associated to the track are processed locally to give a least-squares-fit to the track. The chip can then send out just the fitted track parameters instead of the individual electron ...

  15. A Fastbus module for trigger applications based on a digital signal processor and on programmable gate arrays

    International Nuclear Information System (INIS)

    Battaiotto, P.; Colavita, A.; Fratnik, F.; Lanceri, L.; Udine Univ.

    1991-01-01

    The new generation of DSP microprocessors based on RISC and Harvard-like architectures can conveniently take the place of specially built processors in fast trigger circuits for high-energy physics experiments. Presently available programmable gate arrays are well matched to them in speed and contribute to simplify the design of trigger circuits. Using these components, we designed and constructed a Fastbus module. We describe an application for the total-energy trigger of DELPHI, performing the readout of digitized calorimeter trigger data and some simple computations in less than 3 μs. (orig.)

  16. The Advanced Gamma-ray Imaging System (AGIS): Real Time Stereoscopic Array Trigger

    Science.gov (United States)

    Byrum, K.; Anderson, J.; Buckley, J.; Cundiff, T.; Dawson, J.; Drake, G.; Duke, C.; Haberichter, B.; Krawzcynski, H.; Krennrich, F.; Madhavan, A.; Schroedter, M.; Smith, A.

    2009-05-01

    Future large arrays of Imaging Atmospheric Cherenkov telescopes (IACTs) such as AGIS and CTA are conceived to comprise of 50 - 100 individual telescopes each having a camera with 10**3 to 10**4 pixels. To maximize the capabilities of such IACT arrays with a low energy threshold, a wide field of view and a low background rate, a sophisticated array trigger is required. We describe the design of a stereoscopic array trigger that calculates image parameters and then correlates them across a subset of telescopes. Fast Field Programmable Gate Array technology allows to use lookup tables at the array trigger level to form a real-time pattern recognition trigger tht capitalizes on the multiple view points of the shower at different shower core distances. A proof of principle system is currently under construction. It is based on 400 MHz FPGAs and the goal is for camera trigger rates of up to 10 MHz and a tunable cosmic-ray background suppression at the array level.

  17. Towards a Level-1 Tracking Trigger for the ATLAS Experiment

    CERN Document Server

    De Santo, A; The ATLAS collaboration

    2016-01-01

    In preparation for the high-luminosity phase of the Large Hadron Collider, ATLAS is planning a trigger upgrade that will enable the experiment to use tracking information already at the first trigger level. This will provide enhanced background rejection power at trigger level while preserving much needed flexibility for the trigger system. The status and current plans for the new ATLAS Level-1 tracking trigger are presented.

  18. TRIGGER

    CERN Multimedia

    W. Smith

    2010-01-01

    Level-1 Trigger Hardware and Software The Level-1 Trigger hardware has performed well during both the recent proton-proton and heavy ion running. Efforts were made to improve the visibility and handling of alarms and warnings. The tracker ReTRI boards that prevent fixed frequencies of Level-1 Triggers are now configured through the Trigger Supervisor. The Global Calorimeter Trigger (GCT) team has introduced a buffer cleanup procedure at stops and a reset of the QPLL during configuring to ensure recalibration in case of a switch from the LHC clock to the local clock. A device to test the cables between the Regional Calorimeter Trigger and the GCT has been manufactured. A wrong charge bit was fixed in the CSC Trigger. The ECAL group is improving crystal masking and spike suppression in the trigger primitives. New firmware for the Drift Tube Track Finder (DTTF) sorters was developed to improve fake track tagging and sorting. Zero suppression was implemented in the DT Sector Collector readout. The track finder b...

  19. TRIGGER

    CERN Multimedia

    Wesley Smith

    Trigger Hardware The status of the trigger components was presented during the September CMS Week and Annual Review and at the monthly trigger meetings in October and November. Procedures for cold and warm starts (e.g. refreshing of trigger parameters stored in registers) of the trigger subsystems have been studied. Reviews of parts of the Global Calorimeter Trigger (GCT) and the Global Trigger (GT) have taken place in October and November. The CERN group summarized the status of the Trigger Timing and Control (TTC) system. All TTC crates and boards are installed in the underground counting room, USC55. The central clock system will be upgraded in December (after the Global Run at the end of November GREN) to the new RF2TTC LHC machine interface timing module. Migration of subsystem's TTC PCs to SLC4/ XDAQ 3.12 is being prepared. Work is on going to unify the access to Local Timing Control (LTC) and TTC CMS interface module (TTCci) via SOAP (Simple Object Access Protocol, a lightweight XML-based messaging ...

  20. A Topological Array Trigger for AGIS, the Advanced Gamma ray Imaging System

    Science.gov (United States)

    Krennrich, F.; Anderson, J.; Buckley, J.; Byrum, K.; Dawson, J.; Drake, G.; Haberichter, W.; Imran, A.; Krawczynski, H.; Kreps, A.; Schroedter, M.; Smith, A.

    2008-12-01

    Next generation ground based γ-ray observatories such as AGIS1 and CTA2 are expected to cover a 1 km2 area with 50-100 imaging atmospheric Cherenkov telescopes. The stereoscopic view ol air showers using multiple view points raises the possibility to use a topological array trigger that adds substantial flexibility, new background suppression capabilities and a reduced energy threshold. In this paper we report on the concept and technical implementation of a fast topological trigger system, that makes use of real time image processing of individual camera patterns and their combination in a stereoscopic array analysis. A prototype system is currently under construction and we discuss the design and hardware of this topological array trigger system.

  1. Reducing preoperative fasting time: A trend based on evidence.

    Science.gov (United States)

    de Aguilar-Nascimento, José Eduardo; Dock-Nascimento, Diana Borges

    2010-03-27

    Preoperative fasting is mandatory before anesthesia to reduce the risk of aspiration. However, the prescribed 6-8 h of fasting is usually prolonged to 12-16 h for various reasons. Prolonged fasting triggers a metabolic response that precipitates gluconeogenesis and increases the organic response to trauma. Various randomized trials and meta-analyses have consistently shown that is safe to reduce the preoperative fasting time with a carbohydrate-rich drink up to 2 h before surgery. Benefits related to this shorter preoperative fasting include the reduction of postoperative gastrointestinal discomfort and insulin resistance. New formulas containing amino acids such as glutamine and other peptides are being studied and are promising candidates to be used to reduce preoperative fasting time.

  2. The ATLAS Level-1 Trigger Timing Setup

    CERN Document Server

    Spiwoks, R; Ellis, Nick; Farthouat, P; Gällnö, P; Haller, J; Krasznahorkay, A; Maeno, T; Pauly, T; Pessoa-Lima, H; Resurreccion-Arcas, I; Schuler, G; De Seixas, J M; Torga-Teixeira, R; Wengler, T

    2005-01-01

    The ATLAS detector at CERN's LHC will be exposed to proton-proton collisions at a bunch-crossing rate of 40 MHz. In order to reduce the data rate, a three-level trigger system selects potentially interesting physics. The first trigger level is implemented in electronics and firmware. It aims at reducing the output rate to less than 100 kHz. The Central Trigger Processor combines information from the calorimeter and muon trigger processors and makes the final Level-1-Accept decision. It is a central element in the timing setup of the experiment. Three aspects are considered in this article: the timing setup with respect to the Level-1 trigger, with respect to the expriment, and with respect to the world.

  3. FTK: the hardware Fast TracKer of the ATLAS experiment at CERN

    CERN Document Server

    Maznas, Ioannis; The ATLAS collaboration

    2016-01-01

    FTK: the hardware Fast TracKer of the ATLAS experiment at CERN In the ever increasing pile-up of the Large Hadron Collider environment, the trigger systems of the experiments have to be exceedingly sophisticated and fast at the same time, in order to select the relevant physics processes against the background processes. The Fast TracKer (FTK) is a track finding implementation at hardware level that is designed to deliver full-scan tracks with $p_{T}$ above 1 GeV to the ATLAS trigger system for every L1 accept (at a maximum rate of 100kHz). To accomplish this, FTK is a highly parallel system which is currently under installation in ATLAS. It will first provide the trigger system with tracks in the central region of the ATLAS detector, and next year it is expected to cover the whole detector. The system is based on pattern matching between hits coming from the silicon trackers of the ATLAS detector and 1 billion simulated patterns stored in specially designed ASIC chips (Associative memory – AM06). In a firs...

  4. The ATLAS Level-1 Topological Trigger Performance

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00371751; The ATLAS collaboration

    2016-01-01

    The LHC will collide protons in the ATLAS detector with increasing luminosity through 2016, placing stringent operational and physical requirements to the ATLAS trigger system in order to reduce the 40 MHz collision rate to a manageable event storage rate of 1 kHz, while not rejecting interesting physics events. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system with an output rate of 100 kHz and decision latency smaller than 2.5 μs. It consists of a calorimeter trigger, muon trigger and a central trigger processor. During the LHC shutdown after the Run 1 finished in 2013, the Level-1 trigger system was upgraded including hardware, firmware and software updates. In particular, new electronics modules were introduced in the real-time data processing path: the Topological Processor System (L1Topo). It consists of a single AdvancedCTA shelf equipped with two Level-1 topological processor blades. They receive real-time information from the Level-1 calorimeter and muon triggers, which...

  5. The ZEUS calorimeter first level trigger

    International Nuclear Information System (INIS)

    Smith, W.H.; Ali, I.; Behrens, B.; Fordham, C.; Foudas, C.; Goussiou, A.; Jaworski, M.; Kinnel, T.; Lackey, J.; Robl, P.; Silverstein, S.; Dawson, J.W.; Krakauer, D.A.; Talaga, R.L.; Schlereth, J.L.

    1994-10-01

    The design of the ZEUS Calorimeter First Level Trigger (CFLT) is presented. The CFLT utilizes a pipelined architecture to provide trigger data for a global first leel trigger decision 5 μsec after each beam crossing, occurring every 96 nsec. The charges from 13K phototubes are summed into 1792 trigger tower pulseheights which are digitized by flash ADC's. The digital values are linearized, stored and used for sums and pattern tests. Summary data is forwarded to the Global First Level Trigger for each crossing 2 μsec after the crossing occurred. The CFLT determines the total energy, the total transverse energy, the missing energy, and the energy and number of isolated electrons and muons. It also provides information on the electromagnetic and hadronic energy deposited in various regions of the calorimeter. The CFLT has kept the experimental trigger rate below ∼200 Hz at the highest luminosity experienced at HERA. Performance studies suggest that the CFLT will keep the trigger rate below 1 kHZ against a rate of proton-beam gas interactions on the order of the 100 kHz expected at design luminosity. (orig.)

  6. Level-1 Calorimeter Trigger starts firing

    CERN Multimedia

    Stephen Hillier

    2007-01-01

    L1Calo is one of the major components of ATLAS First Level trigger, along with the Muon Trigger and Central Trigger Processor. It forms all of the first-level calorimeter-based triggers, including electron, jet, tau and missing ET. The final system consists of over 250 custom designed 9U VME boards, most containing a dense array of FPGAs or ASICs. It is subdivided into a PreProcessor, which digitises the incoming trigger signals from the Liquid Argon and Tile calorimeters, and two separate processor systems, which perform the physics algorithms. All of these are highly flexible, allowing the possibility to adapt to beam conditions and luminosity. All parts of the system are read out through Read-Out Drivers, which provide monitoring data and Region of Interest (RoI) information for the Level-2 trigger. Production of the modules is now essentially complete, and enough modules exist to populate the full scale system in USA15. Installation is proceeding rapidly - approximately 90% of the final modules are insta...

  7. The Fast Tracker Real Time Processor

    CERN Document Server

    Annovi, A; The ATLAS collaboration

    2011-01-01

    As the LHC luminosity is ramped up to the SLHC Phase I level and beyond, the high rates, multiplicities, and energies of particles seen by the detectors will pose a unique challenge. Only a tiny fraction of the produced collisions can be stored on tape and immense real-time data reduction is needed. An effective trigger system must maintain high trigger efficiencies for the physics we are most interested in, and at the same time suppress the enormous QCD backgrounds. This requires massive computing power to minimize the online execution time of complex algorithms. A multi-level trigger is an effective solution for an otherwise impossible problem. The Fast Tracker (FTK)[1], is a proposed upgrade to the current ATLAS trigger system that will operate at full Level-1 output rates and provide high quality tracks reconstructed over the entire detector by the start of processing in Level-2. FTK solves the combinatorial challenge inherent to tracking by exploiting massive parallelism of associative memories [2] that ...

  8. Event-triggered attitude control of spacecraft

    Science.gov (United States)

    Wu, Baolin; Shen, Qiang; Cao, Xibin

    2018-02-01

    The problem of spacecraft attitude stabilization control system with limited communication and external disturbances is investigated based on an event-triggered control scheme. In the proposed scheme, information of attitude and control torque only need to be transmitted at some discrete triggered times when a defined measurement error exceeds a state-dependent threshold. The proposed control scheme not only guarantees that spacecraft attitude control errors converge toward a small invariant set containing the origin, but also ensures that there is no accumulation of triggering instants. The performance of the proposed control scheme is demonstrated through numerical simulation.

  9. Simulation of the ATLAS New Small Wheel trigger

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00399900; The ATLAS collaboration

    2018-01-01

    The instantaneous luminosity of the LHC will increase up to a factor of seven with respect to the original design value to explore physics at higher energy scale. The inner station of the ATLAS muon end-cap system (Small Wheel) will be replaced by the New Small Wheel (NSW) to benefit from the high luminosity. The NSW will provide precise track-segment information to the Level-1 trigger system in order to suppress the trigger rate from fake muon tracks. This article summarizes the NSW trigger decision system and track-segment finding algorithm implemented in the trigger processor, and discusses results of performance studies on the trigger system. The results demonstrate that the NSW trigger system is capable of working with good performance satisfying the requirements.

  10. Use of parallel counters for triggering

    International Nuclear Information System (INIS)

    Nikityuk, N.M.

    1991-01-01

    Results of investigation of using parallel counters, majority coincidence schemes, parallel compressors for triggering in multichannel high energy spectrometers are described. Concrete examples of methods of constructing fast and economic new devices used to determine multiplicity hits t>900 registered in a hodoscopic plane and a pixel detector are given. For this purpose the author uses the syndrome coding method and cellular arrays. In addition, an effective coding matrix has been created which can be used for light signal coding. For example, such signals are supplied from scintillators to photomultipliers. 23 refs.; 21 figs

  11. The ATLAS Fast Tracker Processing Units - track finding and fitting

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00384270; The ATLAS collaboration; Alison, John; Ancu, Lucian Stefan; Andreani, Alessandro; Annovi, Alberto; Beccherle, Roberto; Beretta, Matteo; Biesuz, Nicolo Vladi; Bogdan, Mircea Arghir; Bryant, Patrick; Calabro, Domenico; Citraro, Saverio; Crescioli, Francesco; Dell'Orso, Mauro; Donati, Simone; Gentsos, Christos; Giannetti, Paola; Gkaitatzis, Stamatios; Gramling, Johanna; Greco, Virginia; Horyn, Lesya Anna; Iovene, Alessandro; Kalaitzidis, Panagiotis; Kim, Young-Kee; Kimura, Naoki; Kordas, Kostantinos; Kubota, Takashi; Lanza, Agostino; Liberali, Valentino; Luciano, Pierluigi; Magnin, Betty; Sakellariou, Andreas; Sampsonidis, Dimitrios; Saxon, James; Shojaii, Seyed Ruhollah; Sotiropoulou, Calliope Louisa; Stabile, Alberto; Swiatlowski, Maximilian; Volpi, Guido; Zou, Rui; Shochet, Mel

    2016-01-01

    The Fast Tracker is a hardware upgrade to the ATLAS trigger and data-acquisition system, with the goal of providing global track reconstruction by the start of the High Level Trigger starts. The Fast Tracker can process incoming data from the whole inner detector at full first level trigger rate, up to 100 kHz, using custom electronic boards. At the core of the system is a Processing Unit installed in a VMEbus crate, formed by two sets of boards: the Associative Memory Board and a powerful rear transition module called the Auxiliary card, while the second set is the Second Stage board. The associative memories perform the pattern matching looking for correlations within the incoming data, compatible with track candidates at coarse resolution. The pattern matching task is performed using custom application specific integrated circuits, called associative memory chips. The auxiliary card prepares the input and reject bad track candidates obtained from from the Associative Memory Board using the full precision a...

  12. TRIGGER

    CERN Multimedia

    Wesley Smith

    Level-1 Trigger Hardware and Software The final parts of the Level-1 trigger hardware are now being put in place. For the ECAL endcaps, more than half of the Trigger Concentrator Cards for the ECAL Endcap (TCC-EE) are now available at CERN, such that one complete endcap can be covered. The Global Trigger now correctly handles ECAL calibration sequences, without being influenced by backpressure. The Regional Calorimeter Trigger (RCT) hardware is complete and working in USC55. Intra-crate tests of all 18 RCT crates and the Global Calorimeter Trigger (GCT) are regularly taking place. Pattern tests have successfully captured data from HCAL through RCT to the GCT Source Cards. HB/HE trigger data are being compared with emulator results to track down the very few remaining hardware problems. The treatment of hot and dead cells, including their recording in the database, has been defined. For the GCT, excellent agreement between the emulator and data has been achieved for jets and HF ET sums. There is still som...

  13. TRIGGER

    CERN Multimedia

    R. Carlin with contributions from D. Acosta

    2012-01-01

    Level-1 Trigger Data-taking continues at cruising speed, with high availability of all components of the Level-1 trigger. We have operated the trigger up to a luminosity of 7.6E33, where we approached 100 kHz using the 7E33 prescale column.  Recently, the pause without triggers in case of an automatic "RESYNC" signal (the "settle" and "recover" time) was reduced in order to minimise the overall dead-time. This may become very important when the LHC comes back with higher energy and luminosity after LS1. We are also preparing for data-taking in the proton-lead run in early 2013. The CASTOR detector will make its comeback into CMS and triggering capabilities are being prepared for this. Steps to be taken include improved cooperation with the TOTEM trigger system and using the LHC clock during the injection and ramp phases of LHC. Studies are being finalised that will have a bearing on the Trigger Technical Design Report (TDR), which is to be rea...

  14. Implementation and synchronisation of the First Level Global Trigger for the CMS experiment at LHC

    International Nuclear Information System (INIS)

    Taurok, A.; Bergauer, H.; Padrta, M.

    2001-01-01

    The hardware implementation of the First Level Global Trigger for the Compact Muon Solenoid experiment at the CERN Large Hadron Collider is described. Special emphasis is given to the algorithm logic and the synchronisation procedure. Up to 128 different trigger algorithms are calculated in parallel by the Global Trigger (GT) for every beam crossing taking place at 25 ns intervals. Already, at the first trigger level the GT is able to select complex topological event configurations by performing fast calculations. The electronics is based on VME and relies completely on Field Programmable Gate Arrays (FPGA) technology. The electronic circuits are optimised for speed by exploiting, to a great extent, the small look-up tables provided in the FPGA chips

  15. Design studies for the Double Chooz trigger

    International Nuclear Information System (INIS)

    Cucoanes, Andi Sebastian

    2009-01-01

    will handle the inner veto signals and the additional trigger inputs. The work presented in this thesis establishes the trigger algorithm as result of the trigger efficiency optimization. The efficiency parameters are obtained from fits of Monte Carlo simulation data. Various possible influences are considered, the resulted algorithm being able to sustain the trigger goals for all kinds of events. Also presented is a method for measuring the trigger efficiency based on the redundancy of the two target trigger boards. Cosmogenic muons are the dominant source of the Double Chooz triggered events. For the near detector, the foreseen muon rate is ∝250 Hz. The DAQ system is unable to sustain the full read-out of the detector at such high frequency. As consequence, the triggered events are treated differently, regarding their importance for future analysis. For physics events, the full available information is saved, the offline data for background muons will contain only summary information. The trigger algorithm is able to identify ''special'' muons classes, for which the full detector read-out is performed. The muon recognition is based on the energy depositions from all detector regions and on the ''topological'' information provided by groups of inner veto photomultipliers. (orig.)

  16. Triggering at Isabelle; the first 200 nanoseconds

    International Nuclear Information System (INIS)

    Abshire, G.; Kondo, K.; Sculli, J.; Johnson, R.A.; Morris, G.R.

    1978-07-01

    At full luminosity of ISABELLE and with an anticipated pp total cross section of 60 mb, there will be, on the average, one interaction every 70 ns. At the other end of the spectrum, it will probably take about 10 ms to store a complete event onto magnetic tape. In between these two extremes comes a triggering scheme which must select the one event out of 14,285,714 that is of interest to the experimenter. In a typical experiment, a certain small number of signals will come into an electronics trailer on cables that are as short as possible and have propagation velocities as high as possible. With these signals a fast decision will be made as to whether the rest of the information coming in on the slower, longer cables should be further processed or not. The typical length of time for this decision is 200 ns. Some of the problems arising during that first 200 ns are considered, and some examples of attempts to solve those problems are given

  17. The readout system and the trigger algorithm implementation for the UFFO Pathfinder

    DEFF Research Database (Denmark)

    Na, G.W.; Ahmad, S.; Barrillon, P.

    2012-01-01

    ) Pathfinder, to take the sub-minute data for the early photons from GRB. The UFFO Pathfinder has a coded-mask X-ray camera to search the GRB location by the UBAT trigger algorithm. To determine the direction of GRB as soon as possible it requires the fast processing. We have ultimately implemented all...... have been measured within a minute after the gamma ray signal. This lack of sub-minute data limits the study for the characteristics of the UV-optical light curve of the short-hard type GRB and the fast-rising GRB. Therefore, we have developed the telescope named the Ultra-Fast Flash Observatory (UFFO...

  18. Polarization preserving ultra fast optical shutter for quantum information processing

    OpenAIRE

    Spagnolo, Nicolo'; Vitelli, Chiara; Giacomini, Sandro; Sciarrino, Fabio; De Martini, Francesco

    2008-01-01

    We present the realization of a ultra fast shutter for optical fields, which allows to preserve a generic polarization state, based on a self-stabilized interferometer. It exhibits high (or low) transmittivity when turned on (or inactive), while the fidelity of the polarization state is high. The shutter is realized through two beam displacing prisms and a longitudinal Pockels cell. This can represent a useful tool for controlling light-atom interfaces in quantum information processing.

  19. Challenges of Remote Sensing and Spatial Information Education and Technology Transfer in a Fast Developing Industry

    Science.gov (United States)

    Tsai, F.; Chen, L.-C.

    2014-04-01

    During the past decade, Taiwan has experienced an unusual and fast growing in the industry of mapping, remote sensing, spatial information and related markets. A successful space program and dozens of advanced airborne and ground-based remote sensing instruments as well as mobile mapping systems have been implemented and put into operation to support the vast demands of geospatial data acquisition. Moreover, in addition to the government agencies and research institutes, there are also tens of companies in the private sector providing geo-spatial data and services. However, the fast developing industry is also posing a great challenge to the education sector in Taiwan, especially the higher education for geo-spatial information. Facing this fast developing industry, the demands of skilled professionals and new technologies in order to address diversified needs are indubitably high. Consequently, while delighting in the expanding and prospering benefitted from the fast growing industry, how to fulfill these demands has become a challenge for the remote sensing and spatial information disciplines in the higher education institutes in Taiwan. This paper provides a brief insight into the status of the remote sensing and spatial information industry in Taiwan as well as the challenges of the education and technology transfer to support the increasing demands and to ensure the continuous development of the industry. In addition to the report of the current status of the remote sensing and spatial information related courses and programs in the colleges and universities, current and potential threatening issues and possible resolutions are also discussed in different points of view.

  20. Upgrade of the CMS Global Muon Trigger

    CERN Document Server

    Jeitler, Manfred; Rabady, Dinyar; Sakulin, Hannes; Stahl, Achim

    2015-01-01

    The increase in center-of-mass energy and luminosity for Run-II of the Large Hadron Collider poses new challenges for the trigger systems of the experiments. To keep triggering with a similar performance as in Run-I, the CMS muon trigger is currently being upgraded. The new algorithms will provide higher resolution, especially for the muon transverse momentum and will make use of isolation criteria that combine calorimeter with muon information already in the level-1 trigger. The demands of the new algorithms can only be met by upgrading the level-1 trigger system to new powerful FPGAs with high bandwidth I/O. The processing boards will be based on the new μTCA standard. We report on the planned algorithms for the upgraded Global Muon Trigger (μGMT) which sorts and removes duplicates from boundaries of the muon trigger sub-systems. Furthermore, it determines how isolated the muon candidates are based on calorimetric energy deposits. The μGMT will be implemented using a processing board that features a larg...

  1. Wave–particle resonances and redistribution/losses of fast ions in tokamaks

    International Nuclear Information System (INIS)

    Nabais, F.; Borba, D.; Kiptily, V.G.; Pinches, S.D.; Sharapov, S.E.

    2012-01-01

    Enhanced fast ion losses, mostly in the range of energies from around 1.2 to 2.4 MeV, were measured during the activity of tornado modes in the JET tokamak. Tornado modes are TAE localized inside the q = 1 surface, which do not extend to the outer regions of the plasma. Thus, it is necessary to find an explanation on how such modes can lead to the loss of fast ions. In this paper, a mechanism that allows explaining the loss of fast ions triggered by tornado modes is proposed. This mechanism is based on the combined effect of tornado modes and global TAEs over the fast ions (global TAEs were always observed along with the tornado modes in the experiments in which enhanced losses were measured). Tornado modes would trigger the process of loss by resonantly interacting with the fast ions near the centre of the plasma and transporting the ions to a more peripheral region where tornado modes and global TAEs coexist. The TAE would then transport convectively the fast ions, most efficiently through the first bounce resonances (p = 1), all the way to the plasma edge eventually leading to its loss. This mechanism of loss is supported by calculations carried out with the CASTOR-K code. (paper)

  2. Event-triggered cooperative target tracking in wireless sensor networks

    Directory of Open Access Journals (Sweden)

    Lu Kelin

    2016-10-01

    Full Text Available Since the issues of low communication bandwidth supply and limited battery capacity are very crucial for wireless sensor networks, this paper focuses on the problem of event-triggered cooperative target tracking based on set-membership information filtering. We study some fundamental properties of the set-membership information filter with multiple sensor measurements. First, a sufficient condition is derived for the set-membership information filter, under which the boundedness of the outer ellipsoidal approximation set of the estimation means is guaranteed. Second, the equivalence property between the parallel and sequential versions of the set-membership information filter is presented. Finally, the results are applied to a 1D event-triggered target tracking scenario in which the negative information is exploited in the sense that the measurements that do not satisfy the triggering conditions are modelled as set-membership measurements. The tracking performance of the proposed method is validated with extensive Monte Carlo simulations.

  3. The CMS Barrel Muon trigger upgrade

    International Nuclear Information System (INIS)

    Triossi, A.; Sphicas, P.; Bellato, M.; Montecassiano, F.; Ventura, S.; Ruiz, J.M. Cela; Bedoya, C. Fernandez; Tobar, A. Navarro; Fernandez, I. Redondo; Ferrero, D. Redondo; Sastre, J.; Ero, J.; Wulz, C.; Flouris, G.; Foudas, C.; Loukas, N.; Mallios, S.; Paradas, E.; Guiducci, L.; Masetti, G.

    2017-01-01

    The increase of luminosity expected by LHC during Phase1 will impose tighter constraints for rate reduction in order to maintain high efficiency in the CMS Level1 trigger system. The TwinMux system is the early layer of the muon barrel region that concentrates the information from different subdetectors: Drift Tubes, Resistive Plate Chambers and Outer Hadron Calorimeter. It arranges the slow optical trigger links from the detector chambers into faster links (10 Gbps) that are sent in multiple copies to the track finders. Results from collision runs, that confirm the satisfactory operation of the trigger system up to the output of the barrel track finder, will be shown.

  4. The LHCb trigger in Run II

    CERN Document Server

    Michielin, Emanuele

    2016-01-01

    The LHCb trigger system has been upgraded to allow alignment, calibration and physics analysis to be performed in real time. An increased CPU capacity and improvements in the software have allowed lifetime unbiased selections of beauty and charm decays in the high level trigger. Thanks to offline quality event reconstruction already available online, physics analyses can be performed directly on this information and for the majority of charm physics selections a reduced event format can be written out. Beauty hadron decays are more efficiently triggered by re-optimised inclusive selections, and the HLT2 output event rate is increased by a factor of three.

  5. TRIGGER

    CERN Multimedia

    W. Smith

    At the March meeting, the CMS trigger group reported on progress in production, tests in the Electronics Integration Center (EIC) in Prevessin 904, progress on trigger installation in the underground counting room at point 5, USC55, the program of trigger pattern tests and vertical slice tests and planning for the Global Runs starting this summer. The trigger group is engaged in the final stages of production testing, systems integration, and software and firmware development. Most systems are delivering final tested electronics to CERN. The installation in USC55 is underway and integration testing is in full swing. A program of orderly connection and checkout with subsystems and central systems has been developed. This program includes a series of vertical subsystem slice tests providing validation of a portion of each subsystem from front-end electronics through the trigger and DAQ to data captured and stored. After full checkout, trigger subsystems will be then operated in the CMS Global Runs. Continuous...

  6. TRIGGER

    CERN Multimedia

    Wesley Smith

    2011-01-01

    Level-1 Trigger Hardware and Software New Forward Scintillating Counters (FSC) for rapidity gap measurements have been installed and integrated into the Trigger recently. For the Global Muon Trigger, tuning of quality criteria has led to improvements in muon trigger efficiencies. Several subsystems have started campaigns to increase spares by recovering boards or producing new ones. The barrel muon sector collector test system has been reactivated, new η track finder boards are in production, and φ track finder boards are under revision. In the CSC track finder, an η asymmetry problem has been corrected. New pT look-up tables have also improved efficiency. RPC patterns were changed from four out of six coincident layers to three out of six in the barrel, which led to a significant increase in efficiency. A new PAC firmware to trigger on heavy stable charged particles allows looking for chamber hit coincidences in two consecutive bunch-crossings. The redesign of the L1 Trigger Emulator...

  7. TRIGGER

    CERN Multimedia

    W. Smith from contributions of C. Leonidopoulos, I. Mikulec, J. Varela and C. Wulz.

    Level-1 Trigger Hardware and Software Over the past few months, the Level-1 trigger has successfully recorded data with cosmic rays over long continuous stretches as well as LHC splash events, beam halo, and collision events. The L1 trigger hardware, firmware, synchronization, performance and readiness for beam operation were reviewed in October. All L1 trigger hardware is now installed at Point 5, and most of it is completely commissioned. While the barrel ECAL Trigger Concentrator Cards are fully operational, the recently delivered endcap ECAL TCC system is still being commissioned. For most systems there is a sufficient number of spares available, but for a few systems additional reserve modules are needed. It was decided to increase the overall L1 latency by three bunch crossings to increase the safety margin for trigger timing adjustments. In order for CMS to continue data taking during LHC frequency ramps, the clock distribution tree needs to be reset. The procedures for this have been tested. A repl...

  8. Flexible trigger menu implementation on the Global Trigger for the CMS Level-1 trigger upgrade

    Science.gov (United States)

    MATSUSHITA, Takashi; CMS Collaboration

    2017-10-01

    The CMS experiment at the Large Hadron Collider (LHC) has continued to explore physics at the high-energy frontier in 2016. The integrated luminosity delivered by the LHC in 2016 was 41 fb-1 with a peak luminosity of 1.5 × 1034 cm-2s-1 and peak mean pile-up of about 50, all exceeding the initial estimations for 2016. The CMS experiment has upgraded its hardware-based Level-1 trigger system to maintain its performance for new physics searches and precision measurements at high luminosities. The Global Trigger is the final step of the CMS Level-1 trigger and implements a trigger menu, a set of selection requirements applied to the final list of objects from calorimeter and muon triggers, for reducing the 40 MHz collision rate to 100 kHz. The Global Trigger has been upgraded with state-of-the-art FPGA processors on Advanced Mezzanine Cards with optical links running at 10 GHz in a MicroTCA crate. The powerful processing resources of the upgraded system enable implementation of more algorithms at a time than previously possible, allowing CMS to be more flexible in how it handles the available trigger bandwidth. Algorithms for a trigger menu, including topological requirements on multi-objects, can be realised in the Global Trigger using the newly developed trigger menu specification grammar. Analysis-like trigger algorithms can be represented in an intuitive manner and the algorithms are translated to corresponding VHDL code blocks to build a firmware. The grammar can be extended in future as the needs arise. The experience of implementing trigger menus on the upgraded Global Trigger system will be presented.

  9. Availability and accessibility of healthier options and nutrition information at New Zealand fast food restaurants.

    Science.gov (United States)

    Chand, Ashmita; Eyles, Helen; Ni Mhurchu, Cliona

    2012-02-01

    The aim of this study was to assess the availability of healthier options and nutrition information at major New Zealand fast food chains. A cross-sectional survey was undertaken at 24 fast food stores (two from each of 12 major chains) using on-site visits, telephone calls, and website searches. Of available products, only 234/1126 (21%) were healthier options. Healthier options were generally cheaper and lower in energy, total fat, saturated fat, sugar, and sodium per serve than their regular counterparts. Regular options were commonly high in sugar or sodium per serve (mean sugar content of beverages=56 g (11 teaspoons) and sodium content of burgers and pasta=1095 mg and 1172 mg, respectively). Nutrition information was available at 11/12 (92%) restaurant chains (range=0% at Tank Juice to 99% at Domino's Pizza). However, nutrition in the New Zealand fast food restaurant setting. Implications of these findings for policy and food industry include: consideration of mandatory menu labelling, increasing the percentage of healthier options available, and improving the nutrient content of regular options at New Zealand fast food restaurants. Copyright © 2011 Elsevier Ltd. All rights reserved.

  10. The Ultra-Fast Flash Observatory’s space GRB mission and science

    DEFF Research Database (Denmark)

    Lim, H.; Ahmad, S.; Barrillon, P.

    2012-01-01

    (FOV) and is composed of the coded mask, a hopper and a detector module. The SMT has a fast rotatable mirror which allows a fast UV-optical detection after the trigger. The telescope is a modified Ritchey-Chr´etien telescope with the aperture size of 10 cm diameter, and an image intensifier readout...

  11. ALICE High Level Trigger

    CERN Multimedia

    Alt, T

    2013-01-01

    The ALICE High Level Trigger (HLT) is a computing farm designed and build for the real-time, online processing of the raw data produced by the ALICE detectors. Events are fully reconstructed from the raw data, analyzed and compressed. The analysis summary together with the compressed data and a trigger decision is sent to the DAQ. In addition the reconstruction of the events allows for on-line monitoring of physical observables and this information is provided to the Data Quality Monitor (DQM). The HLT can process event rates of up to 2 kHz for proton-proton and 200 Hz for Pb-Pb central collisions.

  12. Design studies for the Double Chooz trigger

    Energy Technology Data Exchange (ETDEWEB)

    Cucoanes, Andi Sebastian

    2009-07-24

    them receiving signals from half of the photomultipliers. A third trigger board will handle the inner veto signals and the additional trigger inputs. The work presented in this thesis establishes the trigger algorithm as result of the trigger efficiency optimization. The efficiency parameters are obtained from fits of Monte Carlo simulation data. Various possible influences are considered, the resulted algorithm being able to sustain the trigger goals for all kinds of events. Also presented is a method for measuring the trigger efficiency based on the redundancy of the two target trigger boards. Cosmogenic muons are the dominant source of the Double Chooz triggered events. For the near detector, the foreseen muon rate is {proportional_to}250 Hz. The DAQ system is unable to sustain the full read-out of the detector at such high frequency. As consequence, the triggered events are treated differently, regarding their importance for future analysis. For physics events, the full available information is saved, the offline data for background muons will contain only summary information. The trigger algorithm is able to identify ''special'' muons classes, for which the full detector read-out is performed. The muon recognition is based on the energy depositions from all detector regions and on the ''topological'' information provided by groups of inner veto photomultipliers. (orig.)

  13. The ATLAS muon trigger: Experience and performance in the first 3 years of LHC pp runs

    International Nuclear Information System (INIS)

    Ventura, Andrea

    2013-01-01

    The ATLAS experiment at CERN's Large Hadron Collider (LHC) deploys a three-level processing scheme for the trigger system. The Level-1 muon trigger system gets its input from fast muon trigger detectors. Sector logic boards select muon candidates, which are passed via an interface board to the central trigger processor and then to the High Level Trigger (HLT). The muon HLT is purely software based and encompasses a Level-2 trigger followed by an event filter for a staged trigger approach. It has access to the data of the precision muon detectors and other detector elements to refine the muon hypothesis. The ATLAS experiment has taken data with high efficiency continuously over entire running periods from 2010 to 2012, for which sophisticated triggers to guard the highest physics output while reducing effectively the event rate were mandatory. The ATLAS muon trigger has successfully adapted to this challenging environment. The selection strategy has been optimized for the various physics analyses involving muons in the final state. This work briefly summarizes these three years of experience in the ATLAS muon trigger and reports about efficiency, resolution, and general performance of the muon trigger

  14. Advantages of video trigger in problem-based learning.

    Science.gov (United States)

    Chan, Lap Ki; Patil, Nivritti G; Chen, Julie Y; Lam, Jamie C M; Lau, Chak S; Ip, Mary S M

    2010-01-01

    Traditionally, paper cases are used as 'triggers' to stimulate learning in problem-based learning (PBL). However, video may be a better medium because it preserves the original language, encourages the active extraction of information, avoids depersonalization of patients and allows direct observation of clinical consultations. In short, it exposes the students to the complexity of actual clinical problems. The study aims to find out whether students and facilitators who are accustomed to paper cases would prefer video triggers or paper cases and the reasons for their preference. After students and facilitators had completed a video PBL tutorial, their responses were measured by a structured questionnaire using a modified Likert scale. A total of 257 students (92%) and 26 facilitators (100%) responded. The majority of students and facilitators considered that using video triggers could enhance the students' observational powers and clinical reasoning, help them to integrate different information and better understand the cases and motivate them to learn. They found PBL using video triggers more interesting and preferred it to PBL using paper cases. Video triggers are preferred by both students and facilitators over paper cases in PBL.

  15. Event-Triggered Control for Multiagent Systems with the Problem of Packet Losses and Communication Delays When Using the Second-Order Neighbors’ Information

    Directory of Open Access Journals (Sweden)

    Chuan Yan

    2014-01-01

    Full Text Available This paper mainly investigates the event-triggered control for discrete-time multiagent systems with the problem of packet losses and communication delays when both the first-order and the second-order neighbors’ information are used. Event-triggered control laws are adopted so as to reduce the frequency of individual actuation updating under the sampled-data framework for discrete-time agent dynamics. The communication graph is undirected and the loss of data across each communication link occurs at certain probability, which is governed by a Bernoulli process. It is found that the distributed consensus speeds up by using the second-order neighbors’ information when packet losses and communication delays occur. Numerical examples are given to demonstrate the effectiveness of the proposed methods.

  16. Upgrade readout and trigger electronics for the ATLAS liquid argon calorimeters for future LHC running

    CERN Document Server

    Yamanaka, T; The ATLAS collaboration

    2014-01-01

    The ATLAS Liquid Argon (LAr) calorimeters produce almost 200K signals that must be digitized and processed by the front-end and back-end electronics at every triggered event. Additionally, the front-end electronics sums analog signals to provide coarse-grained energy sums to the first-level (L1) trigger system. The current design was optimized for the nominal LHC luminosity of 10^34 cm^-2s^-1. However, in future higher-luminosity phases of LHC operation, the luminosity (and associated pile-up noise) will be 3-7 times higher. An improved spatial granularity of the trigger primitives is therefore proposed, in order to improve the trigger performance at high background rejection rates. For the first upgrade phase in 2018, new LAr Trigger Digitizer Boards are being designed to receive the higher granularity signals, digitize them on-detector and send them via fast optical links to a new digital processing system (DPS). This applies digital filtering and identifies significant energy depositions in each trigger ch...

  17. Upgraded readout and trigger electronics for the ATLAS liquid argon calorimeters for future LHC running

    CERN Document Server

    Yamanaka, T; The ATLAS collaboration

    2014-01-01

    The ATLAS Liquid Argon (LAr) calorimeters produce almost 200K signals that must be digitized and processed by the front-end and back-end electronics at every triggered event. Additionally, the front-end electronics sums analog signals to provide coarse-grained energy sums to the first-level (L1) trigger system. The current design was optimized for the nominal LHC luminosity of 10^34 cm^-2s^-1. However, in future higher-luminosity phases of LHC operation, the luminosity (and associated pile-up noise) will be 3-7 times higher. An improved spatial granularity of the trigger primitives is therefore proposed, in order to improve the trigger performance at high background rejection rates. For the first upgrade phase in 2018, new LAr Trigger Digitizer Boards are being designed to receive the higher granularity signals, digitize them on-detector and send them via fast optical links to a new digital processing system (DPS). This applies digital filtering and identifies significant energy depositions in each trigger ch...

  18. Timing paradox of stepping and falls in ageing: not so quick and quick(er) on the trigger.

    Science.gov (United States)

    Rogers, Mark W; Mille, Marie-Laure

    2016-08-15

    Physiological and degenerative changes affecting human standing balance are major contributors to falls with ageing. During imbalance, stepping is a powerful protective action for preserving balance that may be voluntarily initiated in recognition of a balance threat, or be induced by an externally imposed mechanical or sensory perturbation. Paradoxically, with ageing and falls, initiation slowing of voluntary stepping is observed together with perturbation-induced steps that are triggered as fast as or faster than for younger adults. While age-associated changes in sensorimotor conduction, central neuronal processing and cognitive functions are linked to delayed voluntary stepping, alterations in the coupling of posture and locomotion may also prolong step triggering. It is less clear, however, how these factors may explain the accelerated triggering of induced stepping. We present a conceptual model that addresses this issue. For voluntary stepping, a disruption in the normal coupling between posture and locomotion may underlie step-triggering delays through suppression of the locomotion network based on an estimation of the evolving mechanical state conditions for stability. During induced stepping, accelerated step initiation may represent an event-triggering process whereby stepping is released according to the occurrence of a perturbation rather than to the specific sensorimotor information reflecting the evolving instability. In this case, errors in the parametric control of induced stepping and its effectiveness in stabilizing balance would be likely to occur. We further suggest that there is a residual adaptive capacity with ageing that could be exploited to improve paradoxical triggering and other changes in protective stepping to impact fall risk. © 2016 The Authors. The Journal of Physiology © 2016 The Physiological Society.

  19. TRIGGER

    CERN Multimedia

    W. Smith, from contributions of D. Acosta

    2012-01-01

      The L1 Trigger group deployed several major improvements this year. Compared to 2011, the single-muon trigger rate has been reduced by a factor of 2 and the η coverage has been restored to 2.4, with high efficiency. During the current technical stop, a higher jet seed threshold will be applied in the Global Calorimeter Trigger in order to significantly reduce the strong pile-up dependence of the HT and multi-jet triggers. The currently deployed L1 menu, with the “6E33” prescales, has a total rate of less than 100 kHz and operates with detector readout dead time of less than 3% for luminosities up to 6.5 × 1033 cm–2s–1. Further prescale sets have been created for 7 and 8 × 1033 cm–2s–1 luminosities. The L1 DPG is evaluating the performance of the Trigger for upcoming conferences and publication. Progress on the Trigger upgrade was reviewed during the May Upgrade Week. We are investigating scenarios for stagin...

  20. TRIGGER

    CERN Multimedia

    R. Arcidiacono

    2013-01-01

      In 2013 the Trigger Studies Group (TSG) has been restructured in three sub-groups: STEAM, for the development of new HLT menus and monitoring their performance; STORM, for the development of HLT tools, code and actual configurations; and FOG, responsible for the online operations of the High Level Trigger. The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for Trigger Menu development, path timing, trigger performance studies coordination, HLT offline DQM as well as HLT release, menu and conditions validation – in collaboration and with the technical support of the PdmV group. Since the end of proton-proton data taking, the group has started preparing for 2015 data taking, with collisions at 13 TeV and 25 ns bunch spacing. The reliability of the extrapolation to higher energy is being evaluated comparing the trigger rates on 7 and 8 TeV Monte Carlo samples with the data taken in the past two years. The effect of 25 ns bunch spacing is being studied on the d...

  1. FTK: The hardware Fast TracKer of the ATLAS experiment at CERN

    Directory of Open Access Journals (Sweden)

    Maznas Ioannis

    2017-01-01

    Full Text Available In the ever increasing pile-up environment of the Large Hadron Collider, trigger systems of the experiments must use more sophisticated techniques in order to increase purity of signal physics processes with respect to background processes. The Fast TracKer (FTK is a track finding system implemented in custom hardware that is designed to deliver full-scan tracks with pT above 1 GeV to the ATLAS trigger system for every Level-1 (L1 accept (at a maximum rate of 100 kHz. To accomplish this, FTK is a highly parallel system which is currently being installed in ATLAS. It will first provide the trigger system with tracks in the central region of the ATLAS detector, and next year it is expected that it will cover the whole detector. The system is based on pattern matching between hits coming from the silicon trackers of the ATLAS detector and one billion simulated patterns stored in specially designed ASIC Associative Memory chips. This document will provide an overview of the FTK system architecture, its design and information about its expected performance.

  2. The D-Zero Run II Trigger

    International Nuclear Information System (INIS)

    Blazey, G. C.

    1997-01-01

    The general purpose D0 collider detector, located at Fermi National Accelerator Laboratory, requires significantly enhanced data acquisition and triggering to operate in the high luminosity (L = 2 x 10 32 cm -2 s -1 ), high rate environment (7 MHz or 132 ns beam crossings) of the upgraded TeVatron proton anti-proton accelerator. This article describes the three major levels and frameworks of the new trigger. Information from the first trigger stage (L1) which includes scintillating, tracking and calorimeter detectors will provide a deadtimeless, 4.2 (micro)s trigger decision with an accept rate of 10 kHz. The second stage (L2), comprised of hardware engines associated with specific detectors and a single global processor will test for correlations between L1 triggers. L2 will have an accept rate of 1 kHz at a maximum deadtime of 5% and require a 100 (micro)s decision time. The third and final stage (L3) will reconstruct events in a farm of processors for a final instantaneous accept rate of 50 Hz

  3. Trigger circuits for the PHENIX electromagnetic calorimeter

    International Nuclear Information System (INIS)

    Frank, S.S.; Britton, C.L. Jr.; Winterberg, A.L.; Young, G.R.

    1997-11-01

    Monolithic and discrete circuits have been developed to provide trigger signals for the PHENIX electromagnetic calorimeter detector. These trigger circuits are deadtimeless and create overlapping 4 by 4 energy sums, a cosmic muon trigger, and a 144 channel energy sum. The front end electronics of the PHENIX system sample the energy and timing channels at each bunch crossing (BC) but it is not known immediately if this data is of interest. The information from the trigger circuits is used to determine if the data collected is of interest and should be digitized and stored or discarded. This paper presents details of the design, issues affecting circuit performance, characterization of prototypes fabricated in 1.2 microm Orbit CMOS, and integration of the circuits into the EMCal electronics system

  4. Does fasting during Ramadan trigger non-adherence to oral hormonal therapy in breast cancer patients?

    International Nuclear Information System (INIS)

    Zeeneldin, A.A.; Gaber, A.A.; Taha, F.M.

    2012-01-01

    Purpose: To estimate the effect of fasting during Ramadan (the ninth lunar month) on adherence to oral hormonal therapies (OHT) among breast cancer (BC) patients. Patients and Methods: During Ramadan 2010, 139 BC patients were interviewed at the Egyptian National Cancer Institute. They were asked about fasting as well as intake of OHT in Ramadan and in the preceding month. Results: The median age was 50 years and most patients were postmenopausal with good performance status and non-metastatic disease. The median number of fasting days was 18% and 93% of patients were fasting 80% or more of Ramadan. Tamoxifen and aromatase inhibitors were used in 64% and 36%, respectively. Adherence to OHT during Ramadan and its preceding month were 94.2% and 95.7%, respectively (p = 0.77). In univariate analysis, non-adherence prior to Ramadan and shorter duration of OHT were predictors of non-adherence during Ramadan (P < 0.001, 0.003, respectively). Fasting, age, performance status, presence of metastases and type of hormonal therapy were not good predictors of adherence. Conclusions: While most of patients receiving OHT for BC are fasting during Ramadan, this does not negatively impact compliance with treatment

  5. Affirmation of triggered Jovian radio emissions and their attribution to corotating radio lasers

    Science.gov (United States)

    Calvert, W.

    1985-01-01

    It is argued that the original statistical evidence for the existence of triggered radio emissions and corotating radio lasers on Jupiter remains valid notwithstanding the critique of Desch and Kaiser (1985). The Voyager radio spectrograms used to identify the triggered emissions are analyzed and the results are discussed. It is shown that the critique by Desch and Kaiser is unjustified because it is not based on the original event criteria, i.e., the correlation between the occurrence of Jovian auroral kilometric radiation and fast-drift type III solar bursts in the same frequency.

  6. Simulation of the ATLAS New Small Wheel Trigger Sysmtem

    CERN Document Server

    Saito, Tomoyuki; The ATLAS collaboration

    2017-01-01

    The instantaneous luminosity of the Large Hadron Collider (LHC) at CERN will be increased up to a factor of five with respect to the original design value to explore higher energy scale. In order to benefit from the expected high luminosity performance, the first station of the ATLAS muon end-cap Small Wheel system will be replaced by a New Small Wheel (NSW) detector. The NSW provide precise track segment information to the muon Level-1 trigger to reduce fake triggers. This contribution will summarize a detail of the NSW trigger decision system, track reconstruction algorithm implemented into the trigger processor and results of performance studies on the trigger system.

  7. The CLEO-III Trigger: Calorimetry and tracking

    International Nuclear Information System (INIS)

    Bergfeld, T.J.; Gollin, G.D.; Haney, M.J.

    1996-01-01

    The CLEO-III Trigger provides a trigger decision every 42ns, with a latency of approximately 2.5μs. This paper describes the pipelined signal processing and pattern recognition schemes used by the calorimeter, and the axial and stereo portions of the drift chamber, to provide the information necessary to make these decisions. Field programmable gate arrays are used extensively to provide cluster filtering and location sorting for calorimetry, and path finding for tracking. Analog processing is also employed in the calorimetry to provide additional leverage on the problem. Timing information is extracted from both calorimetry and tracking

  8. The availability and accessibility of nutrition information in fast food outlets in five states post-menu labelling legislation in New South Wales.

    Science.gov (United States)

    Wellard, Lyndal; Havill, Michelle; Hughes, Clare; Watson, Wendy L; Chapman, Kathy

    2015-12-01

    1) Explore the availability and accessibility of fast food energy and nutrient information post-NSW menu labelling legislation in states with and without menu labelling legislation. 2) Determine whether availability and accessibility differed compared with pre-menu labelling legislation in NSW. We visited 210 outlets of the five largest fast food chains in five Australian states to observe the availability and accessibility of energy and nutrient information. Results were compared with 197 outlets surveyed pre-menu labelling. Most outlets (95%) provided energy values, half provided nutrient values and 3% provided information for all menu items. The total amount of information available increased post-NSW menu labelling implementation (473 versus 178 pre-implementation, pFast food chains surveyed had voluntarily introduced menu labelling nationally. However, more nutrient information was available in-store in 2010, showing that fast food chains are able to provide comprehensive nutrition information, yet they have stopped doing so. Menu labelling legislation should compel fast food chains to provide accessible nutrition information including nutrient values in addition to energy for all menu items in-store. Additionally, public education campaigns are needed to ensure customers can use menu labelling. © 2015 Public Health Association of Australia.

  9. LHCb base-line level-0 trigger 3D-flow implementation

    CERN Document Server

    Crosetto, D

    1999-01-01

    The LHCb Level-0 trigger implementation with the 3D-Flow system offers full programmability, allowing it to adapt to unexpected operating conditions and enabling new, unpredicted physics. The implementation is described in detail and refers to components and technology available today. The 3D-Flow Processor system is a new, technology-independent concept in very fast, real-time system architectures. Based on the replication of a single type of circuit of 100 k gates, which communicates in six directions: bi-directional with North, East, West, and South neighbors, unidirectional from Top to Bottom, the system offers full programmability, modularity, ease of expansion and adaptation to the latest technology. A complete study of its applicability to the LHCb calorimeter triggers is presented. Full description of the input data handling, either in digital or mixed digital-analog form, of the data processing, and the transmission of results to the global level-0 trigger decision unit are provided. Any level-0 trig...

  10. Data-driven performance evaluation method for CMS RPC trigger ...

    Indian Academy of Sciences (India)

    level triggers, to handle the large stream of data produced in collision. The information transmitted from the three muon subsystems (DT, CSC and RPC) are collected by the Global Muon Trigger (GMT) Board and merged. A method for evaluating ...

  11. Fast-response protection from high currents

    International Nuclear Information System (INIS)

    Novikov, A.A.

    1989-01-01

    Protection devices for power electronic equipment from shorting current are described. The device is shunted using spark gaps with minimal possible number of spark gaps to protect it. High fast-response (<100 ns) and operation voltage wide range (6-100 kV) are attained using Arkadiev-Marx generator-base trigger devices and air-core pulse transformer

  12. Progress on the Level-1 Calorimeter Trigger

    CERN Multimedia

    Eric Eisenhandler

    The Level-1 Calorimeter Trigger (L1Calo) has recently passed a number of major hurdles. The various electronic modules that make up the trigger are either in full production or are about to be, and preparations in the ATLAS pit are well advanced. L1Calo has three main subsystems. The PreProcessor converts analogue calorimeter signals to digital, associates the rather broad trigger pulses with the correct proton-proton bunch crossing, and does a final calibration in transverse energy before sending digital data streams to the two algorithmic trigger processors. The Cluster Processor identifies and counts electrons, photons and taus, and the Jet/Energy-sum Processor looks for jets and also sums missing and total transverse energy. Readout drivers allow the performance of the trigger to be monitored online and offline, and also send region-of-interest information to the Level-2 Trigger. The PreProcessor (Heidelberg) is the L1Calo subsystem with the largest number of electronic modules (124), and most of its fu...

  13. L1 track triggering with associative memory for the CMS HL-LHC tracker

    International Nuclear Information System (INIS)

    Sabes, D.

    2014-01-01

    One of the proposed solutions currently under study in Compact Muon Solenoid (CMS) collaboration [1] to reconstruct tracks at the first level trigger (L1) for the High Luminosity - Large Hadron Collider (HL-LHC) is based on the usage of Associative Memory [2] (AM) chips. The tracker information is first reduced to suppress low p T tracks and sent to boards equipped with AM chips. Each AM compares the tracker information with pre-calculated expectations (pattern matching) in a very short time (order of a μs), therefore providing a solution to the challenging computational problem of pattern recognition in a very busy environment. Associated to fast track fit methods, like the Hough transform, the AM approach should be able to fulfil the very demanding requirements of L1 tracking. The proposed architecture for the AM-based L1 track reconstruction system will be presented, together with the latest results obtained using a complete software emulation of this system

  14. Fast timing discriminator

    International Nuclear Information System (INIS)

    Lo, C.C.

    1977-01-01

    The processing of pulses with very fast risetimes for timing purposes involves many problems because of the large equivalent bandwidths involved. For pulses with risetimes in the 150 ps range (and full widths at half maximum (FWHM) of 400 ps) bandwidths in excess of 1GHz are required. Furthermore, these very narrow pulses with current amplitudes as small as 1 mA carry very small charges ( -12 coulomb), therefore, requiring very sensitive trigger circuits. The difficulty increases when timing characteristics in the picosecond range are sought especially when a wide input signal amplitude range causes a time-walk problem. The fast timing discriminator described has a time-walk of approximately +-75 ps over the input signal range from 80 mV to 3V. A schematic of the discriminator is included, and operation and performance are discussed

  15. Physics performances with the new ATLAS Level-1 Topological trigger in Run 2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00414333; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger system aims at reducing the 40 MHz proton-proton collision event rate to a manageable event storage rate of 1 kHz, preserving events valuable for physics analysis. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system, with an output rate of 100 kHz and decision latency of less than 2.5 micro seconds. It is composed of the calorimeter trigger, muon trigger and central trigger processor. During the last upgrade, a new electronics element was introduced to Level-1: The Topological Processor System. It will make it possible to use detailed realtime information from the Level-1 calorimeter and muon triggers, processed in individual state of the art FPGA processors to determine angles between jets and/or leptons and calculate kinematic variables based on lists of selected/sorted objects. More than one hundred VHDL algorithms are producing trigger outputs to be incorporated into the central trigger processor. This information will be essential to improve background reject...

  16. An Upgraded ATLAS Central Trigger for 2015 Luminosities

    International Nuclear Information System (INIS)

    Poettgen, Ruth; Gutenberg, Johannes

    2013-06-01

    The Central Trigger Processor (CTP) is a core unit of the first of three levels that constitute the ATLAS trigger system. Based on information from calorimeter and muon trigger processors as well as from some additional systems it produces the level-1 trigger decision and prompts the read-out of the sub-detectors. The increase in luminosity at the LHC has pushed the CTP operation to its design limits. In order to still satisfy the physics goals of the experiment after the shutdown of the LHC of 2013/2014 the CTP will be upgraded during this period. This article discusses the current Central Trigger Processor, the motivation for the upgrade, and the changes foreseen to meet the requirements of the post-2014 physics runs at the LHC. (authors)

  17. Predictors of total calories purchased at fast-food restaurants: restaurant characteristics, calorie awareness, and use of calorie information.

    Science.gov (United States)

    Brissette, Ian; Lowenfels, Ann; Noble, Corina; Spicer, Deborah

    2013-01-01

    To examine purchase patterns at fast-food restaurants and their relation to restaurant characteristics, customer characteristics, and use of calorie information. Cross-sectional survey. Fast-food restaurants in New York State. Adult fast-food restaurant customers (n = 1,094). Restaurant characteristics (fast-food chain type, presence of calorie labels, and poverty of location), participant characteristics (demographics, calorie knowledge, awareness, and use), and customer purchasing patterns (ordering low-calorie or no beverage, small or no fries, or restaurant and customer characteristics, fast-food chain customer age, sex, calorie use, and calorie awareness were independently associated with total calories purchased (all P < .05; model R2 = .19). When 3 purchasing patterns were added to the model, calorie use (P = .005), but not calorie awareness, remained associated with total calories purchased. The 3 purchase patterns collectively accounted for the majority of variance in calorie totals (Δ model R2 = .40). Promoting use of calorie information, purchase strategies, and calorie awareness represents complementary ways to support lower-calorie choices at fast-food chains. Copyright © 2013 Society for Nutrition Education and Behavior. Published by Elsevier Inc. All rights reserved.

  18. L0 Confirmation with fast, Tsa based tracking in the T-stations

    CERN Document Server

    Albrecht, J; Terrier, H

    2007-01-01

    A fast tracking algorithm to confirm the high $p_T$ L0 trigger objects with tracks from the T-stations is presented. The L0 trigger candidate is used to define a search window to a potential track. Using this, a seeded track search is performed. The track finding algorithm is based on the \\textit{TsaSeeding} algorithm~\\cite{bib:Tsa}. The efficiency to confirm a true L0 trigger signal is around 96\\%, the momentum can be measured up to $\\Delta p/p$=3\\%.

  19. RPCs as trigger detector for the ATLAS experiment performances, simulation and application to the level-1 di-muon trigger

    CERN Document Server

    Di Simone, A; Di Ciaccio, A

    2005-01-01

    In the muon spectrometer different detectors are used to provide trigger functionality and precision momentum measurements. In the pseudorapidity range |eta|<1 the first level muon trigger is based on Resistive Plate Chambers, gas ionization detectors which are characterized by a fast response and an excellent time resolution (<1.5ns). The working principles of the Resistive Plate Chambers will be illustrated in chapter 3. Given the long time of operation expected for the ATLAS experiment (~10 years), ageing phenomena have been carefully studied, in order to ensure stable long-term operation of all the subdetectors. Concerning Resistive Plate Chambers, a very extensive ageing test has been performed at CERN's Gamma Irradiation Facility on three production chambers. The results of this test are presented in chapter 4. One of the most commonly used gases in RPCs operation is C2H2F4, which during the gas discharge can produce fluorine ions. Being F one of the most aggressive elements in nature, the presenc...

  20. Trigger factors in migraine patients Fatores desencadeantes de enxaqueca

    Directory of Open Access Journals (Sweden)

    Patrícia Timy Fukui

    2008-09-01

    Full Text Available BACKGROUND: Migraine is a chronic neurological disease with several trigger factors, including dietary, hormonal and environmental factors. PURPOSE: To analyse precipitating factors in a sample of migraine patients. METHOD: Two hundred consecutive migraine patients were interviewed about possible trigger factors for migraine attacks. RESULTS: Most patients showed at least one dietary trigger, fasting was the most frequent one, followed by alcohol and chocolate. Hormonal factors appeared in 53% , being the pre-menstrual period the most frequent trigger. Physical activities caused migraine in 13%, sexual activities in 2.5% and 64% reported emotional stress a trigger factor. 81% related some sleep problem as a trigger factor. Regarding environmental factors, smells were reported by 36.5%. CONCLUSION: Trigger factors are frequent in migraine patients, its avoidance may decrease headache frequency and also improve patients' quality of life.INTRODUÇÃO: A enxaqueca é uma doença neurológica crônica que apresenta diversos desencadeantes como fatores alimentares, hormonais e ambientais. OBJETIVO: Analisar os fatores desencadeantes em uma amostra de pacientes com enxaqueca. MÉTODO: Duzentos pacientes com diagnóstico de enxaqueca foram questionados sobre fatores que pudessem desencadear suas crises. RESULTADOS: 83,5% apresentaram algum fator alimentar, jejum foi o fator mais freqüente, seguido de álcool e chocolate. Dos fatores hormonais, o período pré-menstrual foi o mais freqüente. Atividade física causou enxaquecas em 13%, atividade sexual em 2,5%, estresse em 64% e 81% relataram o sono como fator desencadeante. Em relação aos fatores ambientais, odores foram desencadeantes em 36,5%. CONCLUSÃO: Os fatores desencadeantes são freqüentes em enxaqueca e a sua detecção deve ser pormenorizada para que se reduza a freqüência de crises e melhore a qualidade de vida do paciente.

  1. MRI of ventilated neonates and infants: respiratory pressure as trigger signal

    International Nuclear Information System (INIS)

    Lotz, J.; Reiffen, H.P.

    2004-01-01

    Introduction: motivated by the difficulties often encountered in the setup of respiratory trigger in MR imaging of mechanical ventilated pediatric patients, a simplified approach in terms of time and reliability was sought. Method: with the help of a male-to-male Luer-Lock adapter in combination with a 3-way adapter the tube of the respiratory compensation bellow was fixed to the output channel for capnography of the airway filter. Ten patients (age 4 months to 6 years) were tested with spin echo imaging and either respiration compensation (T1-weighted imaging) or respiratory triggered (T2-weighted imaging). Results: a clear trigger signal was achieved in all cases. No negative influence on the quality or security of the mechanical ventilation of the patients was observed. Summary: the proposed adapter is safe, efficient and fast to install in patients undergoing MR imaging in general anaesthesia. (orig.) [de

  2. Report of the subgroup on fast processing

    International Nuclear Information System (INIS)

    Gibbard, B.G.; Kirsch, L.E.; Moneti, G.; Plano, R.J.; Rabin, M.S.Z.; Willen, E.

    1977-01-01

    A study was made of the flow of data and the simultaneous processing needed to reduce the 10 7 to 10 8 triggers per second expected at ISABELLE to a number of events on the order of 10 to 100 per second which would be written on magnetic tape. It was assumed that within 100 ns of the event a fast pretrigger would have reduced the data rate to at most 10 7 per second. At that point, data from all sense elements in the experiment would be fed into a 1-μs-long pipeline. Within the first 1 μs (while the data are in the first pipeline) another level of triggering would reduce the trigger rate to at most 10 6 per second. The data would then be fed into a second pipeline which is 50 μs long. During the 50 μs the data are in the second pipeline, a more sophisticated level of triggering (slow trigger) would reduce the trigger rate to a level that can be handled by standard data processing techniques (microprocessors or larger machines), i.e., 10 2 to 10 3 per second. The pipelines and the buffer between them, a sequential address memory, are described first, and then several alternative schemes for the pretrigger and slow trigger are presented. 10 figures

  3. Experience with the custom-developed ATLAS Offline Trigger Monitoring Framework and Reprocessing Infrastructure

    CERN Document Server

    Bartsch, V

    2012-01-01

    After about two years of data taking with the ATLAS detector manifold experience with the custom-developed trigger monitoring and reprocessing infrastructure could be collected. The trigger monitoring can be roughly divided into online and offline monitoring. The online monitoring calculates and displays all rates at every level of the trigger and evaluates up to 3000 data quality histograms. The physics analysis relevant data quality information is being checked and recorded automatically. The offline trigger monitoring provides information depending of the physics motivated different trigger streams after a run has finished. Experts are checking the information being guided by the assessment of algorithms checking the current histograms with a reference. The experts are recording their assessment in a so-called data quality defects which are used to select data for physics analysis. In the first half of 2011 about three percent of all data had an intolerable defect resulting from the ATLAS trigger system. T...

  4. Evaluation of gigabit links for use in HEP trigger processing

    International Nuclear Information System (INIS)

    Anderson, C.R.

    1999-05-01

    The next generation of colliders will take experimental particle physics into energy regimes where the potential for fundamental new discoveries is overshadowed by the immense technological challenges that have to be met in building the necessary detectors. One major technological challenge is to build detectors with fine granularity that can withstand the very high levels of radiation around the interaction region. The final challenge is to build and operate the high speed electronics that can readout and process the huge volumes of data that will be generated. In particular, a key demand is that efficient triggers be built that will filter out as much of the background as possible at as early a stage as possible, without losing or biasing the tiny physics signal. These triggers must be fast and affordable. This thesis is concerned with one aspect of this scenario: how to provide a low cost but very fast switching system that can direct the streams of data coming from the detector into the computers that run the trigger algorithms. In this thesis the design and evaluation of a novel new switching chip, the RCUBE, developed in collaboration with European industry, is presented. It will be shown that such a chip could offer a solution to the data switching problems likely to be encountered in a typical future collider experiment such as the ATLAS experiment at CERN. To help with planning the use of such a chip, a simulation package is also developed based on the commercial OPNET package

  5. TRIGGER

    CERN Multimedia

    by Wesley Smith

    2011-01-01

    Level-1 Trigger Hardware and Software After the winter shutdown minor hardware problems in several subsystems appeared and were corrected. A reassessment of the overall latency has been made. In the TTC system shorter cables between TTCci and TTCex have been installed, which saved one bunch crossing, but which may have required an adjustment of the RPC timing. In order to tackle Pixel out-of-syncs without influencing other subsystems, a special hardware/firmware re-sync protocol has been introduced in the Global Trigger. The link between the Global Calorimeter Trigger and the Global Trigger with the new optical Global Trigger Interface and optical receiver daughterboards has been successfully tested in the Electronics Integration Centre in building 904. New firmware in the GCT now allows a setting to remove the HF towers from energy sums. The HF sleeves have been replaced, which should lead to reduced rates of anomalous signals, which may allow their inclusion after this is validated. For ECAL, improvements i...

  6. TRIGGER

    CERN Multimedia

    W. Smith from contributions of C. Leonidopoulos

    2010-01-01

    Level-1 Trigger Hardware and Software Since nearly all of the Level-1 (L1) Trigger hardware at Point 5 has been commissioned, activities during the past months focused on the fine-tuning of synchronization, particularly for the ECAL and the CSC systems, on firmware upgrades and on improving trigger operation and monitoring. Periodic resynchronizations or hard resets and a shortened luminosity section interval of 23 seconds were implemented. For the DT sector collectors, an automatic power-off was installed in case of high temperatures, and the monitoring capabilities of the opto-receivers and the mini-crates were enhanced. The DTTF and the CSCTF now have improved memory lookup tables. The HCAL trigger primitive logic implemented a new algorithm providing better stability of the energy measurement in the presence of any phase misalignment. For the Global Calorimeter Trigger, additional Source Cards have been manufactured and tested. Testing of the new tau, missing ET and missing HT algorithms is underw...

  7. The CMS Barrel Muon Trigger Upgrade

    CERN Document Server

    Triossi, Andrea

    2017-01-01

    ABSTRACT: The increase of luminosity expected by LHC during Phase 1 will impose several constrains for rate reduction while maintaining high efficiency in the CMS Level 1 trigger system. The TwinMux system is the early layer of the muon barrel region that concentrates the information from different subdetectors DT, RPC and HO. It arranges and fan-out the slow optical trigger links from the detector chambers into faster links (10 Gbps) that are sent to the track finders. Results, from collision runs, that confirm the satisfactory operation of the trigger system up to the output of the barrel track finder, will be shown. SUMMARY: In view of the increase of luminosity during phase 1 upgrade of LHC, the muon trigger chain of the Compact Muon Solenoid (CMS) experiment underwent considerable improvements. The muon detector was designed for preserving the complementarity and redundancy of three separate muon detection systems, Cathode Strip Chambers (CSC), Drift Tubes (DT) and Resistive Plate Chambers (RPC), until ...

  8. Fast Tracker : A Hardware Real Time Track Finder for the ATLAS Trigger System

    CERN Document Server

    Kimura, N; The ATLAS collaboration

    2013-01-01

    The Large Hadron Collider (LHC) after the 2013-­‐2014 shutdown period is expected to improve the yet impressive performance obtained up to this year: collisions’ energy will increase to 14 TeV and instantaneous luminosity will reach and then overcome 10^34 cm‐2s‐1, with a bunch crossing period of 25 ns. The LHC experiments will need to adapt to the more crowded events, maintaining the physics output and the quality of the final results. The pileup higher than the LHC run 1, with peaks expected to reach 50 or more, will make more difficult to have efficient online selection of rare events based mostly on calorimeters and muon detectors as it is done now. A more extensive use of the information collected by the tracking detector will allow building more robust selections, limiting the degradation effects due to the high pileup. We report on the development of the Fast Tracker (FTK) processor for the ATLAS experiment, devoted to reconstruct tracks with transverse momentum above 1 GeV in the whole detect...

  9. Externally controlled triggered-release of drug from PLGA micro and nanoparticles.

    Directory of Open Access Journals (Sweden)

    Xin Hua

    Full Text Available Biofilm infections are extremely hard to eradicate and controlled, triggered and controlled drug release properties may prolong drug release time. In this study, the ability to externally control drug release from micro and nanoparticles was investigated. We prepared micro/nanoparticles containing ciprofloxacin (CIP and magnetic nanoparticles encapsulated in poly (lactic-co-glycolic acid PLGA. Both micro/nanoparticles were observed to have narrow size distributions. We investigated and compared their passive and externally triggered drug release properties based on their different encapsulation structures for the nano and micro systems. In passive release studies, CIP demonstrated a fast rate of release in first 2 days which then slowed and sustained release for approximately 4 weeks. Significantly, magnetic nanoparticles containing systems all showed ability to have triggered drug release when exposed to an external oscillating magnetic field (OMF. An experiment where the OMF was turned on and off also confirmed the ability to control the drug release in a pulsatile manner. The magnetically triggered release resulted in a 2-fold drug release increase compared with normal passive release. To confirm drug integrity following release, the antibacterial activity of released drug was evaluated in Pseudomonas aeruginosa biofilms in vitro. CIP maintained its antimicrobial activity after encapsulation and triggered release.

  10. Externally controlled triggered-release of drug from PLGA micro and nanoparticles.

    Science.gov (United States)

    Hua, Xin; Tan, Shengnan; Bandara, H M H N; Fu, Yujie; Liu, Siguo; Smyth, Hugh D C

    2014-01-01

    Biofilm infections are extremely hard to eradicate and controlled, triggered and controlled drug release properties may prolong drug release time. In this study, the ability to externally control drug release from micro and nanoparticles was investigated. We prepared micro/nanoparticles containing ciprofloxacin (CIP) and magnetic nanoparticles encapsulated in poly (lactic-co-glycolic acid) PLGA. Both micro/nanoparticles were observed to have narrow size distributions. We investigated and compared their passive and externally triggered drug release properties based on their different encapsulation structures for the nano and micro systems. In passive release studies, CIP demonstrated a fast rate of release in first 2 days which then slowed and sustained release for approximately 4 weeks. Significantly, magnetic nanoparticles containing systems all showed ability to have triggered drug release when exposed to an external oscillating magnetic field (OMF). An experiment where the OMF was turned on and off also confirmed the ability to control the drug release in a pulsatile manner. The magnetically triggered release resulted in a 2-fold drug release increase compared with normal passive release. To confirm drug integrity following release, the antibacterial activity of released drug was evaluated in Pseudomonas aeruginosa biofilms in vitro. CIP maintained its antimicrobial activity after encapsulation and triggered release.

  11. A Time-Multiplexed Track-Trigger architecture for CMS

    CERN Document Server

    Hall, Geoffrey; Pesaresi, Mark Franco; Rose, A

    2014-01-01

    The CMS Tracker under development for the High Luminosity LHC includes an outer tracker based on ``PT-modules'' which will provide track stubs based on coincident clusters in two closely spaced sensor layers, aiming to reject low transverse momentum track hits before data transmission to the Level-1 trigger. The tracker data will be used to reconstruct track segments in dedicated processors before onward transmission to other trigger processors which will combine tracker information with data originating from the calorimeter and muon detectors, to make the final L1 trigger decision. The architecture for processing the tracker data is still an open question. One attractive option is to explore a Time Multiplexed design similar to one which is currently being implemented in the CMS calorimeter trigger as part of the Phase I trigger upgrade. The Time Multiplexed Trigger concept is explained, the potential benefits of applying it for processing future tracker data are described and a possible design based on cur...

  12. New shower maximum trigger for electrons and photons at CDF

    International Nuclear Information System (INIS)

    Amidei, D.; Burkett, K.; Gerdes, D.; Miao, C.; Wolinski, D.

    1994-01-01

    For the 1994 Tevatron collider run, CDF has upgraded the electron and photo trigger hardware to make use of shower position and size information from the central shower maximum detector. For electrons, the upgrade has resulted in a 50% reduction in backgrounds while retaining approximately 90% of the signal. The new trigger also eliminates the background to photon triggers from single-phototube spikes

  13. New shower maximum trigger for electrons and photons at CDF

    International Nuclear Information System (INIS)

    Gerdes, D.

    1994-08-01

    For the 1994 Tevatron collider run, CDF has upgraded the electron and photon trigger hardware to make use of shower position and size information from the central shower maximum detector. For electrons, the upgrade has resulted in a 50% reduction in backgrounds while retaining approximately 90% of the signal. The new trigger also eliminates the background to photon triggers from single-phototube discharge

  14. FPGA based compute nodes for high level triggering in PANDA

    International Nuclear Information System (INIS)

    Kuehn, W; Gilardi, C; Kirschner, D; Lang, J; Lange, S; Liu, M; Perez, T; Yang, S; Schmitt, L; Jin, D; Li, L; Liu, Z; Lu, Y; Wang, Q; Wei, S; Xu, H; Zhao, D; Korcyl, K; Otwinowski, J T; Salabura, P

    2008-01-01

    PANDA is a new universal detector for antiproton physics at the HESR facility at FAIR/GSI. The PANDA data acquisition system has to handle interaction rates of the order of 10 7 /s and data rates of several 100 Gb/s. FPGA based compute nodes with multi-Gb/s bandwidth capability using the ATCA architecture are designed to handle tasks such as event building, feature extraction and high level trigger processing. Data connectivity is provided via optical links as well as multiple Gb Ethernet ports. The boards will support trigger algorithms such us pattern recognition for RICH detectors, EM shower analysis, fast tracking algorithms and global event characterization. Besides VHDL, high level C-like hardware description languages will be considered to implement the firmware

  15. The effect of providing nutritional information about fast-food restaurant menus on parents' meal choices for their children

    Science.gov (United States)

    Ahn, Jae-Young; Park, Hae-Ryun; Lee, Kiwon; Kwon, Sooyoun; Kim, Soyeong; Yang, Jihye; Song, Kyung-Hee

    2015-01-01

    BACKGROUND/OBJECTIVES To encourage healthier food choices for children in fast-food restaurants, many initiatives have been proposed. This study aimed to examine the effect of disclosing nutritional information on parents' meal choices for their children at fast-food restaurants in South Korea. SUBJECTS/METHODS An online experimental survey using a menu board was conducted with 242 parents of children aged 2-12 years who dined with them at fast-food restaurants at least once a month. Participants were classified into two groups: the low-calorie group (n = 41) who chose at least one of the lowest calorie meals in each menu category, and the high-calorie group (n = 201) who did not. The attributes including perceived empowerment, use of provided nutritional information, and perceived difficulties were compared between the two groups. RESULTS The low-calorie group perceived significantly higher empowerment with the nutritional information provided than did the high-calorie group (P = 0.020). Additionally, the low-calorie group was more interested in nutrition labeling (P nutritional value of menus when selecting restaurants for their children more than did the high-calorie group (P = 0.017). The low-calorie group used the nutritional information provided when choosing meals for their children significantly more than did the high-calorie group (P nutritional information provided (P = 0.012). CONCLUSIONS The results suggest that improving the empowerment of parents using nutritional information could be a strategy for promoting healthier parental food choices for their children at fast-food restaurants. PMID:26634057

  16. Commissioning of the ATLAS high-level trigger with single beam and cosmic rays

    CERN Document Server

    Özcan, V Erkcan

    2010-01-01

    ATLAS is one of the two general-purpose detectors at the Large Hadron Collider (LHC). Using fast reconstruction algorithms, its trigger system needs to efficiently reject a huge rate of background events and still select potentially interesting ones with good efficiency. After a first processing level using custom electronics, the trigger selection is made by software running on two processor farms, designed to have a total of around two thousand multi-core machines. This system is known as the High Level Trigger (HLT). To reduce the network data traffic and the processing time to manageable levels, the HLT uses seeded, step-wise reconstruction, aiming at the earliest possible rejection of background events. The recent LHC startup and short single-beam run provided a "stress test" of the trigger. Following this period, ATLAS continued to collect cosmic-ray events for detector alignment and calibration purposes. These running periods allowed strict tests of the HLT reconstruction and selection algorithms as we...

  17. Data-driven performance evaluation method for CMS RPC trigger ...

    Indian Academy of Sciences (India)

    2012-10-06

    Oct 6, 2012 ... hardware-implemented algorithm, which performs the task of combining and merging information from muon ... Figure 1 shows the comparison of efficiencies obtained with the two methods containing .... [3] The CMS Collaboration, The trigger and data acquisition project, Volume 1, The Level 1. Trigger ...

  18. Availability of point-of-purchase nutrition information at a fast-food restaurant.

    Science.gov (United States)

    Wootan, Margo G; Osborn, Melissa; Malloy, Claudia J

    2006-12-01

    Given the link between eating out, poor diets, and obesity, we assessed the availability of point-of-purchase nutrition information at the largest fast-food restaurant in the U.S., McDonald's. In August 2004, we visited 29 of 33 (88%) of the McDonald's outlets in Washington, DC and visually inspected the premises, as well as asked cashiers or restaurant managers whether they had nutrition information available in the restaurant. In Washington, DC, 59% of McDonald's outlets provided in-store nutrition information for the majority of their standard menu items. In 62% of the restaurants, it was necessary to ask two or more employees in order to obtain a copy of that information. We found that even at the largest chain restaurant in the country, nutrition information at the point of decision-making is often difficult to find or completely absent.

  19. Development of a highly selective muon trigger exploiting the high spatial resolution of monitored drift-tube chambers for the ATLAS experiment at the HL-LHC

    CERN Document Server

    Kortner, Oliver; The ATLAS collaboration

    2018-01-01

    The High-Luminosity LHC will provide the unique opportunity to explore the nature of physics beyond the Standard Model. Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC, where the instantaneous luminosity will exceed the LHC design instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum muons, selected due to the moderate momentum resolution of the current system. This first level trigger limitation can be overcome by including data from the precision muon drift tube (MDT) chambers. This requires the fast continuous transfer of the MDT hits to the off-detector trigger logic and a fast track reconstruction algorithm performed in the trigger logic. The feasibility of this approach was studied with LHC collision data and simulated data. Two main options for the hardware implementation will be studied with demonstrators: an FPGA based option with an embedded ARM microprocessor ...

  20. Development of a Highly Selective Muon Trigger Exploiting the High Spatial Resolution of Monitored Drift-Tube Chambers for the ATLAS Experiment at the HL-LHC

    CERN Document Server

    Kortner, Oliver; The ATLAS collaboration

    2018-01-01

    The High-Luminosity LHC will provide the unique opportunity to explore the nature of physics beyond the Standard Model. Highly selective first level triggers are essential for the physics programme of the ATLAS experiment at the HL-LHC, where the instantaneous luminosity will exceed the LHC design instantaneous luminosity by almost an order of magnitude. The ATLAS first level muon trigger rate is dominated by low momentum muons, selected due to the moderate momentum resolution of the current system. This first level trigger limitation can be overcome by including data from the precision muon drift tube (MDT) chambers. This requires the fast continuous transfer of the MDT hits to the off-detector trigger logic and a fast track reconstruction algorithm performed in the trigger logic. The feasibility of this approach was studied with LHC collision data and simulated data. Two main options for the hardware implementation are currently studied with demonstrators, an FPGA based option with an embedded ARM microproc...

  1. Determinants to trigger memory reconsolidation: The role of retrieval and updating information.

    Science.gov (United States)

    Rodriguez-Ortiz, Carlos J; Bermúdez-Rattoni, Federico

    2017-07-01

    Long-term memories can undergo destabilization/restabilization processes, collectively called reconsolidation. However, the parameters that trigger memory reconsolidation are poorly understood and are a matter of intense investigation. Particularly, memory retrieval is widely held as requisite to initiate reconsolidation. This assumption makes sense since only relevant cues will induce reconsolidation of a specific memory. However, recent studies show that pharmacological inhibition of retrieval does not avoid memory from undergoing reconsolidation, indicating that memory reconsolidation occurs through a process that can be dissociated from retrieval. We propose that retrieval is not a unitary process but has two dissociable components; one leading to the expression of memory and the other to reconsolidation, referred herein as executer and integrator respectively. The executer would lead to the behavioral expression of the memory. This component would be the one disrupted on the studies that show reconsolidation independence from retrieval. The integrator would deal with reconsolidation. This component of retrieval would lead to long-term memory destabilization when specific conditions are met. We think that an important number of reports are consistent with the hypothesis that reconsolidation is only initiated when updating information is acquired. We suggest that the integrator would initiate reconsolidation to integrate updating information into long-term memory. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. The influence of preferential flow on pressure propagation and landslide triggering of the Rocca Pitigliana landslide

    Science.gov (United States)

    Shao, Wei; Bogaard, Thom; Bakker, Mark; Berti, Matteo

    2016-12-01

    The fast pore water pressure response to rain events is an important triggering factor for slope instability. The fast pressure response may be caused by preferential flow that bypasses the soil matrix. Currently, most of the hydro-mechanical models simulate pore water pressure using a single-permeability model, which cannot quantify the effects of preferential flow on pressure propagation and landslide triggering. Previous studies showed that a model based on the linear-diffusion equation can simulate the fast pressure propagation in near-saturated landslides such as the Rocca Pitigliana landslide. In such a model, the diffusion coefficient depends on the degree of saturation, which makes it difficult to use the model for predictions. In this study, the influence of preferential flow on pressure propagation and slope stability is investigated with a 1D dual-permeability model coupled with an infinite-slope stability approach. The dual-permeability model uses two modified Darcy-Richards equations to simultaneously simulate the matrix flow and preferential flow in hillslopes. The simulated pressure head is used in an infinite-slope stability analysis to identify the influence of preferential flow on the fast pressure response and landslide triggering. The dual-permeability model simulates the height and arrival of the pressure peak reasonably well. Performance of the dual-permeability model is as good as or better than the linear-diffusion model even though the dual-permeability model is calibrated for two single pulse rain events only, while the linear-diffusion model is calibrated for each rain event separately. In conclusion, the 1D dual-permeability model is a promising tool for landslides under similar conditions.

  3. The CDF II eXtremely fast tracker upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Abulencia, A.; Azzurri, P.; Cochran, E.; Dittmann, J.; Donati, S.; Efron, J.; Erbacher, R.; Errede, D.; Fedorko, I.; Flanagan, G.; Forrest, R.; /Illinois U., Urbana

    2006-09-01

    The CDF II Extremely Fast Tracker is the trigger track processor which reconstructs charged particle tracks in the transverse plane of the CDF II central outer tracking chamber. The system is now being upgraded to perform a three dimensional track reconstruction. A review of the upgrade is presented here.

  4. The CDF II eXtremely Fast Tracker Upgrade

    CERN Document Server

    Fedorko, I; Errede, D; Gerberich, H; Junk, T; Kasten, M; Levine, S; Mokos, R; Pitts, K; Rogers, E; Veramendi, G; Azzurri, P; Donati, S; Staveris-Polykalas, A; Cochran, E; Efron, J; Gartner, J; Hughes, R; Johnson, M; Kilminster, B; Lannon, K; McKim, J; Olivito, D; Parks, B; Slaunwhite, J; Winer, B; Dittmann, J; Hewamanage, S; Krumnack, N; Wilson, J S; Erbacher, R; Forrest, R; Ivanov, A; Soha, A; Flanagan, G; Jones, T; Holm, S; Klein, R; Schmidt, E E; Scott, L; Shaw, T; Wilson, P J

    2008-01-01

    The CDF II eXtremely Fast Tracker (XFT) is the trigger processor which reconstructs charged particle tracks in the transverse plane of the central tracking chamber. The XFT tracks are also extrapolated to the electromagnetic calorimeter and muon chambers to generate trigger electron and muon candidates. The XFT is crucial for the entire CDF II physics program: it detects high pT leptons from W/Z and heavy flavor decays and, in conjunction with the Level 2 processors, it identifies secondary vertices from beauty decays. The XFT has thus been crucial for the recent measurement of the oscilation and Σb discovery. The increase of the Tevatron instantaneous luminosity demanded an upgrade of the system to cope with the higher occupancy of the chamber. In the upgraded XFT, three dimensional tracking reduces the level of fake tracks and measures the longitudinal track parameters, which strongly reinforce the trigger selections. This allows to mantain the trigger perfectly efficient at the record luminosities 2–3·...

  5. TRIGGER

    CERN Multimedia

    W. Smith

    2011-01-01

    Level-1 Trigger Hardware and Software Overall the L1 trigger hardware has been running very smoothly during the last months of proton running. Modifications for the heavy-ion run have been made where necessary. The maximal design rate of 100 kHz can be sustained without problems. All L1 latencies have been rechecked. The recently installed Forward Scintillating Counters (FSC) are being used in the heavy ion run. The ZDC scintillators have been dismantled, but the calorimeter itself remains. We now send the L1 accept signal and other control signals to TOTEM. Trigger cables from TOTEM to CMS will be installed during the Christmas shutdown, so that the TOTEM data can be fully integrated within the CMS readout. New beam gas triggers have been developed, since the BSC-based trigger is no longer usable at high luminosities. In particular, a special BPTX signal is used after a quiet period with no collisions. There is an ongoing campaign to provide enough spare modules for the different subsystems. For example...

  6. TRIGGER

    CERN Multimedia

    J. Alimena

    2013-01-01

    Trigger Strategy Group The Strategy for Trigger Evolution And Monitoring (STEAM) group is responsible for the development of future High-Level Trigger menus, as well as of its DQM and validation, in collaboration and with the technical support of the PdmV group. Taking into account the beam energy and luminosity expected in 2015, a rough estimate of the trigger rates indicates a factor four increase with respect to 2012 conditions. Assuming that a factor two can be tolerated thanks to the increase in offline storage and processing capabilities, a toy menu has been developed using the new OpenHLT workflow to estimate the transverse energy/momentum thresholds that would halve the current trigger rates. The CPU time needed to run the HLT has been compared between data taken with 25 ns and 50 ns bunch spacing, for equivalent pile-up: no significant difference was observed on the global time per event distribution at the only available data point, corresponding to a pile-up of about 10 interactions. Using th...

  7. Realization of a second level neural network trigger for the H1 experiment at HERA

    International Nuclear Information System (INIS)

    Koehne, J.K.; Fent, J.; Froechtenicht, W.; Gaede, F.; Gruber, A.; Haberer, W.; Kiesling, C.; Kobler, T.; Moeck, J.; Wegner, A.; Goldner, D.; Kraemerkaemper, T.; Kolander, M.; Kolanoski, H.

    1997-01-01

    Since 1996 the H1 experiment is fully equipped with two independent fast pattern recognition systems operating as second level triggers (L2). The decision time is 20 μs. One of the two is the neural network trigger. It runs an array of presently ten VME-boards with CNAPS 1064 chips (20 MHz, 128 Mcps) by adaptive solutions. The input trigger data from the detector components arrive in various formats on a 8 x 16 bit wide 10 MHz bus. Before usable as 8-bit input values to the CNAPS they are preprocessed by several bit-manipulating algorithms and arithmetic functions implemented on XILINX 4008 field programmable gate arrays (FPGA). The startup strategy for the new system is to concentrate on photoproduction channels or low multiplicity final states which so far could only be efficiently triggered with unacceptable high rates. (orig.)

  8. An on-line non-leptonic neural trigger applied to an experiment looking for beauty

    CERN Document Server

    Baldanza, C; Cotta-Ramusino, A; D'Antone, I; Malferrari, L; Mazzanti, P; Odorici, F; Odorico, R; Zuffa, M; Bruschini, C; Musico, P; Novelli, P; Passaseo, M

    1994-01-01

    Results from a non-leptonic neural-network trigger hosted by experiment WA92, looking for beauty particle production from 350 GeV 1t- on a Cu target, are presented. The neural trigger has been used to send on a special data stream (the Fast Stream) events to be analyzed with high priority. The non-leptonic signature uses microvertex detector data and was devised so as to enrich the fraction of events containing C3 secondary vertices (i.e, vertices having three tracks whith sum of electric charges equal to +1 or -1). The neural trigger module consists of a VME crate hosting two ET ANN analog neural chips from Intel. The neural trigger operated for two continuous weeks during the WA92 1 993 run. For an acceptance of 15% for C3 events, the neural trigger yields a C3 enrichment factor of 6.6-7.l (depending on the event sample considered), which multiplied by that already provided by the standard non-leptonic trigger leads to a global C3 enrichment factor of -1 50. In the event sample selected by the neural trigge...

  9. Landslide movement in southwest Colorado triggered by atmospheric tides

    Science.gov (United States)

    Schulz, W.H.; Kean, J.W.; Wang, G.

    2009-01-01

    Landslides are among the most hazardous of geological processes, causing thousands of casualties and damage on the order of billions of dollars annually. The movement of most landslides occurs along a discrete shear surface, and is triggered by a reduction in the frictional strength of the surface. Infiltration of water into the landslide from rainfall and snowmelt and ground motion from earthquakes are generally implicated in lowering the frictional strength of this surface. However, solid-Earth and ocean tides have recently been shown to trigger shear sliding in other processes, such as earthquakes and glacial motion. Here we use observations and numerical modelling to show that a similar processatmospheric tidescan trigger movement in an ongoing landslide. The Slumgullion landslide, located in the SanJuan Mountains of Colorado, shows daily movement, primarily during diurnal low tides of the atmosphere. According to our model, the tidal changes in air pressure cause air and water in the sediment pores to flow vertically, altering the frictional stress of the shear surface; upward fluid flow during periods of atmospheric low pressure is most conducive to sliding. We suggest that tidally modulated changes in shear strength may also affect the stability of other landslides, and that the rapid pressure variations associated with some fast-moving storm systems could trigger a similar response. ?? 2009 Macmillan Publishers Limited. All rights reserved.

  10. The trigger and data taking system of the MARK-J detector

    International Nuclear Information System (INIS)

    Ho, Meng-Chia.

    1983-01-01

    The MARK-J detector utilizes PETRA, the world's highest energy electron-positron colliding beam storage ring now in operation. In this thesis, the PETRA machine and some of the details of the MARK-J detector are described. The detector which contains about 400 counters with photomultipliers for measuring electromagnetic and hadron showers, and approximately 8500 wires in the drift chambers to measure the muon trajectories, needs an elaborate trigger system. The fast electronic trigger of the experiment is described in detail. An important ingredient for the various triggers to collect the events of interest is formed by the so-called total energy trigger which is described as well. The various electronic components which form the interface between experiment and the online computer are described. Then the on-line system itself and the data collection programs are presented. In order to calibrate the various components of the detector, special measurements are performed, which are described. Finally this thesis ends with a presentation of some of the main results in Bhabha scattering, the measurement of the total hadronic cross section and the asymmetry measurement in muon pair production. (Auth.)

  11. Unconsciously Triggered Emotional Conflict by Emotional Facial Expressions

    Science.gov (United States)

    Chen, Antao; Cui, Qian; Zhang, Qinglin

    2013-01-01

    The present study investigated whether emotional conflict and emotional conflict adaptation could be triggered by unconscious emotional information as assessed in a backward-masked affective priming task. Participants were instructed to identify the valence of a face (e.g., happy or sad) preceded by a masked happy or sad face. The results of two experiments revealed the emotional conflict effect but no emotional conflict adaptation effect. This demonstrates that emotional conflict can be triggered by unconsciously presented emotional information, but participants may not adjust their subsequent performance trial-by trial to reduce this conflict. PMID:23409084

  12. Industrial accidents triggered by lightning.

    Science.gov (United States)

    Renni, Elisabetta; Krausmann, Elisabeth; Cozzani, Valerio

    2010-12-15

    Natural disasters can cause major accidents in chemical facilities where they can lead to the release of hazardous materials which in turn can result in fires, explosions or toxic dispersion. Lightning strikes are the most frequent cause of major accidents triggered by natural events. In order to contribute towards the development of a quantitative approach for assessing lightning risk at industrial facilities, lightning-triggered accident case histories were retrieved from the major industrial accident databases and analysed to extract information on types of vulnerable equipment, failure dynamics and damage states, as well as on the final consequences of the event. The most vulnerable category of equipment is storage tanks. Lightning damage is incurred by immediate ignition, electrical and electronic systems failure or structural damage with subsequent release. Toxic releases and tank fires tend to be the most common scenarios associated with lightning strikes. Oil, diesel and gasoline are the substances most frequently released during lightning-triggered Natech accidents. Copyright © 2010 Elsevier B.V. All rights reserved.

  13. Fast scalar data buffering interface in Linux 2.6 kernel

    International Nuclear Information System (INIS)

    Homs, A.

    2012-01-01

    Key instrumentation devices like counter/timers, analog-to-digital converters and encoders provide scalar data input. Many of them allow fast acquisitions, but do not provide hardware triggering or buffering mechanisms. A Linux 2.4 kernel driver called Hook was developed at the ESRF as a generic software-triggered buffering interface. This work presents the portage of the ESRF Hook interface to the Linux 2.6 kernel. The interface distinguishes 2 independent functional groups: trigger event generators and data channels. Devices in the first group create software events, like hardware interrupts generated by timers or external signals. On each event, one or more device channels on the second group are read and stored in kernel buffers. The event generators and data channels to be read are fully configurable before each sequence. Designed for fast acquisitions, the Hook implementation is well adapted to multi-CPU systems, where the interrupt latency is notably reduced. On heavily loaded dual-core PCs running standard (non real time) Linux, data can be taken at 1 KHz without losing events. Additional features include full integration into the /sys virtual file-system and hot-plug devices support. (author)

  14. Synchronous timing of multi-energy fast beam extraction during a single AGS cycle

    International Nuclear Information System (INIS)

    Gabusi, J.; Naase, S.

    1985-01-01

    Synchronous triggering of fast beams is required because the field of Kicker Magnets must rise within the open space between one beam bunch and the next. Within the Brookhaven AGS, Fast Extracted Beam (FEB) triggering combines nominal timing, based on beam energy with bunch-to-bunch synchronization, based on the accelerating rf waveform. During beam acceleration, a single bunch is extracted at 22 GeV/c and within the same AGS cycle, the remaining eleven bunches are extracted at 28.4 GeV/c. When the single bunch is extracted, a ''hole'', which is left in the remaining circulating beam, can appear in random locations within the second extraction during successive AGS cycles. To overcome this problem, a synchronous rf/12 counting scheme and logic circuitry are used to keep track of the bunch positions relative to each other, and to place the ''hole'' in any desired location within the second extraction. The rf/12 signal is used also to synchronize experimenters triggers

  15. The ATLAS Trigger in Run-2 - Design, Menu and Performance

    CERN Document Server

    Vazquez Schroeder, Tamara; The ATLAS collaboration

    2017-01-01

    The ATLAS trigger has been used very successfully for online event selection during the first part of the second LHC run (Run-2) in 2015/16 at a center-of-mass energy of 13 TeV. The trigger system is composed of a hardware Level-1 trigger and a software-based high-level trigger. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. The trigger system exploits topological information, as well as multi-variate methods to carry out the necessary physics filtering. In total, the ATLAS online selection consists of thousands of different individual triggers. Taken together constitute the trigger menu, which reflects the physics goals of the collaboration while taking into account available data taking resources. The trigger selection capabilities of ATLAS during Run-2 have been significantly improved compared to Run-1, in order to cope with the higher event rates and number of interactions per bunch crossing (pileup) which are the result of the...

  16. Does fasting during Ramadan trigger non-adherence to oral hormonal therapy in breast cancer patients?

    Science.gov (United States)

    Zeeneldin, Ahmed Abdelmabood; Gaber, Ayman Abdelsamee; Taha, Fatma Mohamed

    2012-09-01

    To estimate the effect of fasting during Ramadan (the ninth lunar month) on adherence to oral hormonal therapies (OHT) among breast cancer (BC) patients. During Ramadan 2010, 139 BC patients were interviewed at the Egyptian National Cancer Institute. They were asked about fasting as well as intake of OHT in Ramadan and in the preceding month. The median age was 50years and most patients were postmenopausal with good performance status and non-metastatic disease. The median number of fasting days was 18% and 93% of patients were fasting 80% or more of Ramadan. Tamoxifen and aromatase inhibitors were used in 64% and 36%, respectively. Adherence to OHT during Ramadan and its preceding month were 94.2% and 95.7%, respectively (p=0.77). In univariate analysis, non-adherence prior to Ramadan and shorter duration of OHT were predictors of non-adherence during Ramadan (PRamadan, this does not negatively impact compliance with treatment. Copyright © 2012. Published by Elsevier B.V.

  17. Test of special resolution and trigger efficiency

    CERN Document Server

    Benhammou, Y

    2015-01-01

    The forthcoming luminosity upgrade of LHC to super-LHC (sLHC) will increase the expected background rate in the forward region of the ATLAS Muon Spectrometer by approximately the factor of five. Some of the present Muon Spectrometer components will fail to cope with these high rates and will have to be replaced. The results of a test of a device consisting of Thin Gap Chambers (TGC) and a fast small-diameter Muon Drift Tube Chamber (sMDT) using the 180 GeV/c muons at the SPS-H8 muon beam at CERN are presented. The goal of the test was to study the combined TGC-sMDT system as tracking and triggering device in the ATLAS muon spectrometer after high-luminosity upgrades of the LHC. The analysis of the recorded data shows a very good correlation between the TGC and sMDT track position and inclination. This technology offers the combination of trigger and tracking and has good angular and spatial resolutions. The angular resolution is 0.4 mrad for each system individually. For the spatial resolution, the width of t...

  18. Health Benefits of Fasting and Caloric Restriction.

    Science.gov (United States)

    Golbidi, Saeid; Daiber, Andreas; Korac, Bato; Li, Huige; Essop, M Faadiel; Laher, Ismail

    2017-10-23

    Obesity and obesity-related diseases, largely resulting from urbanization and behavioral changes, are now of global importance. Energy restriction, though, is associated with health improvements and increased longevity. We review some important mechanisms related to calorie limitation aimed at controlling of metabolic diseases, particularly diabetes. Calorie restriction triggers a complex series of intricate events, including activation of cellular stress response elements, improved autophagy, modification of apoptosis, and alteration in hormonal balance. Intermittent fasting is not only more acceptable to patients, but it also prevents some of the adverse effects of chronic calorie restriction, especially malnutrition. There are many somatic and potentially psychologic benefits of fasting or intermittent calorie restriction. However, some behavioral modifications related to abstinence of binge eating following a fasting period are crucial in maintaining the desired favorable outcomes.

  19. Performance of the CMS Jets and Missing Transverse Energy Trigger at LHC Run 2

    CERN Document Server

    Nachtman, Jane; Dordevic, Milos; Kaya, Mithat; Kaya, Ozlem; Kirschenmann, Henning; Zhang, Fengwangdong

    2017-01-01

    In preparation for collecting proton-proton collisions from the LHC at a center-of-mass energy of 13 TeV and rate of 40MHz with increasing instantaneous luminosity, the CMS collaboration prepared an array of triggers utilizing jets and missing transverse energy for searches for new physics at the energy frontier as well as for SM precision measurements. The CMS trigger system must be able to sift through the collision events in order to extract events of interest at a rate of 1kHz, applying sophisticated algorithms adapted for fast and effective operation. Particularly important is the calibration of the trigger objects, as corrections to the measured energy may be substantial. Equally important is the development of improved reconstruction algorithms to mitigate negative effects due to high numbers of overlapping proton-proton collisions and increased levels of beam-related effects. Work by the CMS collaboration on upgrading the high-level trigger for jets and missing transverse energy for the upgraded LHC o...

  20. Flexible trigger menu implementation on the Global Trigger for the CMS Level-1 trigger upgrade

    CERN Document Server

    Matsushita, Takashi

    2017-01-01

    The CMS experiment at the Large Hadron Collider (LHC) has continued to explore physics at the high-energy frontier in 2016. The integrated luminosity delivered by the LHC in 2016 was 41~fb$^{-1}$ with a peak luminosity of 1.5 $\\times$ 10$^{34}$ cm$^{-2}$s$^{-1}$ and peak mean pile-up of about 50, all exceeding the initial estimations for 2016. The CMS experiment has upgraded its hardware-based Level-1 trigger system to maintain its performance for new physics searches and precision measurements at high luminosities. The Global Trigger is the final step of the CMS \\mbox{Level-1} trigger and implements a trigger menu, a set of selection requirements applied to the final list of objects from calorimeter and muon triggers, for reducing the 40 MHz collision rate to 100 kHz. The Global Trigger has been upgraded with state-of-the-art FPGA processors on Advanced Mezzanine Cards with optical links running at 10 GHz in a MicroTCA crate. The powerful processing resources of the upgraded system enable implemen...

  1. Trigger design for a gamma ray detector of HIRFL-ETF

    Science.gov (United States)

    Du, Zhong-Wei; Su, Hong; Qian, Yi; Kong, Jie

    2013-10-01

    The Gamma Ray Array Detector (GRAD) is one subsystem of HIRFL-ETF (the External Target Facility (ETF) of the Heavy Ion Research Facility in Lanzhou (HIRFL)). It is capable of measuring the energy of gamma-rays with 1024 CsI scintillators in in-beam nuclear experiments. The GRAD trigger should select the valid events and reject the data from the scintillators which are not hit by the gamma-ray. The GRAD trigger has been developed based on the Field Programmable Gate Array (FPGAs) and PXI interface. It makes prompt trigger decisions to select valid events by processing the hit signals from the 1024 CsI scintillators. According to the physical requirements, the GRAD trigger module supplies 12-bit trigger information for the global trigger system of ETF and supplies a trigger signal for data acquisition (DAQ) system of GRAD. In addition, the GRAD trigger generates trigger data that are packed and transmitted to the host computer via PXI bus to be saved for off-line analysis. The trigger processing is implemented in the front-end electronics of GRAD and one FPGA of the GRAD trigger module. The logic of PXI transmission and reconfiguration is implemented in another FPGA of the GRAD trigger module. During the gamma-ray experiments, the GRAD trigger performs reliably and efficiently. The function of GRAD trigger is capable of satisfying the physical requirements.

  2. Trigger design for a gamma ray detector of HIRFL-ETF

    International Nuclear Information System (INIS)

    Du Zhongwei; Su Hong; Qian Yi; Kong Jie

    2013-01-01

    The Gamma Ray Array Detector (GRAD) is one subsystem of HIRFL-ETF (the External Target Facility (ETF) of the Heavy Ion Research Facility in Lanzhou (HIRFL)). It is capable of measuring the energy of gamma-rays with 1024 CsI scintillators in in-beam nuclear experiments. The GRAD trigger should select the valid events and reject the data from the scintillators which are not hit by the gamma-ray. The GRAD trigger has been developed based on the Field Programmable Gate Array (FPGAs) and PXI interface. It makes prompt trigger decisions to select valid events by processing the hit signals from the 1024 CsI scintillators. According to the physical requirements, the GRAD trigger module supplies 12-bit trigger information for the global trigger system of ETF and supplies a trigger signal for data acquisition (DAQ) system of GRAD. In addition, the GRAD trigger generates trigger data that are packed and transmitted to the host computer via PXI bus to be saved for off-line analysis. The trigger processing is implemented in the front-end electronics of GRAD and one FPGA of the GRAD trigger module. The logic of PXI transmission and reconfiguration is implemented in another FPGA of the GRAD trigger module. During the gamma-ray experiments, the GRAD trigger performs reliably and efficiently. The function of GRAD trigger is capable of satisfying the physical requirements. (authors)

  3. Development of a nuclear information system for the MONJU Fast Breeder Reactor

    International Nuclear Information System (INIS)

    Metz, Peter

    2000-01-01

    At the MONJU Fast Breeder Reactor information is collected on a continuous basis. This information consists of measured data, design data, simulations data, maintenance data etc. which may be in any kind of electronic form, i.e. text documents, code input files, reports or even scanned documents. The amount and nature of these data has introduced the need for a software system, which will provide an efficient infrastructure for the maintenance of and operations on the data. Thus a Nuclear Information System for the MONJU Fast Breeder Reactor is under development. The system consists of remote databases hosting the information along with clients for handling them, remote clients providing the users with an interface and a local server for handling the client requests and the communication between the database and user clients. The system is composed of independent server, database and user modules, which communicates using the RMI-IIOP (Remote Method Invocation - Internet InterORB Protocol) technology. The RMI-IIOP is a CORBA (Common Object Request Broker Architecture) compliant subset of the RMI thereby facilitating the possibility of implementing the database and user modules in any kind of programming language and on any kind of operating system by providing a standard, platform independent communications interface. The user interface consists of dynamic HTML web pages which instantiates servlets in the user module when the user submits queries. The database module consists of controllers for handling the communication with the user module and database drivers for handling the connections with the databases. In this paper the overall system design and schemes for data flow and remote method invocations are presented and the requirements imposed on the system are discussed. (author)

  4. The Hardware Topological Trigger of ATLAS: Commissioning and Operations

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00226165; The ATLAS collaboration

    2018-01-01

    The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system with an output rate of 100 kHz and decision latency smaller than 2.5 μs. It consists of a calorimeter trigger, muon trigger and a central trigger processor. To improve the physics potential reach in ATLAS, during the LHC shutdown after Run 1, the Level-1 trigger system was upgraded at hardware, firmware and software level. In particular, a new electronics sub-system was introduced in the real-time data processing path: the Topological Processor System (L1Topo). It consists of a single AdvancedCTA shelf equipped with two Level-1 topological processor blades. For individual blades, real-time information from calorimeter and muon Level-1 trigger systems, is processed by four individual state-of-the-art FPGAs. It needs to deal with a large input bandwidth of up to 6 Tb/s, optical connectivity and low processing latency on the real-time data path. The L1Topo firmware apply measurements of angles between jets and/or leptons and several...

  5. Phase-I trigger readout electronics upgrade of the ATLAS Liquid-Argon Calorimeters

    International Nuclear Information System (INIS)

    Mori, T.

    2016-01-01

    This article gives an overview of the Phase-I Upgrade of the ATLAS LAr Calorimeter Trigger Readout. The design of custom developed hardware for fast real-time data processing and transfer is presented. Performance results from the prototype boards operated in the demonstrator system, first measurements of noise behavior and responses on the test pulses to the demonstrator system are shown.

  6. Fast control and data acquisition in the neutral beam test facility

    International Nuclear Information System (INIS)

    Luchetta, A.; Manduchi, G.; Taliercio, C.

    2014-01-01

    Highlights: • The paper describes the fast control and data acquisition in the ITER neutral beam test facility. • The usage of real time control in ion beam generation and extraction is proposed. • Real time management of breakdowns is described. • The implementation of event-driven data acquisition is reported. - Abstract: Fast control and data acquisition are required in the ion source test bed of the ITER neutral beam test facility, referred to as SPIDER. Fast control will drive the operation of the power supply systems with particular reference to special asynchronous events, such as the breakdowns. These are short-circuits among grids or between grids and vessel that can occur repeatedly during beam operation. They are normal events and, as such, they will be managed by the fast control system. Cycle time associated to such fast control is down to hundreds of microseconds. Fast data acquisition is required when breakdowns occur. Event-driven data acquisition is triggered in real time by fast control at the occurrence of each breakdown. Pre- and post-event samples are acquired, allowing capturing information on transient phenomena in a whole time-window centered on the event. Sampling rate of event-driven data acquisition is up to 5 MS/s. Fast data acquisition may also be independent of breakdowns as in the case of the cavity ring-down spectroscopy where data chunks are acquired at 100 MS/s in bursts of 1.5 ms every 100 ms and are processed in real time to produce derived measurements. The paper after the description of the SPIDER fast control and data acquisition application will report the system design based on commercially available hardware and the MARTe and MDSplus software frameworks. The results obtained by running a full prototype of the fast control and data acquisition system are also reported and discussed. They demonstrate that all SPIDER fast control and data acquisition requirements can be met in the prototype solution

  7. Fast control and data acquisition in the neutral beam test facility

    Energy Technology Data Exchange (ETDEWEB)

    Luchetta, A., E-mail: adriano.luchetta@igi.cnr.it; Manduchi, G.; Taliercio, C.

    2014-05-15

    Highlights: • The paper describes the fast control and data acquisition in the ITER neutral beam test facility. • The usage of real time control in ion beam generation and extraction is proposed. • Real time management of breakdowns is described. • The implementation of event-driven data acquisition is reported. - Abstract: Fast control and data acquisition are required in the ion source test bed of the ITER neutral beam test facility, referred to as SPIDER. Fast control will drive the operation of the power supply systems with particular reference to special asynchronous events, such as the breakdowns. These are short-circuits among grids or between grids and vessel that can occur repeatedly during beam operation. They are normal events and, as such, they will be managed by the fast control system. Cycle time associated to such fast control is down to hundreds of microseconds. Fast data acquisition is required when breakdowns occur. Event-driven data acquisition is triggered in real time by fast control at the occurrence of each breakdown. Pre- and post-event samples are acquired, allowing capturing information on transient phenomena in a whole time-window centered on the event. Sampling rate of event-driven data acquisition is up to 5 MS/s. Fast data acquisition may also be independent of breakdowns as in the case of the cavity ring-down spectroscopy where data chunks are acquired at 100 MS/s in bursts of 1.5 ms every 100 ms and are processed in real time to produce derived measurements. The paper after the description of the SPIDER fast control and data acquisition application will report the system design based on commercially available hardware and the MARTe and MDSplus software frameworks. The results obtained by running a full prototype of the fast control and data acquisition system are also reported and discussed. They demonstrate that all SPIDER fast control and data acquisition requirements can be met in the prototype solution.

  8. FTK: The hardware Fast TracKer of the ATLAS experiment at CERN

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00525014; The ATLAS collaboration

    2017-01-01

    In the ever increasing pile-up of the Large Hadron Collider environment the trigger systems of the experiments have to be exceedingly sophisticated and fast at the same time in order to increase the rate of relevant physics processes with respect to background processes. The Fast TracKer (FTK) is a track finding implementation at hardware level that is designed to deliver full-scan tracks with $p_{T}$ above 1GeV to the ATLAS trigger system for every L1 accept (at a maximum rate of 100kHz). To accomplish this, FTK is a highly parallel system which is currently under installation in ATLAS. It will first provide the trigger system with tracks in the central region of the ATLAS detector, and next year it is expected that it will cover the whole detector. The system is based on pattern matching between hits coming from the silicon trackers of the ATLAS detector and 1 billion simulated patterns stored in specially designed ASIC chips (Associative Memory – AM06). In a first stage, coarse resolution hits are matche...

  9. Fasting and Fast Food Diet Play an Opposite Role in Mice Brain Aging.

    Science.gov (United States)

    Castrogiovanni, Paola; Li Volti, Giovanni; Sanfilippo, Cristina; Tibullo, Daniele; Galvano, Fabio; Vecchio, Michele; Avola, Roberto; Barbagallo, Ignazio; Malaguarnera, Lucia; Castorina, Sergio; Musumeci, Giuseppe; Imbesi, Rosa; Di Rosa, Michelino

    2018-01-20

    Fasting may be exploited as a possible strategy for prevention and treatment of several diseases such as diabetes, obesity, and aging. On the other hand, high-fat diet (HFD) represents a risk factor for several diseases and increased mortality. The aim of the present study was to evaluate the impact of fasting on mouse brain aging transcriptome and how HFD regulates such pathways. We used the NCBI Gene Expression Omnibus (GEO) database, in order to identify suitable microarray datasets comparing mouse brain transcriptome under fasting or HFD vs aged mouse brain transcriptome. Three microarray datasets were selected for this study, GSE24504, GSE6285, and GSE8150, and the principal molecular mechanisms involved in this process were evaluated. This analysis showed that, regardless of fasting duration, mouse brain significantly expressed 21 and 30 upregulated and downregulated genes, respectively. The involved biological processes were related to cell cycle arrest, cell death inhibition, and regulation of cellular metabolism. Comparing mouse brain transcriptome under fasting and aged conditions, we found out that the number of genes in common increased with the duration of fasting (222 genes), peaking at 72 h. In addition, mouse brain transcriptome under HFD resembles for the 30% the one of the aged mice. Furthermore, several molecular processes were found to be shared between HFD and aging. In conclusion, we suggest that fasting and HFD play an opposite role in brain transcriptome of aged mice. Therefore, an intermittent diet could represent a possible clinical strategy to counteract aging, loss of memory, and neuroinflammation. Furthermore, low-fat diet leads to the inactivation of brain degenerative processes triggered by aging.

  10. δ15N value does not reflect fasting in mysticetes.

    Science.gov (United States)

    Aguilar, Alex; Giménez, Joan; Gómez-Campos, Encarna; Cardona, Luís; Borrell, Asunción

    2014-01-01

    The finding that tissue δ(15)N values increase with protein catabolism has led researchers to apply this value to gauge nutritive condition in vertebrates. However, its application to marine mammals has in most occasions failed. We investigated the relationship between δ(15)N values and the fattening/fasting cycle in a model species, the fin whale, a migratory capital breeder that experiences severe seasonal variation in body condition. We analyzed two tissues providing complementary insights: one with isotopic turnover (muscle) and one that keeps a permanent record of variations in isotopic values (baleen plates). In both tissues δ(15)N values increased with intensive feeding but decreased with fasting, thus contradicting the pattern previously anticipated. The apparent inconsistency during fasting is explained by the fact that a) individuals migrate between different isotopic isoscapes, b) starvation may not trigger significant negative nitrogen balance, and c) excretion drops and elimination of 15N-depleted urine is minimized. Conversely, when intensive feeding is resumed in the northern grounds, protein anabolism and excretion start again, triggering 15N enrichment. It can be concluded that in whales and other mammals that accrue massive depots of lipids as energetic reserves and which have limited access to drinking water, the δ15N value is not affected by fasting and therefore cannot be used as an indication of nutritive condition.

  11. The LHCb trigger

    International Nuclear Information System (INIS)

    Korolko, I.

    1998-01-01

    This paper describes progress in the development of the LHCb trigger system since the letter of intent. The trigger philosophy has significantly changed, resulting in an increase of trigger efficiency for signal B events. It is proposed to implement a level-1 vertex topology trigger in specialised hardware. (orig.)

  12. TRIGGER

    CERN Multimedia

    W. Smith

    At the December meeting, the CMS trigger group reported on progress in production, tests in the Electronics Integration Center (EIC) in Prevessin 904, progress on trigger installation in the underground counting room at point 5, USC55, and results from the Magnet Test and Cosmic Challenge (MTCC) phase II. The trigger group is engaged in the final stages of production testing, systems integration, and software and firmware development. Most systems are delivering final tested electronics to CERN. The installation in USC55 is underway and moving towards integration testing. A program of orderly connection and checkout with subsystems and central systems has been developed. This program includes a series of vertical subsystem slice tests providing validation of a portion of each subsystem from front-end electronics through the trigger and DAQ to data captured and stored. This is combined with operations and testing without beam that will continue until startup. The plans for start-up, pilot and early running tri...

  13. Performance and development plans for the Inner Detector trigger algorithms at ATLAS

    CERN Document Server

    Martin-haugh, Stewart; The ATLAS collaboration

    2015-01-01

    A description of the design and performance of the newly re-implemented tracking algorithms for the ATLAS trigger for LHC Run 2, to commence in spring 2015, is presented. The ATLAS High Level Trigger (HLT) has been restructured to run as a more flexible single stage process, rather than the two separate Level 2 and Event Filter stages used during Run 1. To make optimal use of this new scenario, a new tracking strategy has been implemented for Run 2. This new strategy will use a Fast Track Finder (FTF) algorithm to directly seed the subsequent Precision Tracking, and will result in improved track parameter resolution and significantly faster execution times than achieved during Run 1 and with better efficiency. The performance and timing of the algorithms for electron and tau track triggers are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performance improvements as the code evolves. The o...

  14. Performance and development plans for the Inner Detector trigger algorithms at ATLAS

    CERN Document Server

    Martin-haugh, Stewart; The ATLAS collaboration

    2015-01-01

    A description of the design and performance of the newly re-implemented tracking algorithms for the ATLAS trigger for LHC Run 2, to commence in spring 2015, is presented. The ATLAS High Level Trigger (HLT) has been restructured to run as a more flexible single stage process, rather than the two separate Level 2 and Event Filter stages used during Run 1. To make optimal use of this new scenario, a new tracking strategy has been implemented for Run 2. This new strategy will use a FastTrackFinder algorithm to directly seed the subsequent Precision Tracking, and will result in improved track parameter resolution and significantly faster execution times than achieved during Run 1 and with better efficiency. The timings of the algorithms for electron and tau track triggers are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performance improvements as the code evolves. The online deployment and co...

  15. The ATLAS Level-1 Topological Trigger performance in Run 2

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00120419; The ATLAS collaboration

    2017-01-01

    The Level-1 trigger is the first event rate reducing step in the ATLAS detector trigger system, with an output rate of up to 100 kHz and decision latency smaller than 2.5 μs. During the LHC shutdown after Run 1, the Level-1 trigger system was upgraded at hardware, firmware and software levels. In particular, a new electronics sub-system was introduced in the real-time data processing path: the Level-1 Topological trigger system. It consists of a single electronics shelf equipped with two Level-1 Topological processor blades. They receive real-time information from the Level-1 calorimeter and muon triggers, which is processed to measure angles between trigger objects, invariant masses or other kinematic variables. Complementary to other requirements, these measurements are taken into account in the final Level-1 trigger decision. The system was installed and commissioning started in 2015 and continued during 2016. As part of the commissioning, the decisions from individual algorithms were simulated and compar...

  16. HCUP Fast Stats

    Data.gov (United States)

    U.S. Department of Health & Human Services — HCUP Fast Stats provides easy access to the latest HCUP-based statistics for health information topics. HCUP Fast Stats uses visual statistical displays in...

  17. General programmable Level-1 trigger with 3D-Flow assembly system for calorimeters of different sizes and event rates

    International Nuclear Information System (INIS)

    Crosetto, D.

    1992-12-01

    Experience demonstrates that fine tuning on the trigger of an experiment is often achieved only after running the experiment and analyzing the first data acquired. It is desirable that identification and, consequently, selection of interesting events be made on a more refined identification of particles. Use of an innovative parallel-processing system architecture together with an instruction set allows identification of objects (particles) among the data coming from a calorimeter in a programmable manner, utilizing the information related to their shape in two- or three-dimensional form, rather than applying only a programmable threshold proportional to their energy. The architecture is flexible, allowing execution of simple algorithms as well as complex pattern recognition algorithms. It is scalable in the sense that the same hardware can be used for small or large calorimeters having a slow or fast event rate. The simple printed circuit board (accommodating 16 x 3D-Flow processors) on a 4 in. x 4 in. board described herein uses the same hardware to build a large Level-1 programmable trigger (by interconnecting many boards in a matrix array) and is capable of implementing simple or complex pattern recognition algorithms at different event input rates (by cascading boards one on top of another). With the same hardware one can build low-cost, programmable Level-1 triggers for a small and low-event-rate calorimeter, or high-performance, programmable Level-1 triggers for a large calorimeter capable of sustaining up to 60 million events per second

  18. Optical and EUV studies of laser triggered Z-pinch discharges

    OpenAIRE

    Tobin, Isaac

    2014-01-01

    This thesis describes the results of experiments with two geometries of laser assisted discharge plasma. Both devices are designed for fast Z-pinch discharge, triggered by laser produced plasma generated by ablation of one or both electrodes. The laser plasma parameters are adjusted to control the plasma load while the discharge parameters are adjusted to yield a rate of rise of current greater than 1010 A/s. The expansion dynamics and emission characteristics of the plasma were analysed, wit...

  19. Study of Event Topology for a new Fast Primary Vertex Finder for the ATLAS Trigger

    CERN Document Server

    AUTHOR|(SzGeCERN)739389; The ATLAS collaboration

    2016-01-01

    This document presents a transform-based approach to primary vertex finding and a feasibility analysis. The feasibility analysis first shows theoretical distinguishability of different signal events and pileup with a metric devised for this purpose. The results show high distinguishability for the majority of event types with expectedly low distinguishability for special cases. The algorithm is intended for use in the high level trigger. At this stage of computation, event types can be distinguished through the trigger, allowing choosing this algorithm only for appropriate events. An implementation of the algorithm with different increasingly realistic settings shows the impact of the different factors on efficiency. With realistic settings, distinguishability only reduces by a small margin, remaining for applicable events between 95% and 100% depending on the scenario. By gradually increasing the degree of realism of the setting, efficient countermeasures could be devised for different problems, which are al...

  20. Operation of the upgraded ATLAS Central Trigger Processor during the LHC Run 2

    DEFF Research Database (Denmark)

    Bertelsen, H.; Montoya, G. Carrillo; Deviveiros, P. O.

    2016-01-01

    The ATLAS Central Trigger Processor (CTP) is responsible for forming the Level-1 trigger decision based on the information from the calorimeter and muon trigger processors. In order to cope with the increase of luminosity and physics cross-sections in Run 2, several components of this system have...

  1. BAT Triggering Performance

    Science.gov (United States)

    McLean, Kassandra M.; Fenimore, E. E.; Palmer, D. M.; BAT Team

    2006-09-01

    The Burst Alert Telescope (BAT) onboard Swift has detected and located about 160 gamma-ray bursts (GRBs) in its first twenty months of operation. BAT employs two triggering systems to find GRBs: image triggering, which looks for a new point source in the field of view, and rate triggering, which looks for a significant increase in the observed counts. The image triggering system looks at 1 minute, 5 minute, and full pointing accumulations of counts in the detector plane in the energy range of 15-50 keV, with about 50 evaluations per pointing (about 40 minutes). The rate triggering system looks through 13 different time scales (from 4ms to 32s), 4 overlapping energy bins (covering 15-350 keV), 9 regions of the detector plane (from the full plane to individual quarters), and two background sampling models to search for GRBs. It evaluates 27000 trigger criteria in a second, for close to 1000 criteria. The image triggering system looks at 1, 5, and 40 minute accumulations of counts in the detector plane in the energy range of 15-50 keV. Both triggering systems are working very well with the settings from before launch and after we turned on BAT. However, we now have more than a year and a half of data to evaluate these triggering systems and tweak them for optimal performance, as well as lessons learned from these triggering systems.

  2. GPU-based low-level trigger system for the standalone reconstruction of the ring-shaped hit patterns in the RICH Cherenkov detector of NA62 experiment

    International Nuclear Information System (INIS)

    Ammendola, R.; Biagioni, A.; Cretaro, P.; Frezza, O.; Cicero, F. Lo; Lonardo, A.; Martinelli, M.; Paolucci, P.S.; Pastorelli, E.; Chiozzi, S.; Ramusino, A. Cotta; Fiorini, M.; Gianoli, A.; Neri, I.; Lorenzo, S. Di; Fantechi, R.; Piandani, R.; Pontisso, L.; Lamanna, G.; Piccini, M.

    2017-01-01

    This project aims to exploit the parallel computing power of a commercial Graphics Processing Unit (GPU) to implement fast pattern matching in the Ring Imaging Cherenkov (RICH) detector for the level 0 (L0) trigger of the NA62 experiment. In this approach, the ring-fitting algorithm is seedless, being fed with raw RICH data, with no previous information on the ring position from other detectors. Moreover, since the L0 trigger is provided with a more elaborated information than a simple multiplicity number, it results in a higher selection power. Two methods have been studied in order to reduce the data transfer latency from the readout boards of the detector to the GPU, i.e., the use of a dedicated NIC device driver with very low latency and a direct data transfer protocol from a custom FPGA-based NIC to the GPU. The performance of the system, developed through the FPGA approach, for multi-ring Cherenkov online reconstruction obtained during the NA62 physics runs is presented.

  3. The ATLAS high level trigger region of interest builder

    International Nuclear Information System (INIS)

    Blair, R.; Dawson, J.; Drake, G.; Haberichter, W.; Schlereth, J.; Zhang, J.; Ermoline, Y.; Pope, B.; Aboline, M.; High Energy Physics; Michigan State Univ.

    2008-01-01

    This article describes the design, testing and production of the ATLAS Region of Interest Builder (RoIB). This device acts as an interface between the Level 1 trigger and the high level trigger (HLT) farm for the ATLAS LHC detector. It distributes all of the Level 1 data for a subset of events to a small number of (16 or less) individual commodity processors. These processors in turn provide this information to the HLT. This allows the HLT to use the Level 1 information to narrow data requests to areas of the detector where Level 1 has identified interesting objects

  4. Fast filtering algorithm based on vibration systems and neural information exchange and its application to micro motion robot

    International Nuclear Information System (INIS)

    Gao Wa; Zha Fu-Sheng; Li Man-Tian; Song Bao-Yu

    2014-01-01

    This paper develops a fast filtering algorithm based on vibration systems theory and neural information exchange approach. The characters, including the derivation process and parameter analysis, are discussed and the feasibility and the effectiveness are testified by the filtering performance compared with various filtering methods, such as the fast wavelet transform algorithm, the particle filtering method and our previously developed single degree of freedom vibration system filtering algorithm, according to simulation and practical approaches. Meanwhile, the comparisons indicate that a significant advantage of the proposed fast filtering algorithm is its extremely fast filtering speed with good filtering performance. Further, the developed fast filtering algorithm is applied to the navigation and positioning system of the micro motion robot, which is a high real-time requirement for the signals preprocessing. Then, the preprocessing data is used to estimate the heading angle error and the attitude angle error of the micro motion robot. The estimation experiments illustrate the high practicality of the proposed fast filtering algorithm. (general)

  5. Triggering radiation alarm at security checks. Patients should be informed even after diagnostic nuclear medicine procedures.

    Science.gov (United States)

    Palumbo, Barbara; Neumann, Irmgard; Havlik, Ernst; Palumbo, Renato; Sinzinger, Helmut

    2009-01-01

    During the last few years an increasing number of nuclear medicine patients in various countries evoked a radiation alarm after therapeutic or diagnostic procedures, and even after passive exposure. A prospective calculation of activity retention in the patient's body is difficult due to extremely high variation of uptake and kinetics. Furthermore, different sensitivities and distances of the detectors make a prospective calculation even more difficult. In this article a number of cases are being reported, related problems are discussed and the surprisingly very limited literature reviewed. In order to minimize problems after eventually triggering alarms, we strongly recommend that each patient receives a certificate providing personal data, tracer, dose, half-life of the radionuclide, type and date of procedure applied as well as the nuclear medicine unit to contact for further information. Furthermore, a closer cooperation and exchange of information between the authorities and local nuclear medicine societies, would be welcome.

  6. The orexin component of fasting triggers memory processes underlying conditioned food selection in the rat.

    Science.gov (United States)

    Ferry, Barbara; Duchamp-Viret, Patricia

    2014-03-14

    To test the selectivity of the orexin A (OXA) system in olfactory sensitivity, the present study compared the effects of fasting and of central infusion of OXA on the memory processes underlying odor-malaise association during the conditioned odor aversion (COA) paradigm. Animals implanted with a cannula in the left ventricle received ICV infusion of OXA or artificial cerebrospinal fluid (ACSF) 1 h before COA acquisition. An additional group of intact rats were food-deprived for 24 h before acquisition. Results showed that the increased olfactory sensitivity induced by fasting and by OXA infusion was accompanied by enhanced COA performance. The present results suggest that fasting-induced central OXA release influenced COA learning by increasing not only olfactory sensitivity, but also the memory processes underlying the odor-malaise association.

  7. TRIGGER

    CERN Multimedia

    W. Smith

    Level-1 Trigger Hardware The CERN group is working on the TTC system. Seven out of nine sub-detector TTC VME crates with all fibers cabled are installed in USC55. 17 Local Trigger Controller (LTC) boards have been received from production and are in the process of being tested. The RF2TTC module replacing the TTCmi machine interface has been delivered and will replace the TTCci module used to mimic the LHC clock. 11 out of 12 crates housing the barrel ECAL off-detector electronics have been installed in USC55 after commissioning at the Electronics Integration Centre in building 904. The cabling to the Regional Calorimeter Trigger (RCT) is terminated. The Lisbon group has completed the Synchronization and Link mezzanine board (SLB) production. The Palaiseau group has fully tested and installed 33 out of 40 Trigger Concentrator Cards (TCC). The seven remaining boards are being remade. The barrel TCC boards have been tested at the H4 test beam, and good agreement with emulator predictions were found. The cons...

  8. Fast ion stabilization of the ion temperature gradient driven modes in the Joint European Torus hybrid-scenario plasmas: a trigger mechanism for internal transport barrier formation

    Energy Technology Data Exchange (ETDEWEB)

    Romanelli, M; Zocco, A [Euratom/CCFE Fusion Association, Culham Science Centre, Abingdon, Oxon, OX14 3DB (United Kingdom); Crisanti, F, E-mail: Michele.Romanelli@ccfe.ac.u [Associazione Euratom-ENEA sulla Fusione, C.R. Frascati, Frascati (Italy)

    2010-04-15

    Understanding and modelling turbulent transport in thermonuclear fusion plasmas are crucial for designing and optimizing the operational scenarios of future fusion reactors. In this context, plasmas exhibiting state transitions, such as the formation of an internal transport barrier (ITB), are particularly interesting since they can shed light on transport physics and offer the opportunity to test different turbulence suppression models. In this paper, we focus on the modelling of ITB formation in the Joint European Torus (JET) [1] hybrid-scenario plasmas, where, due to the monotonic safety factor profile, magnetic shear stabilization cannot be invoked to explain the transition. The turbulence suppression mechanism investigated here relies on the increase in the plasma pressure gradient in the presence of a minority of energetic ions. Microstability analysis of the ion temperature gradient driven modes (ITG) in the presence of a fast-hydrogen minority shows that energetic ions accelerated by the ion cyclotron resonance heating (ICRH) system (hydrogen, n{sub H,fast}/n{sub D,thermal} up to 10%, T{sub H,fast}/T{sub D,thermal} up to 30) can increase the pressure gradient enough to stabilize the ITG modes driven by the gradient of the thermal ions (deuterium). Numerical analysis shows that, by increasing the temperature of the energetic ions, electrostatic ITG modes are gradually replaced by nearly electrostatic modes with tearing parity at progressively longer wavelengths. The growth rate of the microtearing modes is found to be lower than that of the ITG modes and comparable to the local E x B-velocity shearing rate. The above mechanism is proposed as a possible trigger for the formation of ITBs in this type of discharges.

  9. The Advanced Gamma-ray Imaging System (AGIS): A Nanosecond Time Scale Stereoscopic Array Trigger System.

    Science.gov (United States)

    Krennrich, Frank; Buckley, J.; Byrum, K.; Dawson, J.; Drake, G.; Horan, D.; Krawzcynski, H.; Schroedter, M.

    2008-04-01

    Imaging atmospheric Cherenkov telescope arrays (VERITAS, HESS) have shown unprecedented background suppression capabilities for reducing cosmic-ray induced air showers, muons and night sky background fluctuations. Next-generation arrays with on the order of 100 telescopes offer larger collection areas, provide the possibility to see the air shower from more view points on the ground, have the potential to improve the sensitivity and give additional background suppression. Here we discuss the design of a fast array trigger system that has the potential to perform a real time image analysis allowing substantially improved background rate suppression at the trigger level.

  10. Upgraded Readout and Trigger Electronics for the ATLAS Liquid Argon Calorimeter at the LHC at the Horizons 2018-2022

    CERN Document Server

    Oliveira Damazio, Denis; The ATLAS collaboration

    2013-01-01

    The ATLAS Liquid Argon (LAr) calorimeters produce a total of 182,486 signals which are digitized and processed by the front-end and back-end electronics at every triggered event. In addition, the front-end electronics is summing analog signals to provide coarsely grained energy sums, called trigger towers, to the first-level trigger system, which is optimized for nominal LHC luminosities. However, the pile-up noise expected during the High Luminosity phases of LHC will be increased by factors of 3 to 7. An improved spatial granularity of the trigger primitives is therefore proposed in order to improve the identification performance for trigger signatures, like electrons, photons, tau leptons, jets, total and missing energy, at high background rejection rates. For the first upgrade phase in 2018, new LAr Trigger Digitizer Board (LTDB) are being designed to receive higher granularity signals, digitize them on detector and send them via fast optical links to a new digital processing system (DPS). The DPS applies...

  11. Upgraded Readout and Trigger Electronics for the ATLAS Liquid-Argon Calorimeters at the LHC at the Horizons 2018-2022

    CERN Document Server

    Damazio, D O; The ATLAS collaboration

    2013-01-01

    The ATLAS Liquid Argon (LAr) calorimeters produce a total of 182,486 signals which are digitized and processed by the front-end and back-end electronics at every triggered event. In addition, the front-end electronics is summing analog signals to provide coarsely grained energy sums, called trigger towers, to the first-level trigger system, which is optimized for nominal LHC luminosities. However, the pile-up noise expected during the High Luminosity phases of LHC will be increased by factors of 3 to 7. An improved spatial granularity of the trigger primitives is therefore proposed in order to improve the identification performance for trigger signatures, like electrons, photons, tau leptons, jets, total and missing energy, at high background rejection rates. For the first upgrade phase in 2018, new LAr Trigger Digitizer Board (LTDB) are being designed to receive higher granularity signals, digitize them on detector and send them via fast optical links to a new digital processing system (DPS). The DPS applies...

  12. Simulation of the High Performance Time to Digital Converter for the ATLAS Muon Spectrometer trigger upgrade

    International Nuclear Information System (INIS)

    Meng, X.T.; Levin, D.S.; Chapman, J.W.; Zhou, B.

    2016-01-01

    The ATLAS Muon Spectrometer endcap thin-Resistive Plate Chamber trigger project compliments the New Small Wheel endcap Phase-1 upgrade for higher luminosity LHC operation. These new trigger chambers, located in a high rate region of ATLAS, will improve overall trigger acceptance and reduce the fake muon trigger incidence. These chambers must generate a low level muon trigger to be delivered to a remote high level processor within a stringent latency requirement of 43 bunch crossings (1075 ns). To help meet this requirement the High Performance Time to Digital Converter (HPTDC), a multi-channel ASIC designed by CERN Microelectronics group, has been proposed for the digitization of the fast front end detector signals. This paper investigates the HPTDC performance in the context of the overall muon trigger latency, employing detailed behavioral Verilog simulations in which the latency in triggerless mode is measured for a range of configurations and under realistic hit rate conditions. The simulation results show that various HPTDC operational configurations, including leading edge and pair measurement modes can provide high efficiency (>98%) to capture and digitize hits within a time interval satisfying the Phase-1 latency tolerance.

  13. The structure, logic of operation and distinctive features of the system of triggers and counting signals formation for gamma-telescope GAMMA-400

    Science.gov (United States)

    Topchiev, N. P.; Galper, A. M.; Arkhangelskiy, A. I.; Arkhangelskaja, I. V.; Kheymits, M. D.; Suchkov, S. I.; Yurkin, Y. T.

    2017-01-01

    Scientific project GAMMA-400 (Gamma Astronomical Multifunctional Modular Apparatus) relates to the new generation of space observatories intended to perform an indirect search for signatures of dark matter in the cosmic-ray fluxes, measurements of characteristics of diffuse gamma-ray emission and gamma-rays from the Sun during periods of solar activity, gamma-ray bursts, extended and point gamma-ray sources, electron/positron and cosmic-ray nuclei fluxes up to TeV energy region by means of the GAMMA-400 gamma-ray telescope represents the core of the scientific complex. The system of triggers and counting signals formation of the GAMMA-400 gamma-ray telescope constitutes the pipelined processor structure which collects data from the gamma-ray telescope subsystems and produces summary information used in forming the trigger decision for each event. The system design is based on the use of state-of-the-art reconfigurable logic devices and fast data links. The basic structure, logic of operation and distinctive features of the system are presented.

  14. Study and realisation of a digital TDC in the framework of the GANIL trigger

    International Nuclear Information System (INIS)

    Boujrad, Abderrahman

    2001-01-01

    In nuclear physics, the interaction between the ion beam and the target produces a large amount of events. Some of these events have no interest for the studied physical phenomenon; the useful events are sorted using a trigger. We have studied and realized a new trigger suitable for the GANIL (Grand Accelerateur National d'Ions Lourds) experiments. After an overview of the triggers used up to now at GANIL, we give the main features of the new trigger (GANIL Master Trigger, GMT) such as modularity, universality and versatility. After a description of the trigger operating modes, we depict the trigger realization steps. The trigger informs about fired detectors in a beam to target collision but gives no information about timing, nevertheless the timing is a very important piece of information if the trigger analysis duration is several times greater than the beam period. We suggest a timing measurement structure (Time to Digital Converter, TDC) able to eliminate this imprecision. The dead time, the low level integration and the consumption constraints lead us to prefer a digital architecture based on a digital counter associated with delay lines. Simple equations are given in order to define the operating area of the TDC. This area depends on the clock duty cycle and on the delay line taps. Measures of Differential Non Linearity (DNL) for different time resolutions (1, 2, 5 and 10 ns) allow us to establish the limits of this System and to underline some solutions to improve these features. (author) [fr

  15. Instrumentation of a Level-1 Track Trigger in the ATLAS detector for the High Luminosity LHC

    CERN Document Server

    Boisvert, V; The ATLAS collaboration

    2012-01-01

    One of the main challenges in particle physics experiments at hadron colliders is to build detector systems that can take advantage of the future luminosity increase that will take place during the next decade. More than 200 simultaneous collisions will be recorded in a single event which will make the task to extract the interesting physics signatures harder than ever before. Not all events can be recorded hence a fast trigger system is required to select events that will be stored for further analysis. In the ATLAS experiment at the Large Hadron Collider (LHC) two different architectures for accommodating a level-1 track trigger are being investigated. The tracker has more readout channels than can be readout in time for the trigger decision. Both architectures aim for a data reduction of 10-100 in order to make readout of data possible in time for a level-1 trigger decision. In the first architecture the data reduction is achieved by reading out only parts of the detector seeded by a high rate pre-trigger ...

  16. Proposal of upgrade of the ATLAS muon trigger in the barrel-endcap transition region with RPCs

    CERN Document Server

    Massa, L; The ATLAS collaboration

    2014-01-01

    This report presents a project for the upgrade of the Level-1 muon trigger in the barrel-endcap transition region (1.01) caused by charged particles originating from secondary interactions downstream of the interaction point. After the LHC upgrade forseen for 2018, the Level-1 muon trigger rate would saturate the allocated bandwidth unless new measures are adopted to improve the rejection of fake triggers. ATLAS is going to improve the trigger selectivity in the region |$\\eta$|>1.3 with the New Small Wheel detector upgrade. To obtain a similar trigger selectivity in the barrel-endcap transition region, it is proposed to add new RPC chambers at the edge of the inner layer of the barrel muon spectrometer. These chambers will be based on a three layer structure with thinner gas gaps and electrodes with respect to the ATLAS standard and a new low-profile light-weight mechanical structure that will allow the installation in the limited available space. New front-end electronics, integrating fast TDC capabilities w...

  17. Proposed FPGA based tracking for a Level-1 track trigger at CMS for the HL-LHC

    CERN Document Server

    Pozzobon, Nicola

    2014-01-01

    The High Luminosity LHC (HL-LHC) is expected to deliver a luminosity in excess of $5\\times10^{34}$ cm$^{-2}$/s. The high event rate places stringent requirements on the trigger system. A key component of the CMS upgrade for the HL-LHC is a track trigger system which will identify tracks with transverse momenta above 2 GeV already at the first-level trigger within 5 $\\mu$s. This presentation will discuss a proposed track finding and fitting based on the tracklet based approach implemented on FPGAs. Tracklets are formed from pairs of hits in nearby layers in the detector and used in a road search. Summary Fast pattern recognition in Silicon trackers for triggering has often made use of Associative Memories for the pattern recognition step. We propose an alternative approach to solving the pattern recognition and track fitting problem for the upgraded CMS tracker for the HL-LHC operation. We make use of the trigger primitives,stubs, from the tracker. The stubs are formed from pairs of hits in sensors separated r...

  18. Lowering Temperature is the Trigger for Glycogen Build-Up and Winter Fasting in Crucian Carp (Carassius carassius).

    Science.gov (United States)

    Varis, Joonas; Haverinen, Jaakko; Vornanen, Matti

    2016-02-01

    Seasonal changes in physiology of vertebrate animals are triggered by environmental cues including temperature, day-length and oxygen availability. Crucian carp (Carassius carassius) tolerate prolonged anoxia in winter by using several physiological adaptations that are seasonally activated. This study examines which environmental cues are required to trigger physiological adjustments for winter dormancy in crucian carp. To this end, crucian carp were exposed to changing environmental factors under laboratory conditions: effects of declining water temperature, shortening day-length and reduced oxygen availability, separately and in different combinations, were examined on glycogen content and enzyme activities involved in feeding (alkaline phosphatase, AP) and glycogen metabolism (glycogen synthase, GyS; glycogen phosphorylase, GP). Lowering temperature induced a fall in activity of AP and a rise in glycogen content and rate of glycogen synthesis. Relative mass of the liver, and glycogen concentration of liver, muscle and brain increased with lowering temperature. Similarly activity of GyS in muscle and expression of GyS transcripts in brain were up-regulated by lowering temperature. Shortened day-length and oxygen availability had practically no effects on measured variables. We conclude that lowering temperature is the main trigger in preparation for winter anoxia in crucian carp.

  19. Beam Test of the ATLAS Level-1 Calorimeter Trigger System

    CERN Document Server

    Garvey, J; Mahout, G; Moye, T H; Staley, R J; Thomas, J P; Typaldos, D; Watkins, P M; Watson, A; Achenbach, R; Föhlisch, F; Geweniger, C; Hanke, P; Kluge, E E; Mahboubi, K; Meier, K; Meshkov, P; Rühr, F; Schmitt, K; Schultz-Coulon, H C; Ay, C; Bauss, B; Belkin, A; Rieke, S; Schäfer, U; Tapprogge, T; Trefzger, T; Weber, GA; Eisenhandler, E F; Landon, M; Apostologlou, P; Barnett, B M; Brawn, I P; Davis, A O; Edwards, J; Gee, C N P; Gillman, A R; Mirea, A; Perera, V J O; Qian, W; Sankey, D P C; Bohm, C; Hellman, S; Hidvegi, A; Silverstein, S

    2005-01-01

    The Level-1 Calorimter Trigger consists of a Preprocessor (PP), a Cluster Processor (CP), and a Jet/Energy-sum Processor (JEP). The CP and JEP receive digitised trigger-tower data from the Preprocessor and produce Region-of-Interest (RoIs) and trigger multiplicities. The latter are sent in real time to the Central Trigger Processor (CTP) where the Level-1 decision is made. On receipt of a Level-1 Accept, Readout Driver Modules (RODs), provide intermediate results to the data acquisition (DAQ) system for monitoring and diagnostic purpose. RoI information is sent to the RoI builder (RoIB) to help reduce the amount of data required for the Level-2 Trigger The Level-1 Calorimeter Trigger System at the test beam consisted of 1 Preprocessor module, 1 Cluster Processor Module, 1 Jet/Energy Module and 2 Common Merger Modules. Calorimeter energies were sucessfully handled thourghout the chain and trigger object sent to the CTP. Level-1 Accepts were sucessfully produced and used to drive the readout path. Online diagno...

  20. Independent component analysis-based artefact reduction: application to the electrocardiogram for improved magnetic resonance imaging triggering

    International Nuclear Information System (INIS)

    Oster, Julien; Pietquin, Olivier; Felblinger, Jacques; Abächerli, Roger; Kraemer, Michel

    2009-01-01

    Electrocardiogram (ECG) is required during magnetic resonance (MR) examination for monitoring patients under anaesthesia or with heart diseases and for synchronizing image acquisition with heart activity (triggering). Accurate and fast QRS detection is therefore desirable, but this task is complicated by artefacts related to the complex MR environment (high magnetic field, radio-frequency pulses and fast switching magnetic gradients). Specific signal processing has been proposed, whether using specific MR QRS detectors or ECG denoising methods. Most state-of-the-art techniques use a connection to the MR system for achieving their task, which is a major drawback since access to the MR system is often restricted. This paper introduces a new method for on-line ECG signal enhancement, called ICARE, which takes advantage of using multi-lead ECG and does not require any connection to the MR system. It is based on independent component analysis (ICA) and applied in real time. This algorithm yields accurate QRS detection for efficient triggering

  1. A First-Level Muon Trigger Based on the ATLAS Muon Drift Tube Chambers With High Momentum Resolution for LHC Phase II

    CERN Document Server

    Richter, R; The ATLAS collaboration; Ott, S; Kortner, O; Fras, M; Gabrielyan, V; Danielyan, V; Fink, D; Nowak, S; Schwegler, P; Abovyan, S

    2014-01-01

    The Level-1 (L1) trigger for muons with high transverse momentum (pT) in ATLAS is based on chambers with excellent time resolution, able to identify muons coming from a particular beam crossing. These trigger chambers also provide a fast pT-measurement of the muons, the accuracy of the measurement being limited by the moderate spatial resolution of the chambers along the deflecting direction of the magnetic field (eta-coordinate). The higher luminosity foreseen for Phase-II puts stringent limits on the L1 trigger rates, and a way to control these rates would be to improve the spatial resolution of the triggering system, drastically sharpening the turn-on curve of the L1 trigger. To do this, the precision tracking chambers (MDT) can be used in the L1 trigger, provided the corresponding trigger latency is increased as foreseen. The trigger rate reduction is accomplished by strongly decreasing the rate of triggers from muons with pT lower than a predefined threshold (typically 20 GeV), which would otherwise trig...

  2. Fast front-end electronics for COMPASS MWPCs

    CERN Document Server

    Colantoni, M L; Ferrero, A; Frolov, V; Grasso, A; Heinz, S; Maggiora, A; Maggiora, M G; Panzieri, D; Popov, A; Tchalyshev, V

    2000-01-01

    In the COMPASS experiment, under construction at CERN, about 23000 channels of MWPCs will be used. The very high rate of the muon and hadron beams, and the consequently high trigger rate, require front- end electronics with innovative conceptual design. A new MWPC front- end electronics that fulfills the main COMPASS requirement to have a fast DAQ with a minimum dead-time has been designed. The general concept of the front-end cards is described; the comparative tests of two front-end chips, and different fast gas mixtures, are also shown. The commissioning of the experiment will start in the summer 2000, and production running, using the muon beam, is foreseen for the year 2001. (8 refs).

  3. The ATLAS Trigger Menu design for higher luminosities in Run 2

    CERN Document Server

    Torro Pastor, Emma; The ATLAS collaboration

    2018-01-01

    The ATLAS experiment aims at recording about 1 kHz of physics collisions, starting with an LHC design bunch crossing rate of 40 MHz. To reduce the large background rate while maintaining a high selection efficiency for rare physics events (such as beyond the Standard Model physics), a two-level trigger system is used. Events are selected based on physics signatures such as the presence of energetic leptons, photons, jets or large missing energy. The trigger system exploits topological information, as well as multivariate methods to carry out the necessary physics filtering for the many analyses that are pursued by the ATLAS community. In total, the ATLAS online selection consists of nearly two thousand individual triggers. A Trigger Menu is the compilation of these triggers, it specifies the physics selection algorithms to be used during data taking and the rate and bandwidth a given trigger is allocated. Trigger menus must reflect the physics goals of the collaboration for a given run, but also take into con...

  4. The ATLAS Run-2 Trigger: Design, Menu, Performance and Operational Aspects

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00219584; The ATLAS collaboration

    2016-01-01

    The LHC, at design capacity, has a bunch-crossing rate of 40 MHz whereas the ATLAS experiment has an average recording rate of about 1000 Hz. To reduce the rate of events but still maintain high efficiency of selecting rare events such as physics signals beyond the Standard Model, a two-level trigger system is used in ATLAS. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. Despite the limited time available for processing collision events, the trigger system is able to exploit topological informations, as well as using multi-variate methods. In total, the ATLAS trigger systems consists of thousands of different individual triggers. The ATLAS trigger menu specifies which triggers are used during data taking and how much rate a given trigger is allocated. This menu reflects not only the physics goals of the collaboration but also takes into consideration the instantaneous luminosity of the LHC and the design limits of the ATLAS detecto...

  5. The ATLAS level-1 trigger: Status of the system and first results from cosmic-ray data

    Energy Technology Data Exchange (ETDEWEB)

    Aielli, G [Universita degli Studi di Roma ' Tor Vergata' and INFN Roma II, Rome (Italy); Andrei, V; Achenbach, R [Kirchhoff-Institut fuer Physik, University of Heidelberg, D-69120 Heidelberg (Germany); Adragna, P [Physics Department, Queen Mary, University of London, London E1 4NS (United Kingdom); Aloisio, A; Alviggi, M G [Universita degli Studi di Napoli ' Federico II' and INFN Napoli (Italy); Antonelli, S [INFN Bologna and Universita degli Studi di Bologna (Italy); Ask, S [CERN, PH Department (Switzerland); Barnett, B M [CCLRC Rutherford Appleton Laboratory, Chilton, Didcot, Oxon OX11 0QX (United Kingdom); Bauss, B [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Bellagamba, L [INFN Bologna and Universita degli Studi di Bologna (Italy); Ben Ami, S [Technion Israel Institute of Technology (Israel); Bendel, M [Institut fuer Physik, University of Mainz, D-55099 Mainz (Germany); Benhammou, Y [Tel Aviv University (Israel); Berge, D. [CERN, PH Department (Switzerland)], E-mail: David.Berge@cern.ch; Bianco, M [Universita degli Studi di Lecce and INFN Lecce (Italy); Biglietti, M G [Universita degli Studi di Napoli ' Federico II' and INFN Napoli (Italy); Bohm, C [Fysikum, University of Stockholm, SE-10691 Stockholm (Sweden); Booth, J R.A. [School of Physics and Astronomy, University of Birmingham, Birmingham B15 2TT (United Kingdom); CCLRC Rutherford Appleton Laboratory, Chilton, Didcot, Oxon OX11 0QX (United Kingdom); Boscherini, D [INFN Bologna and Universita degli Studi di Bologna (Italy)

    2007-10-21

    The ATLAS detector at CERN's Large Hadron Collider (LHC) will be exposed to proton-proton collisions from beams crossing at 40 MHz. At the design luminosity of 10{sup 34}cm{sup -2}s{sup -1} there are on average 23 collisions per bunch crossing. A three-level trigger system will select potentially interesting events in order to reduce the readout rate to about 200 Hz. The first trigger level is implemented in custom-built electronics and makes an initial fast selection based on detector data of coarse granularity. It has to reduce the rate by a factor of 10{sup 4} to less than 100 kHz. The other two consecutive trigger levels are in software and run on PC farms. We present an overview of the first-level trigger system and report on the current installation status. Moreover, we show analysis results of cosmic-ray data recorded in situ at the ATLAS experimental site with final or close-to-final hardware.

  6. The ATLAS level-1 trigger: Status of the system and first results from cosmic-ray data

    International Nuclear Information System (INIS)

    Aielli, G.; Andrei, V.; Achenbach, R.; Adragna, P.; Aloisio, A.; Alviggi, M.G.; Antonelli, S.; Ask, S.; Barnett, B.M.; Bauss, B.; Bellagamba, L.; Ben Ami, S.; Bendel, M.; Benhammou, Y.; Berge, D.; Bianco, M.; Biglietti, M.G.; Bohm, C.; Booth, J.R.A.; Boscherini, D.

    2007-01-01

    The ATLAS detector at CERN's Large Hadron Collider (LHC) will be exposed to proton-proton collisions from beams crossing at 40 MHz. At the design luminosity of 10 34 cm -2 s -1 there are on average 23 collisions per bunch crossing. A three-level trigger system will select potentially interesting events in order to reduce the readout rate to about 200 Hz. The first trigger level is implemented in custom-built electronics and makes an initial fast selection based on detector data of coarse granularity. It has to reduce the rate by a factor of 10 4 to less than 100 kHz. The other two consecutive trigger levels are in software and run on PC farms. We present an overview of the first-level trigger system and report on the current installation status. Moreover, we show analysis results of cosmic-ray data recorded in situ at the ATLAS experimental site with final or close-to-final hardware

  7. The ATLAS Muon Trigger Performance : Run 1 and initial Run 2.

    CERN Document Server

    Kasahara, Kota; The ATLAS collaboration

    2015-01-01

    The ATLAS Muon Trigger Performance: Run 1 and Initial Run 2 Performance

Events with muons in the final state are an important signature for many physics topics at the Large Hadron Collider (LHC). An efficient trigger on muons and a detailed understanding of its performance are required. In 2012, the last year of Run 1, the instantaneous luminosity of the LHC reached 7.7x10^33 cm -2s-1 and the average number of events that occur in a same bunch crossing was 25. The ATLAS Muon trigger has successfully adapted to this changing environment by making use of isolation requirements, combined trigger signatures with electron and jet trigger objects, and by using so-called full-scan triggers, which make use of the full event information to search for di-lepton signatures, seeded by single lepton objects. A stable and highly efficient muon trigger was vital in the discovery of Higgs boson in 2012 and for many searches for new physics. 
The performance of muon triggers during the LHC Run 1 data-taking campaigns i...

  8. Towards a Level-1 tracking trigger for the ATLAS experiment at the High Luminosity LHC

    CERN Document Server

    Martin, T A D; The ATLAS collaboration

    2014-01-01

    At the high luminosity HL-LHC, upwards of 160 individual proton-proton interactions (pileup) are expected per bunch-crossing at luminosities of around $5\\times10^{34}$ cm$^{-2}$s$^{-1}$. A proposal by the ATLAS collaboration to split the ATLAS first level trigger in to two stages is briefly detailed. The use of fast track finding in the new first level trigger is explored as a method to provide the discrimination required to reduce the event rate to acceptable levels for the read out system while maintaining high efficiency on the selection of the decay products of electroweak bosons at HL-LHC luminosities. It is shown that available bandwidth in the proposed new strip tracker is sufficiency for a region of interest based track trigger given certain optimisations, further methods for improving upon the proposal are discussed.

  9. Triggering at high luminosity: fake triggers from pile-up

    International Nuclear Information System (INIS)

    Johnson, R.

    1983-01-01

    Triggers based on a cut in transverse momentum (p/sub t/) have proved to be useful in high energy physics both because they indicte that a hard constituent scattering has occurred and because they can be made quickly enough to gate electronics. These triggers will continue to be useful at high luminosities if overlapping events do not cause an excessive number of fake triggers. In this paper, I determine if this is indeed a problem at high luminosity machines

  10. Context-aware system for pre-triggering irreversible vehicle safety actuators.

    Science.gov (United States)

    Böhmländer, Dennis; Dirndorfer, Tobias; Al-Bayatti, Ali H; Brandmeier, Thomas

    2017-06-01

    New vehicle safety systems have led to a steady improvement of road safety and a reduction in the risk of suffering a major injury in vehicle accidents. A huge leap forward in the development of new vehicle safety systems are actuators that have to be activated irreversibly shortly before a collision in order to mitigate accident consequences. The triggering decision has to be based on measurements of exteroceptive sensors currently used in driver assistance systems. This paper focuses on developing a novel context-aware system designed to detect potential collisions and to trigger safety actuators even before an accident occurs. In this context, the analysis examines the information that can be collected from exteroceptive sensors (pre-crash data) to predict a certain collision and its severity to decide whether a triggering is entitled or not. A five-layer context-aware architecture is presented, that is able to collect contextual information about the vehicle environment and the actual driving state using different sensors, to perform reasoning about potential collisions, and to trigger safety functions upon that information. Accident analysis is used in a data model to represent uncertain knowledge and to perform reasoning. A simulation concept based on real accident data is introduced to evaluate the presented system concept. Copyright © 2017 Elsevier Ltd. All rights reserved.

  11. L2TTMON Monitoring Program for L2 Topological Trigger in H1 Experiment - User's Guide

    International Nuclear Information System (INIS)

    Banas, E.; Ducorps, A.

    1999-01-01

    Monitoring software for the L2 Topological Trigger in H1 experiment consists of two parts working on two different computers. The hardware read-out and data processing is done on a fast FIC 8234 computer working with the OS9 real time operating system. The Macintosh Quadra is used as a Graphic User Interface for accessing the OS9 trigger monitoring software. The communication between both computers is based on the parallel connection between the Macintosh and the VME crate, where the FIC computer is placed. The special designed protocol (client-server) is used to communicate between both nodes. The general scheme of monitoring for the L2 Topological Trigger and detailed description of using of the monitoring software in both nodes are given in this guide. (author)

  12. Tracking in the trigger from the CDF experience to CMS upgrade

    CERN Document Server

    Palla, F

    2007-01-01

    Precise tracking information in the online selection of interesting physics events is extremely beneficial at hadron colliders. The CDF experiment at the Tevatron, has shown for the first time the impact of the tracking in triggers, allowing to achieve unprecedented precision in B-physics measurements. The CMS experiment at LHC will largely make use of tracking information at high level trigger, after the Level-1 acceptance. The increased luminosity of the Super-LHC collider will impose to CMS a drastic revision of the Level-1 trigger strategy, incorporating the tracker information at the first stage of the selection. After a review of the CDF and CMS approaches we will discuss several possible Level-1 tracker based concepts for the upgraded CMS detector at Super-LHC. One approach is based on associative memories, which has already been demonstrated in CDF. It makes use of binary readout in the front end electronics, followed by transfer of the full granularity data off detector using optical links to dedicat...

  13. Physics performances with the new ATLAS Level-1 Topological trigger in the LHC High-Luminosity Era

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00414333; The ATLAS collaboration

    2016-01-01

    The ATLAS trigger system aim at reducing the 40 MHz protons collision event rate to a manageable event storage rate of 1 kHz, preserving events with valuable physics meaning. The Level-1 trigger is the first rate-reducing step in the ATLAS trigger system, with an output rate of 100 kHz and decision latency of less than 2.5 micro seconds. It is composed of the calorimeter trigger, muon trigger and central trigger processor. During the last upgrade, a new electronics element was introduced to Level-1: L1Topo, the Topological Processor System. It will make it possible to use detailed realtime information from the Level-1 calorimeter and muon triggers, processed in individual state of the art FPGA processors to determine angles between jets and/or leptons and calculate kinematic variables based on lists of selected/sorted objects. Over hundred VHDL algorithms are producing trigger outputs to be incorporated into the central trigger processor. Such information will be essential to improve background rejection and ...

  14. Trigger finger

    Science.gov (United States)

    ... digit; Trigger finger release; Locked finger; Digital flexor tenosynovitis ... cut or hand Yellow or green drainage from the cut Hand pain or discomfort Fever If your trigger finger returns, call your surgeon. You may need another surgery.

  15. Neighborhood fast food availability and fast food consumption.

    Science.gov (United States)

    Oexle, Nathalie; Barnes, Timothy L; Blake, Christine E; Bell, Bethany A; Liese, Angela D

    2015-09-01

    Recent nutritional and public health research has focused on how the availability of various types of food in a person's immediate area or neighborhood influences his or her food choices and eating habits. It has been theorized that people living in areas with a wealth of unhealthy fast-food options may show higher levels of fast-food consumption, a factor that often coincides with being overweight or obese. However, measuring food availability in a particular area is difficult to achieve consistently: there may be differences in the strict physical locations of food options as compared to how individuals perceive their personal food availability, and various studies may use either one or both of these measures. The aim of this study was to evaluate the association between weekly fast-food consumption and both a person's perceived availability of fast-food and an objective measure of fast-food presence - Geographic Information Systems (GIS) - within that person's neighborhood. A randomly selected population-based sample of eight counties in South Carolina was used to conduct a cross-sectional telephone survey assessing self-report fast-food consumption and perceived availability of fast food. GIS was used to determine the actual number of fast-food outlets within each participant's neighborhood. Using multinomial logistic regression analyses, we found that neither perceived availability nor GIS-based presence of fast-food was significantly associated with weekly fast-food consumption. Our findings indicate that availability might not be the dominant factor influencing fast-food consumption. We recommend using subjective availability measures and considering individual characteristics that could influence both perceived availability of fast food and its impact on fast-food consumption. If replicated, our findings suggest that interventions aimed at reducing fast-food consumption by limiting neighborhood fast-food availability might not be completely effective

  16. Performance of a First-Level Muon Trigger with High Momentum Resolution Based on the ATLAS MDT Chambers for HL-LHC

    CERN Document Server

    Gadow, P.; Kortner, S.; Kroha, H.; Müller, F.; Richter, R.

    2016-01-01

    Highly selective first-level triggers are essential to exploit the full physics potential of the ATLAS experiment at High-Luminosity LHC (HL-LHC). The concept for a new muon trigger stage using the precision monitored drift tube (MDT) chambers to significantly improve the selectivity of the first-level muon trigger is presented. It is based on fast track reconstruction in all three layers of the existing MDT chambers, made possible by an extension of the first-level trigger latency to six microseconds and a new MDT read-out electronics required for the higher overall trigger rates at the HL-LHC. Data from $pp$-collisions at $\\sqrt{s} = 8\\,\\mathrm{TeV}$ is used to study the minimal muon transverse momentum resolution that can be obtained using the MDT precision chambers, and to estimate the resolution and efficiency of the MDT-based trigger. A resolution of better than $4.1\\%$ is found in all sectors under study. With this resolution, a first-level trigger with a threshold of $18\\,\\mathrm{GeV}$ becomes fully e...

  17. High level trigger system for the ALICE experiment

    International Nuclear Information System (INIS)

    Frankenfeld, U.; Roehrich, D.; Ullaland, K.; Vestabo, A.; Helstrup, H.; Lien, J.; Lindenstruth, V.; Schulz, M.; Steinbeck, T.; Wiebalck, A.; Skaali, B.

    2001-01-01

    The ALICE experiment at the Large Hadron Collider (LHC) at CERN will detect up to 20,000 particles in a single Pb-Pb event resulting in a data rate of ∼75 MByte/event. The event rate is limited by the bandwidth of the data storage system. Higher rates are possible by selecting interesting events and subevents (High Level trigger) or compressing the data efficiently with modeling techniques. Both require a fast parallel pattern recognition. One possible solution to process the detector data at such rates is a farm of clustered SMP nodes, based on off-the-shelf PCs, and connected by a high bandwidth, low latency network

  18. A Compton suppressed detector multiplicity trigger based digital DAQ for gamma-ray spectroscopy

    Science.gov (United States)

    Das, S.; Samanta, S.; Banik, R.; Bhattacharjee, R.; Basu, K.; Raut, R.; Ghugre, S. S.; Sinha, A. K.; Bhattacharya, S.; Imran, S.; Mukherjee, G.; Bhattacharyya, S.; Goswami, A.; Palit, R.; Tan, H.

    2018-06-01

    The development of a digitizer based pulse processing and data acquisition system for γ-ray spectroscopy with large detector arrays is presented. The system is based on 250 MHz 12-bit digitizers, and is triggered by a user chosen multiplicity of Compton suppressed detectors. The logic for trigger generation is similar to the one practised for analog (NIM/CAMAC) pulse processing electronics, while retaining the fast processing merits of the digitizer system. Codes for reduction of data acquired from the system have also been developed. The system has been tested with offline studies using radioactive sources as well as in the in-beam experiments with an array of Compton suppressed Clover detectors. The results obtained therefrom validate its use in spectroscopic efforts for nuclear structure investigations.

  19. Contribution to the elaboration and implementation of LEP-L3 second level microcoded Trigger

    International Nuclear Information System (INIS)

    Chollet, F.

    1988-03-01

    This thesis is devoted to the elaboration of the L3 second level trigger which is based on the dedicated programmable XOP processor. This system will reduce the trigger rate by a factor of ten and will ensure that the hardwired level-one processors function correctly. The present document describes all developments that L.A.P.P. is engaged in from the system design up to the complete experimental set up, especially: - The hardware development of the fast input memories as well as the FASTBUS interface unit which allows the microprocessor XOP to run as a performant FASTBUS Master, - the associated software developments, - the implementation of a VME test system dedicated to all control tasks [fr

  20. Slice Test Results of the ATLAS Barrel Muon Level-1 Trigger

    CERN Document Server

    Aielli, G; Alviggi, M G; Bocci, V; Brambilla, Elena; Canale, V; Caprio, M A; Cardarelli, R; Cataldi, G; De Asmundis, R; Della Volpe, D; Di Ciaccio, A; Di Simone, A; Distante, L; Gorini, E; Grancagnolo, F; Iengo, P; Nisati, A; Pastore, F; Patricelli, S; Perrino, R; Petrolo, E; Primavera, M; Salamon, A; Santonico, R; Sekhniaidze, G; Severi, M; Spagnolo, S; Vari, R; Veneziano, Stefano; 9th Workshop On Electronics For LHC Experiments - LECC 2003

    2003-01-01

    The muon spectrometer of the ATLAS experiment makes use of the Resistive Plate Chambers detectors for particle tracking in the barrel region. The level-1 muon trigger system has to measure and discriminate muon transverse momentum, perform a fast and coarse tracking of the muon candidates, associate them to the bunch crossing corresponding to the event of interest, measure the second coordinate in the non-bending projection. The on-detector electronics first collects front-end signals coming from the two inner RPC stations on the low-pT PAD boards, each one covering a region of DetaxDphi=0.2x0.2, and hosting four Coincidence Matrix ASICs. Each CMA performs the low-pT trigger algorithm and data readout on a region of DetaxDphi=0.2x0.1. Data coming from the four CMAs are assembled by the low-pT PAD logic. Each low-pT PAD board sends data to the corresponding high-pT PAD boards, located on the outer RPC station. Four CMA on each board make use of the low-pT trigger result and of the front-end signals coming from...

  1. The Topological Processor for the future ATLAS Level-1 Trigger

    CERN Document Server

    Kahra, C; The ATLAS collaboration

    2014-01-01

    ATLAS is an experiment on the Large Hadron Collider (LHC), located at the European Organization for Nuclear Research (CERN) in Switzerland. By 2015 the LHC instantaneous luminosity will be increased from $10^{34}$ up to $3\\cdot 10^{34} \\mathrm{cm}^{-2} \\mathrm{s}^{-1}$. This places stringent operational and physical requirements on the ATLAS Trigger in order to reduce the 40MHz collision rate to a manageable event storage rate of 1kHz while at the same time, selecting those events that contain interesting physics events. The Level-1 Trigger is the first rate-reducing step in the ATLAS Trigger, with an output rate of 100kHz and decision latency of less than $2.5 \\mu \\mathrm{s}$. It is composed of the Calorimeter Trigger, the Muon Trigger and the Central Trigger Processor (CTP). In 2014, there will be a new electronics module: the Topological Processor (L1Topo). The L1Topo will make it possible, for the first time, to use detailed information from subdetectors in a single Level-1 module. This allows the determi...

  2. The Level-1 Tile-Muon Trigger in the Tile Calorimeter upgrade program

    International Nuclear Information System (INIS)

    Ryzhov, A.

    2016-01-01

    The Tile Calorimeter (TileCal) is the central hadronic calorimeter of the ATLAS experiment at the Large Hadron Collider (LHC). TileCal provides highly-segmented energy measurements for incident particles. Information from TileCal's outermost radial layer can assist in muon tagging in the Level-1 Muon Trigger by rejecting fake muon triggers due to slow charged particles (typically protons) without degrading the efficiency of the trigger. The main activity of the Tile-Muon Trigger in the ATLAS Phase-0 upgrade program was to install and to activate the TileCal signal processor module for providing trigger inputs to the Level-1 Muon Trigger. This report describes the Tile-Muon Trigger, focusing on the new detector electronics such as the Tile Muon Digitizer Board (TMDB) that receives, digitizes and then provides the signal from eight TileCal modules to three Level-1 muon endcap Sector-Logic Boards.

  3. System design and verification process for LHC programmable trigger electronics

    CERN Document Server

    Crosetto, D

    1999-01-01

    The rapid evolution of electronics has made it essential to design systems in a technology-independent form that will permit their realization in any future technology. This article describes two practical projects that have been developed for fast, programmable, scalable, modular electronics for the first-level trigger of Large Hadron Collider (LHC) experiments at CERN, Geneva. In both projects, one for the front-end electronics and the second for executing first- level trigger algorithms, the whole system requirements were constrained to two types of replicated components. The overall problem is described, the 3D-Flow design is introduced as a novel solution, and current solutions to the problem are described and compared with the 3D-Flow solution. The design/verification methodology proposed allows the user's real-time system algorithm to be verified down to the gate-level simulation on a technology- independent platform, thus yielding the design for a system that can be implemented with any technology at ...

  4. FPGA Co-processor for the ALICE High Level Trigger

    CERN Document Server

    Grastveit, G.; Lindenstruth, V.; Loizides, C.; Roehrich, D.; Skaali, B.; Steinbeck, T.; Stock, R.; Tilsner, H.; Ullaland, K.; Vestbo, A.; Vik, T.

    2003-01-01

    The High Level Trigger (HLT) of the ALICE experiment requires massive parallel computing. One of the main tasks of the HLT system is two-dimensional cluster finding on raw data of the Time Projection Chamber (TPC), which is the main data source of ALICE. To reduce the number of computing nodes needed in the HLT farm, FPGAs, which are an intrinsic part of the system, will be utilized for this task. VHDL code implementing the Fast Cluster Finder algorithm, has been written, a testbed for functional verification of the code has been developed, and the code has been synthesized

  5. Topological Trigger Developments

    CERN Multimedia

    Likhomanenko, Tatiana

    2015-01-01

    The main b-physics trigger algorithm used by the LHCb experiment is the so-called topological trigger. The topological trigger selects vertices which are a) detached from the primary proton-proton collision and b) compatible with coming from the decay of a b-hadron. In the LHC Run 1, this trigger utilized a custom boosted decision tree algorithm, selected an almost 100% pure sample of b-hadrons with a typical efficiency of 60-70%, and its output was used in about 60% of LHCb papers. This talk presents studies carried out to optimize the topological trigger for LHC Run 2. In particular, we have carried out a detailed comparison of various machine learning classifier algorithms, e.g., AdaBoost, MatrixNet and uBoost. The topological trigger algorithm is designed to select all "interesting" decays of b-hadrons, but cannot be trained on every such decay. Studies have therefore been performed to determine how to optimize the performance of the classification algorithm on decays not used in the training. These inclu...

  6. Persistent Back End for the ATLAS Information Service of Trigger and Data Acquisition

    CERN Document Server

    Sicoe, Alexandru

    ATLAS is the largest of several experiments built along the Large Hadron Collider at CERN, Geneva. Its aim is to measure particle production when protons collide at a very high center of mass energy, thus reproducing the behavior of matter a few instants after the big bang. The detecting techniques used for this purpose are very sophisticated and the amount of digitized data created by the sensing elements requires a very large data acquisition system, based on thousands of interconnected computers. The experiment is successfully taking data since the end of 2008 and the trigger and data acquisition are now in a production stage.The main development eorts are guided towards adding easy to use and intuitive tools to aid experts monitor dierent components or subsystems. P BEAST is an example of such a tool. It facilitates the storage of vast amounts of operational information which is otherwise lost. With this data at hand, long term analysis can be made and issues discovered. The project has reached deployment...

  7. The ATLAS Muon Trigger Performance : Run 1 and initial Run 2.

    CERN Document Server

    Kasahara, Kota; The ATLAS collaboration

    2015-01-01

    Events with muons in the final state are an important signature for many physics topics at the Large Hadron Collider (LHC). An efficient trigger on muons and a detailed understanding of its performance are required. In 2012, the last year of Run 1, the instantaneous luminosity of the LHC reached 7.7x10^33 cm -2s-1 and the average number of events that occur in a same bunch crossing was 25. The ATLAS Muon trigger has successfully adapted to this changing environment by making use of isolation requirements, combined trigger signatures with electron and jet trigger objects, and by using so-called full-scan triggers, which make use of the full event information to search for di-lepton signatures, seeded by single lepton objects. A stable and highly efficient muon trigger was vital in the discovery of Higgs boson in 2012 and for many searches for new physics. 
The performance of muon triggers during the LHC Run 1 data-taking campaigns is presented, together with an overview and preliminary results of the new muo...

  8. The ATLAS Run-2 Trigger: Design, Menu, Performance and Operational Aspects

    CERN Document Server

    Martin, Tim; The ATLAS collaboration

    2016-01-01

    The LHC, at design capacity, has a bunch-crossing rate of 40 MHz whereas the ATLAS experiment at the LHC has an average recording rate of about 1000 Hz. To reduce the rate of events but still maintain a high efficiency of selecting rare events such as physics signals beyond the Standard Model, a two-level trigger system is used in ATLAS. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. Despite the limited time available for processing collision events, the trigger system is able to exploit topological information, as well as using multi-variate methods. In total, the ATLAS trigger system consists of thousands of different individual triggers. The ATLAS trigger menu specifies which triggers are used during data taking and how much rate a given trigger is allocated. This menu reflects not only the physics goals of the collaboration but also takes the instantaneous luminosity of the LHC, the design limits of the ATLAS detector and the o...

  9. Architecture of a Level 1 Track Trigger for the CMS Experiment

    CERN Document Server

    Heintz, Ulrich

    2010-01-01

    The luminosity goal for the Super-LHC is 1035/cm2/s. At this luminosity the number of proton-proton interactions in each beam crossing will be in the hundreds. This will stress many components of the CMS detector. One system that has to be upgraded is the trigger system. To keep the rate at which the level 1 trigger fires manageable, information from the tracker has to be integrated into the level 1 trigger. Current design proposals foresee tracking detectors that perform on-detector filtering to reject hits from low-momentum particles. In order to build a trigger system, the filtered hit data from different layers and sectors of the tracker will have to be transmitted off the detector and brought together in a logic processor that generates trigger tracks within the time window allowed by the level 1 trigger latency. I will describe a possible architecture for the off-detector logic that accomplishes this goal.

  10. Lessons from (triggered) tremor

    Science.gov (United States)

    Gomberg, Joan

    2010-01-01

    I test a “clock-advance” model that implies triggered tremor is ambient tremor that occurs at a sped-up rate as a result of loading from passing seismic waves. This proposed model predicts that triggering probability is proportional to the product of the ambient tremor rate and a function describing the efficacy of the triggering wave to initiate a tremor event. Using data mostly from Cascadia, I have compared qualitatively a suite of teleseismic waves that did and did not trigger tremor with ambient tremor rates. Many of the observations are consistent with the model if the efficacy of the triggering wave depends on wave amplitude. One triggered tremor observation clearly violates the clock-advance model. The model prediction that larger triggering waves result in larger triggered tremor signals also appears inconsistent with the measurements. I conclude that the tremor source process is a more complex system than that described by the clock-advance model predictions tested. Results of this and previous studies also demonstrate that (1) conditions suitable for tremor generation exist in many tectonic environments, but, within each, only occur at particular spots whose locations change with time; (2) any fluid flow must be restricted to less than a meter; (3) the degree to which delayed failure and secondary triggering occurs is likely insignificant; and 4) both shear and dilatational deformations may trigger tremor. Triggered and ambient tremor rates correlate more strongly with stress than stressing rate, suggesting tremor sources result from time-dependent weakening processes rather than simple Coulomb failure.

  11. Towards a Level-1 tracking trigger for the ATLAS experiment

    CERN Document Server

    Cerri, A; The ATLAS collaboration

    2014-01-01

    The future plans for the LHC accelerator allow, through a schedule of phased upgrades, an increase in the average instantaneous luminosity by a factor 5 with respect to the original design luminosity. The ATLAS experiment at the LHC will be able to maximise the physics potential from this higher luminosity only if the detector, trigger and DAQ infrastructure are adapted to handle the sustained increase in particle production rates. In this paper the changes expected to be required to the ATLAS detectors and trigger system to fulfill the requirement for working in such high luminosity scenario are described. The increased number of interactions per bunch crossing will result in higher occupancy in the detectors and increased rates at each level of the trigger system. The trigger selection will improve the selectivity partly from increased granularity for the sub detectors and the consequent higher resolution. One of the largest challenges will be the provision of tracking information at the first trigger level...

  12. Fast response electromagnetic follow-ups from low latency GW triggers

    International Nuclear Information System (INIS)

    Howell, E J; Chu, Q; Rowlinson, A; Wen, L; Gao, H; Zhang, B; Tingay, S J; Boër, M

    2016-01-01

    We investigate joint low-latency gravitational wave (GW) detection and prompt electromagnetic (EM) follow-up observations of coalescing binary neutron stars (BNSs). Assuming that BNS mergers are associated with short duration gamma ray bursts (SGRBs), we evaluate if rapid EM follow-ups can capture the prompt emission, early engine activity or reveal any potential by-products such as magnetars or fast radio bursts. To examine the expected performance of extreme low-latency search pipelines, we simulate a population of coalescing BNSs and use these to estimate the detectability and localisation efficiency at different times before merger. Using observational SGRB flux data corrected to the range of the advanced GW interferometric detectors, we determine what EM observations could be achieved from low-frequency radio up to high energy γ-ray. We show that while challenging, breakthrough multi-messenger science is possible through low latency pipelines. (paper)

  13. Event-triggered Kalman-consensus filter for two-target tracking sensor networks.

    Science.gov (United States)

    Su, Housheng; Li, Zhenghao; Ye, Yanyan

    2017-11-01

    This paper is concerned with the problem of event-triggered Kalman-consensus filter for two-target tracking sensor networks. According to the event-triggered protocol and the mean-square analysis, a suboptimal Kalman gain matrix is derived and a suboptimal event-triggered distributed filter is obtained. Based on the Kalman-consensus filter protocol, all sensors which only depend on its neighbors' information can track their corresponding targets. Furthermore, utilizing Lyapunov method and matrix theory, some sufficient conditions are presented for ensuring the stability of the system. Finally, a simulation example is presented to verify the effectiveness of the proposed event-triggered protocol. Copyright © 2017 ISA. Published by Elsevier Ltd. All rights reserved.

  14. Development and Evaluation of the Muon Trigger Detector Using a Resistive Plate Chamber

    International Nuclear Information System (INIS)

    Park, Byeong Hyeon; Kim, Yong Kyun; Kang, Jeong Soo; Kim, Young Jin; Choi, Ihn Jea; Kim, Chong; Hong, Byung Sik

    2011-01-01

    The PHENIX Experiment is the largest of the four experiments that have taken data at the Relativistic Heavy Ion Collider. PHENIX, the Pioneering High Energy Nuclear Interaction eXperiment, is designed specifically to measure direct probes of the collisions such as electrons, muons, and photons. The primary goal of PHENIX is to discover and study a new state of matter called the Quark-Gluon Plasma. Among many particles, muons coming from W-boson decay gives us key information to analyze the spin of proton. Resistive plate chambers are proposed as a suitable solution as a muon trigger because of their fast response and good time resolution, flexibility in signal readout, robustness and the relatively low cost of production. The RPC detectors for upgrade were assembled and their performances were evaluated. The procedure to make the detectors better was optimized and described in detail in this thesis. The code based on ROOT was written and by using this the performance of the detectors made was evaluated, and all of the modules for north muon arm met the criteria and installation at PHENIX completed in November 2009. As RPC detectors that we made showed fast response, capacity of covering wide area with a resonable price and good spatial resolution, this will give the opportunity for applications, such as diagnosis and customs inspection system

  15. Pull-Based Distributed Event-Triggered Consensus for Multiagent Systems With Directed Topologies.

    Science.gov (United States)

    Yi, Xinlei; Lu, Wenlian; Chen, Tianping

    2017-01-01

    This paper mainly investigates consensus problem with a pull-based event-triggered feedback control. For each agent, the diffusion coupling feedbacks are based on the states of its in-neighbors at its latest triggering time, and the next triggering time of this agent is determined by its in-neighbors' information. The general directed topologies, including irreducible and reducible cases, are investigated. The scenario of distributed continuous communication is considered first. It is proved that if the network topology has a spanning tree, then the event-triggered coupling algorithm can realize the consensus for the multiagent system. Then, the results are extended to discontinuous communication, i.e., self-triggered control, where each agent computes its next triggering time in advance without having to observe the system's states continuously. The effectiveness of the theoretical results is illustrated by a numerical example finally.

  16. The Trigger Processor and Trigger Processor Algorithms for the ATLAS New Small Wheel Upgrade

    CERN Document Server

    Lazovich, Tomo; The ATLAS collaboration

    2015-01-01

    The ATLAS New Small Wheel (NSW) is an upgrade to the ATLAS muon endcap detectors that will be installed during the next long shutdown of the LHC. Comprising both MicroMegas (MMs) and small-strip Thin Gap Chambers (sTGCs), this system will drastically improve the performance of the muon system in a high cavern background environment. The NSW trigger, in particular, will significantly reduce the rate of fake triggers coming from track segments in the endcap not originating from the interaction point. We will present an overview of the trigger, the proposed sTGC and MM trigger algorithms, and the hardware implementation of the trigger. In particular, we will discuss both the heart of the trigger, an ATCA system with FPGA-based trigger processors (using the same hardware platform for both MM and sTGC triggers), as well as the full trigger electronics chain, including dedicated cards for transmission of data via GBT optical links. Finally, we will detail the challenges of ensuring that the trigger electronics can ...

  17. Enabling Business Processes through Information Management and IT Systems: The FastFit and Winter Gear Distributors Case Studies

    Science.gov (United States)

    Kesner, Richard M.; Russell, Bruce

    2009-01-01

    The "FastFit Case Study" and its companion, the "Winter Gear Distributors Case Study" provide undergraduate business students with a suitable and even familiar business context within which to initially consider the role of information management (IM) and to a lesser extent the role of information technology (IT) systems in enabling a business.…

  18. Comparison cosmic ray irradiation simulation and particle beam test on UFFO Burst Alert & Trigger telescope(UBAT) detectors

    DEFF Research Database (Denmark)

    Jeong, H. M.; Jeong, S.; Kim, M. B.

    2017-01-01

    Ultra-Fast Flash Observatory pathfinder(UFFO-p) was launched onboard Lomonosov on 28th of April, 2016, and now is under various types of calibration for detection of Gamma Ray Bursts (GRBs). Since last September UFFO-p has taken X-ray data in space with UFFO Burst Alert &Trigger telescope (UBAT),...

  19. Fast trigger techniques

    International Nuclear Information System (INIS)

    Waloschek, P.

    1980-11-01

    Electronic systems which recognize useful reactions and reject most background within a few microseconds are discussed in connection with their application in electron positron storage ring experiments. (orig.)

  20. Information spread of emergency events: path searching on social networks.

    Science.gov (United States)

    Dai, Weihui; Hu, Hongzhi; Wu, Tunan; Dai, Yonghui

    2014-01-01

    Emergency has attracted global attentions of government and the public, and it will easily trigger a series of serious social problems if it is not supervised effectively in the dissemination process. In the Internet world, people communicate with each other and form various virtual communities based on social networks, which lead to a complex and fast information spread pattern of emergency events. This paper collects Internet data based on data acquisition and topic detection technology, analyzes the process of information spread on social networks, describes the diffusions and impacts of that information from the perspective of random graph, and finally seeks the key paths through an improved IBF algorithm. Application cases have shown that this algorithm can search the shortest spread paths efficiently, which may help us to guide and control the information dissemination of emergency events on early warning.

  1. Information Spread of Emergency Events: Path Searching on Social Networks

    Directory of Open Access Journals (Sweden)

    Weihui Dai

    2014-01-01

    Full Text Available Emergency has attracted global attentions of government and the public, and it will easily trigger a series of serious social problems if it is not supervised effectively in the dissemination process. In the Internet world, people communicate with each other and form various virtual communities based on social networks, which lead to a complex and fast information spread pattern of emergency events. This paper collects Internet data based on data acquisition and topic detection technology, analyzes the process of information spread on social networks, describes the diffusions and impacts of that information from the perspective of random graph, and finally seeks the key paths through an improved IBF algorithm. Application cases have shown that this algorithm can search the shortest spread paths efficiently, which may help us to guide and control the information dissemination of emergency events on early warning.

  2. Performance of a fast low noise front-end preamplifier for the MAGIC imaging Cherenkov telescope

    International Nuclear Information System (INIS)

    Blanch, O.; Blanchot, G.; Bosman, M.

    1999-01-01

    The observation of high energy cosmic gamma rays with an energy threshold of 15 GeV using the proposed MAGIC ground based air imaging Cherenkov telescope requires the development of new low noise fast preamplifiers for the camera photosensors. The speed and noise performance of a transimpedance preamplifier that resolves the multi photoelectron peaks from a hybrid photomultiplier with a peaking time below 7 ns is presented. The new front-end circuit is designed with RF low noise bipolar transistors and provides fast output pulses that allow for fast trigger settings

  3. The new Global Muon Trigger of the CMS experiment

    CERN Document Server

    Fulcher, Jonathan Richard; Rabady, Dinyar Sebastian; Reis, Thomas; Sakulin, Hannes

    2016-01-01

    For the 2016 physics data runs the L1 trigger system of the Compact Muon Solenoid (CMS) experiment underwent a major upgrade to cope with the increasing instantaneous luminosity of the CERN LHC whilst maintaining a high event selection efficiency for the CMS physics program. Most subsystem specific trigger processor boards were replaced with powerful general purpose processor boards, conforming to the MicroTCA standard, whose tasks are performed by firmware on an FPGA of the Xilinx Virtex 7 family. Furthermore, the muon trigger system moved from a subsystem centered approach, where each of the three muon detector systems provides muon candidates to the Global Muon Trigger (GMT), to a region based system, where muon track finders (TFs) combine information from the subsystems to generate muon candidates in three detector regions, that are then sent to the upgraded GMT. The upgraded GMT receives up to 108 muons from the processors of the muon TFs in the barrel, overlap, and endcap detector regions. The muons are...

  4. Instrumentation of the upgraded ATLAS tracker with a double buffer front-end architecture for track triggering

    International Nuclear Information System (INIS)

    Wardrope, D

    2012-01-01

    The Large Hadron Collider will be upgraded to provide instantaneous luminosity L = 5 × 10 34 cm −2 s −1 , leading to excessive rates from the ATLAS Level-1 trigger. A double buffer front-end architecture for the ATLAS tracker replacement is proposed, that will enable the use of track information in trigger decisions within 20 μs in order to reduce the high trigger rates. Analysis of ATLAS simulations have found that using track information will enable the use of single lepton triggers with transverse momentum thresholds of p T ∼ 25 GeV, which will be of great benefit to the future physics programme of ATLAS.

  5. A Scalable and Reliable Message Transport Service for the ATLAS Trigger and Data Acquisition System

    CERN Document Server

    Kazarov, A; The ATLAS collaboration; Kolos, S; Lehmann Miotto, G; Soloviev, I

    2014-01-01

    The ATLAS Trigger and Data Acquisition (TDAQ) is a large distributed computing system composed of several thousands of interconnected computers and tens of thousands applications. During a run, TDAQ applications produce a lot of control and information messages with variable rates, addressed to TDAQ operators or to other applications. Reliable, fast and accurate delivery of the messages is important for the functioning of the whole TDAQ system. The Message Transport Service (MTS) provides facilities for the reliable transport, the filtering and the routing of the messages, basing on publish-subscribe-notify communication pattern with content-based message filtering. During the ongoing LHC shutdown, the MTS was re-implemented, taking into account important requirements like reliability, scalability and performance, handling of slow subscribers case and also simplicity of the design and the implementation. MTS uses CORBA middleware, a common layer for TDAQ infrastructure, and provides sending/subscribing APIs i...

  6. The performance and development of the ATLAS Inner Detector Trigger

    International Nuclear Information System (INIS)

    Washbrook, A

    2014-01-01

    A description of the ATLAS Inner Detector (ID) software trigger algorithms and the performance of the ID trigger for LHC Run 1 are presented, as well as prospects for a redesign of the tracking algorithms in Run 2. The ID trigger HLT algorithms are essential for a large number of signatures within the ATLAS trigger. During the shutdown, modifications are being made to the LHC machine, to increase both the beam energy and luminosity. This in turn poses significant challenges for the trigger algorithms both in terms of execution time and physics performance. To meet these challenges the ATLAS HLT software is being restructured to run as a single stage rather than in the two distinct levels present during the Run 1 operation. This is allowing the tracking algorithms to be redesigned to make optimal use of the CPU resources available and to integrate new detector systems being added to ATLAS for post-shutdown running. Expected future improvements in the timing and efficiencies of the Inner Detector triggers are also discussed. In addition, potential improvements in the algorithm performance resulting from the additional spacepoint information from the new Insertable B-Layer are presented

  7. Probing Photoinduced Structural Phase Transitions by Fast or Ultra-Fast Time-Resolved X-Ray Diffraction

    Science.gov (United States)

    Cailleau, Hervé Collet, Eric; Buron-Le Cointe, Marylise; Lemée-Cailleau, Marie-Hélène Koshihara, Shin-Ya

    A new frontier in the field of structural science is the emergence of the fast and ultra-fast X-ray science. Recent developments in time-resolved X-ray diffraction promise direct access to the dynamics of electronic, atomic and molecular motions in condensed matter triggered by a pulsed laser irradiation, i.e. to record "molecular movies" during the transformation of matter initiated by light pulse. These laser pump and X-ray probe techniques now provide an outstanding opportunity for the direct observation of a photoinduced structural phase transition as it takes place. The use of X-ray short-pulse of about 100ps around third-generation synchrotron sources allows structural investigations of fast photoinduced processes. Other new X-ray sources, such as laser-produced plasma ones, generate ultra-short pulses down to 100 fs. This opens the way to femtosecond X-ray crystallography, but with rather low X-ray intensities and more limited experimental possibilities at present. However this new ultra-fast science rapidly progresses around these sources and new large-scale projects exist. It is the aim of this contribution to overview the state of art and the perspectives of fast and ultra-fast X-ray scattering techniques to study photoinduced phase transitions (here, the word ultra-fast is used for sub-picosecond time resolution). In particular we would like to largely present the contribution of crystallographic methods in comparison with optical methods, such as pump-probe reflectivity measurements, the reader being not necessary familiar with X-ray scattering. Thus we want to present which type of physical information can be obtained from the positions of the Bragg peaks, their intensity and their shape, as well as from the diffuse scattering beyond Bragg peaks. An important physical feature is to take into consideration the difference in nature between a photoinduced phase transition and conventional homogeneous photoinduced chemical or biochemical processes where

  8. Runaway electron generation as possible trigger for enhancement of magnetohydrodynamic plasma activity and fast changes in runaway beam behavior

    International Nuclear Information System (INIS)

    Pankratov, I. M.; Zhou, R. J.; Hu, L. Q.

    2015-01-01

    Peculiar phenomena were observed during experiments with runaway electrons: rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the electron cyclotron emission (ECE) signal (cyclotron radiation of suprathermal electrons). These phenomena were initially observed in TEXTOR (Tokamak Experiment for Technology Oriented Research), where these events only occurred in the current decay phase or in discharges with thin stable runaway beams at a q = 1 drift surface. These rapid changes in the synchrotron spot were interpreted by the TEXTOR team as a fast pitch angle scattering event. Recently, similar rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the non-thermal ECE signal were observed in the EAST (Experimental Advanced Superconducting Tokamak) runaway discharge. Runaway electrons were located around the q = 2 rational magnetic surface (ring-like runaway electron beam). During the EAST runaway discharge, stepwise ECE signal increases coincided with enhanced magnetohydrodynamic (MHD) activity. This behavior was peculiar to this shot. In this paper, we show that these non-thermal ECE step-like jumps were related to the abrupt growth of suprathermal electrons induced by bursting electric fields at reconnection events during this MHD plasma activity. Enhancement of the secondary runaway electron generation also occurred simultaneously. Local changes in the current-density gradient appeared because of local enhancement of the runaway electron generation process. These current-density gradient changes are considered to be a possible trigger for enhancement of the MHD plasma activity and the rapid changes in runaway beam behavior

  9. Runaway electron generation as possible trigger for enhancement of magnetohydrodynamic plasma activity and fast changes in runaway beam behavior

    Energy Technology Data Exchange (ETDEWEB)

    Pankratov, I. M., E-mail: pankratov@kipt.kharkov.ua, E-mail: rjzhou@ipp.ac.cn [Institute of Plasma Physics, NSC Kharkov Institute of Physics and Technology, Academicheskaya Str. 1, 61108 Kharkov (Ukraine); Zhou, R. J., E-mail: pankratov@kipt.kharkov.ua, E-mail: rjzhou@ipp.ac.cn; Hu, L. Q. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China)

    2015-07-15

    Peculiar phenomena were observed during experiments with runaway electrons: rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the electron cyclotron emission (ECE) signal (cyclotron radiation of suprathermal electrons). These phenomena were initially observed in TEXTOR (Tokamak Experiment for Technology Oriented Research), where these events only occurred in the current decay phase or in discharges with thin stable runaway beams at a q = 1 drift surface. These rapid changes in the synchrotron spot were interpreted by the TEXTOR team as a fast pitch angle scattering event. Recently, similar rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the non-thermal ECE signal were observed in the EAST (Experimental Advanced Superconducting Tokamak) runaway discharge. Runaway electrons were located around the q = 2 rational magnetic surface (ring-like runaway electron beam). During the EAST runaway discharge, stepwise ECE signal increases coincided with enhanced magnetohydrodynamic (MHD) activity. This behavior was peculiar to this shot. In this paper, we show that these non-thermal ECE step-like jumps were related to the abrupt growth of suprathermal electrons induced by bursting electric fields at reconnection events during this MHD plasma activity. Enhancement of the secondary runaway electron generation also occurred simultaneously. Local changes in the current-density gradient appeared because of local enhancement of the runaway electron generation process. These current-density gradient changes are considered to be a possible trigger for enhancement of the MHD plasma activity and the rapid changes in runaway beam behavior.

  10. Runaway electron generation as possible trigger for enhancement of magnetohydrodynamic plasma activity and fast changes in runaway beam behavior

    Science.gov (United States)

    Pankratov, I. M.; Zhou, R. J.; Hu, L. Q.

    2015-07-01

    Peculiar phenomena were observed during experiments with runaway electrons: rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the electron cyclotron emission (ECE) signal (cyclotron radiation of suprathermal electrons). These phenomena were initially observed in TEXTOR (Tokamak Experiment for Technology Oriented Research), where these events only occurred in the current decay phase or in discharges with thin stable runaway beams at a q = 1 drift surface. These rapid changes in the synchrotron spot were interpreted by the TEXTOR team as a fast pitch angle scattering event. Recently, similar rapid changes in the synchrotron spot and its intensity that coincided with stepwise increases in the non-thermal ECE signal were observed in the EAST (Experimental Advanced Superconducting Tokamak) runaway discharge. Runaway electrons were located around the q = 2 rational magnetic surface (ring-like runaway electron beam). During the EAST runaway discharge, stepwise ECE signal increases coincided with enhanced magnetohydrodynamic (MHD) activity. This behavior was peculiar to this shot. In this paper, we show that these non-thermal ECE step-like jumps were related to the abrupt growth of suprathermal electrons induced by bursting electric fields at reconnection events during this MHD plasma activity. Enhancement of the secondary runaway electron generation also occurred simultaneously. Local changes in the current-density gradient appeared because of local enhancement of the runaway electron generation process. These current-density gradient changes are considered to be a possible trigger for enhancement of the MHD plasma activity and the rapid changes in runaway beam behavior.

  11. Interdependence of AMPK and SIRT1 for metabolic adaptation to fasting and exercise in skeletal muscle

    DEFF Research Database (Denmark)

    Cantó, Carles; Jiang, Lake Q; Deshmukh, Atul S

    2010-01-01

    During fasting and after exercise, skeletal muscle efficiently switches from carbohydrate to lipid as the main energy source to preserve glycogen stores and blood glucose levels for glucose-dependent tissues. Skeletal muscle cells sense this limitation in glucose availability and transform...... and lipid utilization genes. Deficient AMPK activity compromises SIRT1-dependent responses to exercise and fasting, resulting in impaired PGC-1alpha deacetylation and blunted induction of mitochondrial gene expression. Thus, we conclude that AMPK acts as the primordial trigger for fasting- and exercise...

  12. Reliability model analysis and primary experimental evaluation of laser triggered pulse trigger

    International Nuclear Information System (INIS)

    Chen Debiao; Yang Xinglin; Li Yuan; Li Jin

    2012-01-01

    High performance pulse trigger can enhance performance and stability of the PPS. It is necessary to evaluate the reliability of the LTGS pulse trigger, so we establish the reliability analysis model of this pulse trigger based on CARMES software, the reliability evaluation is accord with the statistical results. (authors)

  13. The Fast-Casual Conundrum: Fast-Casual Restaurant Entrées Are Higher in Calories than Fast Food.

    Science.gov (United States)

    Schoffman, Danielle E; Davidson, Charis R; Hales, Sarah B; Crimarco, Anthony E; Dahl, Alicia A; Turner-McGrievy, Gabrielle M

    2016-10-01

    Frequently eating fast food has been associated with consuming a diet high in calories, and there is a public perception that fast-casual restaurants (eg, Chipotle) are healthier than traditional fast food (eg, McDonald's). However, research has not examined whether fast-food entrées and fast-casual entrées differ in calorie content. The purpose of this study was to determine whether the caloric content of entrées at fast-food restaurants differed from that found at fast-casual restaurants. This study was a cross-sectional analysis of secondary data. Calorie information from 2014 for lunch and dinner entrées for fast-food and fast-casual restaurants was downloaded from the MenuStat database. Mean calories per entrée between fast-food restaurants and fast-casual restaurants and the proportion of restaurant entrées that fell into different calorie ranges were assessed. A t test was conducted to test the hypothesis that there was no difference between the average calories per entrée at fast-food and fast-casual restaurants. To examine the difference in distribution of entrées in different calorie ranges between fast-food and fast-casual restaurants, χ(2) tests were used. There were 34 fast-food and 28 fast-casual restaurants included in the analysis (n=3,193 entrées). Fast-casual entrées had significantly more calories per entrée (760±301 kcal) than fast-food entrées (561±268; Prestaurants to determine whether the energy content or nutrient density of full meals (ie, entrées with sides and drinks) differs between fast-casual restaurants and fast-food restaurants. Calorie-conscious consumers should consider the calorie content of entrée items before purchase, regardless of restaurant type. Copyright © 2016 Academy of Nutrition and Dietetics. Published by Elsevier Inc. All rights reserved.

  14. Stay away from asthma triggers

    Science.gov (United States)

    Asthma triggers - stay away from; Asthma triggers - avoiding; Reactive airway disease - triggers; Bronchial asthma - triggers ... clothes. They should leave the coat outside or away from your child. Ask people who work at ...

  15. The ATLAS Muon to Central Trigger Processor Interface Upgrade for the Run 3 of the LHC

    CERN Document Server

    Armbruster, Aaron James; The ATLAS collaboration; Chelstowska, Magda Anna

    2017-01-01

    To cope with the higher luminosity and physics cross-sections for the third run of the Large Hadron Collider (LHC) and beyond, the Trigger and Data Acquisition (TDAQ) system of ATLAS experiment at CERN is being upgraded. Part of the TDAQ system, the Muon to Central Trigger Processor Interface (MUCTPI) receives muon candidates information from each of the 208 barrel and endcap muon trigger sectors, counts muon candidates for each transverse momentum threshold and sends the result to the Central Trigger Processor (CTP). The MUCTPI takes into account the possible overlap between trigger sectors in order to avoid double counting of muon candidates. A full redesign and replacement of the existing MUCTPI is required in order to provide full-granularity muon position information at the bunch crossing rate to the Topological Trigger processor (L1Topo) and to be able to interface with the new sector logic modules. State-of-the-art FPGA technology and high-density ribbon fiber-optic transmitters and receivers is being...

  16. The ATLAS Muon-to-Central Trigger Processor Interface Upgrade for the Run 3 of the LHC

    CERN Document Server

    Armbruster, Aaron James; The ATLAS collaboration

    2017-01-01

    To cope with the higher luminosity and physics cross-sections for the third run of the Large Hadron Collider (LHC) and beyond, the Trigger and Data Acquisition (TDAQ) system of ATLAS experiment at CERN is being upgraded. Part of the TDAQ system, the Muon to Central Trigger Processor Interface (MUCTPI) receives muon candidates information from each of the 208 barrel and endcap muon trigger sectors, counts muon candidates for each transverse momentum threshold and sends the result to the Central Trigger Processor (CTP). The MUCTPI takes into account the possible overlap between trigger sectors in order to avoid double counting of muon candidates. A full redesign and replacement of the existing MUCTPI is required in order to provide full-granularity muon position information at the bunch crossing rate to the Topological Trigger processor (L1Topo) and to be able to interface with the new sector logic modules. State-of-the-art FPGA technology and high-density ribbon fiber-optic transmitters and receivers is being...

  17. Evaluation of triggering schemes for KM3NeT

    Energy Technology Data Exchange (ETDEWEB)

    Seitz, T., E-mail: Thomas.Seitz@physik.uni-erlangen.de [Erlangen Centre for Astroparticle Physics, Erwin-Rommel-Str. 1, 91058 Erlangen (Germany); Herold, B., E-mail: Bjoern.Herold@physik.uni-erlangen.de [Erlangen Centre for Astroparticle Physics, Erwin-Rommel-Str. 1, 91058 Erlangen (Germany); Shanidze, R., E-mail: shanidze@physik.uni-erlangen.de [Erlangen Centre for Astroparticle Physics, Erwin-Rommel-Str. 1, 91058 Erlangen (Germany)

    2013-10-11

    The future neutrino telescope KM3NeT, to be built in the Mediterranean Sea, will be the largest of its kind. It will include nearly two hundred thousand photomultiplier tubes (PMT) mounted in multi-PMT digital optical modules (DOM). The dominant source of the PMT signals is decays of {sup 40}K and marine fauna bioluminescence. Selection of neutrino and muon events from this continuous optical background signals requires the implementation of fast and efficient triggers. Various schemes for the filtering of background data and the selection of neutrino and muon events were evaluated for the KM3NeT telescope using Monte Carlo simulations.

  18. Self-triggered image intensifier tube for high-resolution UHECR imaging detector

    CERN Document Server

    Sasaki, M; Jobashi, M

    2003-01-01

    The authors have developed a self-triggered image intensifier tube with high-resolution imaging capability. An image detected by a first image intensifier tube as an electrostatic lens with a photocathode diameter of 100 mm is separated by a half-mirror into a path for CCD readout (768x494 pixels) and a fast control to recognize and trigger the image. The proposed system provides both a high signal-to-noise ratio to improve single photoelectron detection and excellent spatial resolution between 207 and 240 mu m rendering this device a potentially essential tool for high-energy physics and astrophysics experiments, as well as high-speed photography. When combined with a 1-arcmin resolution optical system with 50 deg. field-of-view proposed by the present authors, the observation of ultra high-energy cosmic rays and high-energy neutrinos using this device is expected, leading to revolutionary progress in particle astrophysics as a complementary technique to traditional astronomical observations at multiple wave...

  19. Performance and development for the Inner Detector Trigger Algorithms at ATLAS

    CERN Document Server

    Penc, Ondrej; The ATLAS collaboration

    2015-01-01

    A redesign of the tracking algorithms for the ATLAS trigger for Run 2 starting in spring 2015 is in progress. The ATLAS HLT software has been restructured to run as a more flexible single stage HLT, instead of two separate stages (Level 2 and Event Filter) as in Run 1. The new tracking strategy employed for Run 2 will use a Fast Track Finder (FTF) algorithm to seed subsequent Precision Tracking, and will result in improved track parameter resolution and faster execution times than achieved during Run 1. The performance of the new algorithms has been evaluated to identify those aspects where code optimisation would be most beneficial. The performance and timing of the algorithms for electron and muon reconstruction in the trigger are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performance improvements as the code evolves.

  20. Towards a Level-1 tracking trigger for the ATLAS experiment at the High Luminosity LHC

    CERN Document Server

    Martin, T A D; The ATLAS collaboration

    2014-01-01

    The ability to apply fast processing that can take account of the properties of the tracks that are being reconstructed will enhance the rejection, while retaining high efficiency for events with desired signatures, such as high momentum leptons or multiple jets. Studies to understand the feasibility of such a system have begun, and proceed in two directions: a fast readout for high granularity silicon detectors, and a fast pattern recognition algorithm to be applied just after the Front-End readout for specific sub detectors. Both existing, and novel technologies can offer solutions. The aim of these studies is to determine the parameter space to which this system must be adapted. The status of ongoing tests on specific hardware components crucial for this system, both to increase the ATLAS physics potential and fully satisfy the trigger requirements at very high luminosities are discussed.

  1. Price, promotion, and availability of nutrition information: a descriptive study of a popular fast food chain in New York City.

    Science.gov (United States)

    Basch, Corey Hannah; Ethan, Danna; Rajan, Sonali

    2013-08-25

    Legislation in NYC requires chain restaurants to post calorie information on menu boards in an effort to help consumers make more informed decisions about food and beverage items they are purchasing. While this is a step in the right direction in light of the current obesity epidemic, there are other issues that warrant attention in a fast food setting, namely the pricing of healthy food options, promotional strategies, and access to comprehensive nutrition information. This study focused on a popular fast-food chain in NYC. The study's aims were threefold: (1) to determine the cost differential between the healthiest meal item on the chain's general menu and meal items available specifically on a reduced cost menu for one dollar (US$1.00); (2) to identify and describe the promotions advertised in the windows of these restaurants, as well as the nutrition content of promoted items; and (3) to ascertain availability of comprehensive nutrition information to consumers within the restaurants. We found the healthiest meal item to be significantly higher in price than less nutritious meal items available for $1.00 (t=146.9, phealthful menu items, which may aid in priming customers to purchase these versus more healthful options. Comprehensive nutrition information beyond calorie counts was not readily accessible prior to purchasing. In addition to improving access to comprehensive nutrition information, advertising more of and lowering the prices of nutritious options may encourage consumers to purchase healthier foods in a fast food setting. Additional research in this area is needed in other geographic locations and restaurant chains. 

  2. Pulsed laser triggered high speed microfluidic fluorescence activated cell sorter†‡

    Science.gov (United States)

    Wu, Ting-Hsiang; Chen, Yue; Park, Sung-Yong; Hong, Jason; Teslaa, Tara; Zhong, Jiang F.; Di Carlo, Dino; Teitell, Michael A.

    2014-01-01

    We report a high speed and high purity pulsed laser triggered fluorescence activated cell sorter (PLACS) with a sorting throughput up to 20 000 mammalian cells s−1 with 37% sorting purity, 90% cell viability in enrichment mode, and >90% purity in high purity mode at 1500 cells s−1 or 3000 beads s−1. Fast switching (30 μs) and a small perturbation volume (~90 pL) is achieved by a unique sorting mechanism in which explosive vapor bubbles are generated using focused laser pulses in a single layer microfluidic PDMS channel. PMID:22361780

  3. Triggered creep as a possible mechanism for delayed dynamic triggering of tremor and earthquakes

    Science.gov (United States)

    Shelly, David R.; Peng, Zhigang; Hill, David P.; Aiken, Chastity

    2011-01-01

    The passage of radiating seismic waves generates transient stresses in the Earth's crust that can trigger slip on faults far away from the original earthquake source. The triggered fault slip is detectable in the form of earthquakes and seismic tremor. However, the significance of these triggered events remains controversial, in part because they often occur with some delay, long after the triggering stress has passed. Here we scrutinize the location and timing of tremor on the San Andreas fault between 2001 and 2010 in relation to distant earthquakes. We observe tremor on the San Andreas fault that is initiated by passing seismic waves, yet migrates along the fault at a much slower velocity than the radiating seismic waves. We suggest that the migrating tremor records triggered slow slip of the San Andreas fault as a propagating creep event. We find that the triggered tremor and fault creep can be initiated by distant earthquakes as small as magnitude 5.4 and can persist for several days after the seismic waves have passed. Our observations of prolonged tremor activity provide a clear example of the delayed dynamic triggering of seismic events. Fault creep has been shown to trigger earthquakes, and we therefore suggest that the dynamic triggering of prolonged fault creep could provide a mechanism for the delayed triggering of earthquakes. ?? 2011 Macmillan Publishers Limited. All rights reserved.

  4. The Level-1 Tile-Muon Trigger in the Tile Calorimeter Upgrade Program

    CERN Document Server

    Ryzhov, Andrey; The ATLAS collaboration

    2016-01-01

    The Tile Calorimeter (TileCal) is the central hadronic calorimeter of the ATLAS experiment at the Large Hadron Collider (LHC). The TileCal provides highly-segmented energy measurements for incident particles. Information from TileCal's last radial layer can assist in muon tagging using Level-1 muon trigger. It can help in the rejection of fake muon triggers arising from background radiation (slow charged particles - protons) without degrading the efficiency of the trigger. The TileCal main activity for Phase-0 upgrade ATLAS program (2013-2014) was the activation of the TileCal third layer signal for assisting the muon trigger at 1.0<|η|<1.3 (Tile-Muon Trigger). This report describes the Tile-Muon Trigger at TileCal upgrade activities, focusing on the new on-detector electronics such as Tile Muon Digitizer Board (TMDB) to provide (receive and digitize) the signal from eight TileCal modules to three Level-1 muon endcap sector logic blocks.

  5. Upgrade of the CMS muon trigger system in the barrel region

    International Nuclear Information System (INIS)

    Rabady, Dinyar; Ero, Janos; Flouris, Giannis; Fulcher, Jonathan; Loukas, Nikitas; Paradas, Evangelos; Reis, Thomas; Sakulin, Hannes; Wulz, Claudia-Elisabeth

    2017-01-01

    To maintain the excellent performance shown during the LHC's Run-1 the Level-1 Trigger of the Compact Muon Solenoid experiment underwent a significant upgrade. One part of this upgrade is the re-organization of the muon trigger path from a subsystem-centric view in which hits in the drift tubes (DT), the cathode strip chambers (CSC), and the resistive plate chambers (RPC) were treated separately in dedicated track-finding systems to one in which complementary detector systems for a given region (barrel, overlap, and endcap) are merged at the track-finding level. This fundamental restructuring of the muon trigger system required the development of a system to receive track candidates from the track-finding layer, remove potential duplicate tracks, and forward the best candidates to the global decision layer. An overview will be given of the new track-finder system for the barrel region, the Barrel Muon Track Finder (BMTF), as well as the cancel-out and sorting layer: the upgraded Global Muon Trigger (μGMT). Both the BMTF and μGMT have been implemented in a Xilinx Virtex-7 card utilizing the microTCA architecture. While the BMTF improves on the proven and well-tested algorithms used in the Drift Tube Track Finder during Run-1, the μGMT is an almost complete re-development due to the re-organization of the underlying systems from track-finders for a specific detector to regional track finders covering a given area of the whole detector. Additionally the μGMT calculates a muon's isolation using energy information received from the calorimeter trigger. This information is added to the muon objects forwarded to the global decision layer, the so-called Global Trigger. - Highlights: • Presented upgraded Global Muon Trigger and Barrel Muon Track Finder systems. • Upgraded system moves from sub-detector centric view to geometric-view. • To improve trigger performance. • Common hardware improves maintainability and increases development speed. • Use of

  6. Upgrade of the CMS muon trigger system in the barrel region

    Energy Technology Data Exchange (ETDEWEB)

    Rabady, Dinyar, E-mail: dinyar.rabady@cern.ch [Institute of High Energy Physics Vienna (HEPHY), Nikolsdorfer Gasse 18, 1050 Wien (Austria); Ero, Janos [Institute of High Energy Physics Vienna (HEPHY), Nikolsdorfer Gasse 18, 1050 Wien (Austria); Flouris, Giannis [University of Ioannina, 45110 Ioannina (Greece); Fulcher, Jonathan [CERN, 1211 Geneve 23 (Switzerland); Loukas, Nikitas; Paradas, Evangelos [University of Ioannina, 45110 Ioannina (Greece); Reis, Thomas; Sakulin, Hannes; Wulz, Claudia-Elisabeth [CERN, 1211 Geneve 23 (Switzerland)

    2017-02-11

    To maintain the excellent performance shown during the LHC's Run-1 the Level-1 Trigger of the Compact Muon Solenoid experiment underwent a significant upgrade. One part of this upgrade is the re-organization of the muon trigger path from a subsystem-centric view in which hits in the drift tubes (DT), the cathode strip chambers (CSC), and the resistive plate chambers (RPC) were treated separately in dedicated track-finding systems to one in which complementary detector systems for a given region (barrel, overlap, and endcap) are merged at the track-finding level. This fundamental restructuring of the muon trigger system required the development of a system to receive track candidates from the track-finding layer, remove potential duplicate tracks, and forward the best candidates to the global decision layer. An overview will be given of the new track-finder system for the barrel region, the Barrel Muon Track Finder (BMTF), as well as the cancel-out and sorting layer: the upgraded Global Muon Trigger (μGMT). Both the BMTF and μGMT have been implemented in a Xilinx Virtex-7 card utilizing the microTCA architecture. While the BMTF improves on the proven and well-tested algorithms used in the Drift Tube Track Finder during Run-1, the μGMT is an almost complete re-development due to the re-organization of the underlying systems from track-finders for a specific detector to regional track finders covering a given area of the whole detector. Additionally the μGMT calculates a muon's isolation using energy information received from the calorimeter trigger. This information is added to the muon objects forwarded to the global decision layer, the so-called Global Trigger. - Highlights: • Presented upgraded Global Muon Trigger and Barrel Muon Track Finder systems. • Upgraded system moves from sub-detector centric view to geometric-view. • To improve trigger performance. • Common hardware improves maintainability and increases development speed. • Use of

  7. CMS Trigger Performance

    CERN Document Server

    Donato, Silvio

    2017-01-01

    During its second run of operation (Run 2) which started in 2015, the LHC will deliver a peak instantaneous luminosity that may reach $2 \\cdot 10^{34}$ cm$^{-2}$s$^{-1}$ with an average pile-up of about 55, far larger than the design value. Under these conditions, the online event selection is a very challenging task. In CMS, it is realized by a two-level trigger system the Level-1 (L1) Trigger, implemented in custom-designed electronics, and the High Level Trigger (HLT), a streamlined version of the offline reconstruction software running on a computer farm. In order to face this challenge, the L1 trigger has been through a major upgrade compared to Run 1, whereby all electronic boards of the system have been replaced, allowing more sophisticated algorithms to be run online. Its last stage, the global trigger, is now able to perform complex selections and to compute high-level quantities, like invariant masses. Likewise, the algorithms that run in the HLT go through big improvements; in particular, new appr...

  8. Instrumentation of a Level-1 Track Trigger at ATLAS with Double Buffer Front-End Architecture

    CERN Document Server

    Cooper, B; The ATLAS collaboration

    2012-01-01

    The increased collision rate and pile-up produced at the HLLHC requires a substantial upgrade of the ATLAS level-1 trigger in order to maintain a broad physics reach. We show that tracking information can be used to control trigger rates, and describe a proposal for how this information can be extracted within a two-stage level-1 trigger design that has become the baseline for the HLLHC upgrade. We demonstrate that, in terms of the communication between the external processing and the tracking detector frontends, a hardware solution is possible that fits within the latency constraints of level-1.

  9. The NA27 trigger

    International Nuclear Information System (INIS)

    Bizzarri, R.; Di Capua, E.; Falciano, S.; Iori, M.; Marel, G.; Piredda, G.; Zanello, L.; Haupt, L.; Hellman, S.; Holmgren, S.O.; Johansson, K.E.

    1985-05-01

    We have designed and implemented a minimum bias trigger together with a fiducial volume trigger for the experiment NA27, performed at the CERN SPS. A total of more than 3 million bubble chamber pictures have been taken with a triggered cross section smaller than 75% of the total inelastic cross section. Events containing charm particles were triggered with an efficiency of 98 +2 sub(-3)%. With the fiducial volume trigger, the probability for a picture to contain an interaction in the visible hydrogen increased from 47.3% to 59.5%, reducing film cost and processing effort with about 20%. The improvement in data taking rate is shown to be negligible. (author)

  10. A fast ADC system for silicon μstrips readout

    International Nuclear Information System (INIS)

    Inzani, P.; Pedrini, D.; Sala, S.

    1986-01-01

    A new fast ADC module has been designed. It is part of a large readout system for a high resolution vertex detector consisting of 12 silicon microstrip planes with more than 8000 channels. The module employs a set of monolithic gated integrators on input (LeCroy MIQ 401) multiplexed on a single 8 bit FADC (Thompson EFX8308). A built-in preprocessing, performed through look up tables, accomplishes equalization and reduction of the data and makes high level trigger feasible. As an additional feature, fast histogramming of all the channels in parallel has been made possible with an internal memory. Special care has been paid to realize a low cost and low power consumption system

  11. ATLAS Level-1 Calorimeter Trigger Subsystem Tests of a Prototype Cluster Processor Module

    CERN Document Server

    Garvey, J; Apostologlou, P; Ay, C; Barnett, B M; Bauss, B; Brawn, I P; Bohm, C; Dahlhoff, A; Davis, A O; Edwards, J; Eisenhandler, E F; Gee, C N P; Gillman, A R; Hanke, P; Hellman, S; Hidévgi, A; Hillier, S J; Jakobs, K; Kluge, E E; Landon, M; Mahboubi, K; Mahout, G; Meier, K; Meshkov, P; Moye, T H; Mills, D; Moyse, E; Nix, O; Penno, K; Perera, V J O; Qian, W; Schmitt, K; Schäfer, U; Silverstein, S; Staley, R J; Thomas, J; Trefzger, T M; Watkins, P M; Watson, A; 9th Workshop On Electronics For LHC Experiments - LECC 2003

    2003-01-01

    The Level-1 Calorimeter Trigger consists of a Preprocessor (PP), a Cluster Processor (CP), and a Jet/Energy-sum Processor (JEP). The CP and JEP receive digitised trigger-tower data from the Preprocessor and produce trigger multiplicity and Region-of-Interest (RoI) information. The trigger will also provide intermediate results to the data acquisition (DAQ) system for monitoring and diagnostic purposes by using Readout Driver (ROD) Modules. The CP Modules (CPM) are designed to find isolated electron/photon and hadron/tau clusters in overlapping windows of trigger towers. Each pipelined CPM processes 8-bit data from a total of 128 trigger towers at each LHC crossing. Four full-specification prototypes of CPMs have been built and results of complete tests on individual boards will be presented. These modules were then integrated with other modules to build an ATLAS Level-1 Calorimeter Trigger subsystem test bench. Realtime data were exchanged between modules, and time-slice readout data were tagged and transferr...

  12. A Time-Multiplexed Track-Trigger for the CMS HL-LHC upgrade

    CERN Document Server

    Hall, Geoffrey

    2016-01-01

    A new CMS Tracker is under development for operation at the High Luminosity LHC from 2025. It includes an outer tracker based on special modules of two different types which will construct track stubs using spatially coincident clusters in two closely spaced sensor layers, to reject low transverse momentum track hits and reduce the data volume before data transmission to the Level-1 trigger. The tracker data will be used to reconstruct track segments in dedicated processors before onward transmission to other trigger processors which will combine tracker information with data originating from the calorimeter and muon detectors, to make the final L1 trigger decision. The architecture for processing the tracker data outside the detector is under study, using several alternative approaches. One attractive possibility is to exploit a Time Multiplexed design similar to the one which is currently being implemented in the CMS calorimeter trigger as part of the Phase I trigger upgrade. The novel Time Multiplexed Trig...

  13. Triggers of oral lichen planus flares and the potential role of trigger avoidance in disease management.

    Science.gov (United States)

    Chen, Hannah X; Blasiak, Rachel; Kim, Edwin; Padilla, Ricardo; Culton, Donna A

    2017-09-01

    Many patients with oral lichen planus (OLP) report triggers of flares, some of which overlap with triggers of other oral diseases, including oral allergy syndrome and oral contact dermatitis. The purpose of this study was to evaluate the prevalence of commonly reported triggers of OLP flares, their overlap with triggers of other oral diseases, and the potential role of trigger avoidance as a management strategy. Questionnaire-based survey of 51 patients with biopsy-proven lichen planus with oral involvement seen in an academic dermatology specialty clinic and/or oral pathology clinic between June 2014 and June 2015. Of the participants, 94% identified at least one trigger of their OLP flares. Approximately half of the participants (51%) reported at least one trigger that overlapped with known triggers of oral allergy syndrome, and 63% identified at least one trigger that overlapped with known triggers of oral contact dermatitis. Emotional stress was the most commonly reported trigger (77%). Regarding avoidance, 79% of the study participants reported avoiding their known triggers in daily life. Of those who actively avoided triggers, 89% reported an improvement in symptoms and 70% reported a decrease in the frequency of flares. Trigger identification and avoidance can play a potentially effective role in the management of OLP. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Set up and programming of an ALICE Time-Of-Flight trigger facility and software implementation for its Quality Assurance (QA) during LHC Run 2

    CERN Document Server

    Toschi, Francesco

    2016-01-01

    The Cosmic and Topology Trigger Module (CTTM) is the main component of a trigger based on the ALICE TOF detector. Taking advantage of the TOF fast response, this VME board implements the trigger logic and delivers several L0 trigger outputs, used since Run 1, to provide cosmic triggers and rare triggers in pp, p+Pb and Pb+Pb data taking. Due to TOF DCS architectural change of the PCs controlling the CTTM (from 32 bits to 64 bits) it is mandatory to upgrade the software related to the CTTM including the code programming the FPGA firmware. A dedicated CTTM board will be installed in a CERN lab (Meyrin site), with the aim of recreating the electronics chain of the TOF trigger, to get a comfortable porting of the code to the 64 bit environment. The project proposed to the summer student is the setting up of the CTTM and the porting of the software. Moreover, in order to monitor the CTTM Trigger board during the real data taking, the implementation of a new Quality Assurance (QA) code is also crucial, together wit...

  15. Fast track segment finding in the Monitored Drift Tubes of the ATLAS Muon Spectrometer using a Legendre transform algorithm

    CERN Document Server

    Ntekas, Konstantinos; The ATLAS collaboration

    2018-01-01

    The upgrade of the ATLAS first-level muon trigger for High- Luminosity LHC foresees incorporating the precise tracking of the Monitored Drift Tubes in the current system based on Resistive Plate Chambers and Thin Gap Chambers to improve the accuracy in the transverse momentum measurement and control the single muon trigger rate by suppressing low quality fake triggers. The core of the MDT trigger algorithm is the segment identification and reconstruction which is performed per MDT chamber. The reconstructed segment positions and directions are then combined to extract the muon candidate’s transverse momentum. A fast pattern recognition segment finding algorithm, called the Legendre transform, is proposed to be used for the MDT trigger, implemented in a FPGA housed on a ATCA blade.

  16. Minimum Bias Trigger in ATLAS

    International Nuclear Information System (INIS)

    Kwee, Regina

    2010-01-01

    Since the restart of the LHC in November 2009, ATLAS has collected inelastic pp collisions to perform first measurements on charged particle densities. These measurements will help to constrain various models describing phenomenologically soft parton interactions. Understanding the trigger efficiencies for different event types are therefore crucial to minimize any possible bias in the event selection. ATLAS uses two main minimum bias triggers, featuring complementary detector components and trigger levels. While a hardware based first trigger level situated in the forward regions with 2.2 < |η| < 3.8 has been proven to select pp-collisions very efficiently, the Inner Detector based minimum bias trigger uses a random seed on filled bunches and central tracking detectors for the event selection. Both triggers were essential for the analysis of kinematic spectra of charged particles. Their performance and trigger efficiency measurements as well as studies on possible bias sources will be presented. We also highlight the advantage of these triggers for particle correlation analyses. (author)

  17. The D0 calorimeter trigger

    International Nuclear Information System (INIS)

    Guida, J.

    1992-12-01

    The D0 calorimeter trigger system consists of many levels to make physics motivated trigger decisions. The Level-1 trigger uses hardware techniques to reduce the trigger rate from ∼ 100kHz to 200Hz. It forms sums of electromagnetic and hadronic energy, globally and in towers, along with finding the missing transverse energy. A minimum energy is set on these energy sums to pass the event. The Level-2 trigger is a set of software filters, operating in a parallel-processing microvax farm which further reduces the trigger rate to a few Hertz. These filters will reject events which lack electron candidates, jet candidates, or missing transverse energy in the event. The performance of these triggers during the early running of the D0 detector will also be discussed

  18. Fasting or caloric restriction for healthy aging.

    Science.gov (United States)

    Anton, Stephen; Leeuwenburgh, Christiaan

    2013-10-01

    Aging is associated with a host of biological changes that contribute to a progressive decline in cognitive and physical function, ultimately leading to a loss of independence, and increased risk of mortality. To date, prolonged caloric restriction (i.e., a reduction in caloric intake without malnutrition) is the only non-genetic intervention that has consistently been found to extend both mean and maximal life span across a variety of species. Most individuals have difficulty sustaining prolonged caloric restriction, which has led to a search for alternative approaches that can produce similar to benefits as caloric restriction. A growing body of evidence indicates that fasting periods and intermittent fasting regimens in particular can trigger similar biological pathways as caloric restriction. For this reason, there is increasing scientific interest in further exploring the biological and metabolic effects of intermittent fasting periods, as well as whether long-term compliance may be improved by this type of dietary approach. This special will highlight the latest scientific findings related to the effects of both caloric restriction and intermittent fasting across various species including yeast, fruit flies, worms, rodents, primates, and humans. A specific emphasis is placed on translational research with findings from basic bench to bedside reviewed and practical clinical implications discussed. Copyright © 2013 Elsevier Inc. All rights reserved.

  19. Development and evaluation of the muon trigger detector using a resistive plate chamber

    International Nuclear Information System (INIS)

    Park, Byeong Hyeon

    2010-08-01

    The PHENIX Experiment is the largest of the four experiments that have taken data at the Relativistic Heavy Ion Collider. PHENIX, the Pioneering High Energy Nuclear Interaction experiment, is an exploratory experiment for the investigation of high energy collisions of heavy ions and protons. PHENIX is designed specifically to measure direct probes of the collisions such as electrons, muons, and photons. The primary goal of PHENIX is to discover and study a new state of matter called the Quark-Gluon Plasma. Among many particles, muons coming from W-boson decay gives us key information to analyze the spin of proton. Resistive plate chambers are proposed as a suitable solution as a muon trigger because of their fast response and good time resolution, flexibility in signal readout, robustness and the relatively low cost of production. The RPC detectors for upgrade were assembled and their performances were evaluated. The procedure to make the detectors better was optimized and described in detail in this thesis. The code based on ROOT was written and by using this the performance of the detectors made was evaluated, and all of the modules for north muon arm met the criteria and installation at PHENIX completed in November 2009. As RPC detectors that we made showed fast response, capacity of covering wide area with a resonable price and good spatial resolution, this will give the opportunity for applications,such as diagnosis and customs inspection system

  20. Nutrition Labeling and Portion Size Information on Children's Menus in Fast-Food and Table-Service Chain Restaurants in London, UK

    Science.gov (United States)

    Reeves, Sue; Wake, Yvonne; Zick, Andrea

    2011-01-01

    Objective: To investigate meals, price, nutritional content, and nutrition and portion size information available on children's menus in fast-food and table-service chain restaurants in London, since the United Kingdom does not currently require such information but may be initiating a voluntary guideline. Methods: Children's menus were assessed…

  1. The fast Amsterdam multiprocessor (FAMP) operation system

    International Nuclear Information System (INIS)

    Gosman, D.; Hertzberger, L.O.; Holthuizen, D.J.; Por, G.J.A.; Schoorel, M.

    1981-01-01

    The Fast Amsterdam Multi Processor system (FAMP system) is developed for on-line filtering and second stage triggering. The system is based on the MC 68000 microprocessor from MOTOROLA. In this report we will describe: The FAMP operating system software, the features of the slaves and supervisor in the FAMP operating system, the communication between supervisor and slaves using the dual port memories, the communication between user programs and the operating system. The hardware as well as the application of the system will be described elsewhere. (orig.)

  2. The Performance and Development of the Inner Detector Trigger Algorithms at ATLAS for LHC Run 2

    CERN Document Server

    Sowden, Benjamin Charles; The ATLAS collaboration

    2015-01-01

    A description of the design and performance of the newly reimplemented tracking algorithms for the ATLAS trigger for LHC Run 2, to commence in spring 2015, is provided. The ATLAS High Level Trigger (HLT) has been restructured to run as a more flexible single stage process, rather than the two separate Level 2 and Event Filter stages used during Run 1. To make optimal use of this new scenario, a new tracking strategy has been implemented for Run 2 for the HLT. This new strategy will use a Fast Track Finder (FTF) algorithm to directly seed the subsequent Precision Tracking, and will result in improved track parameter resolution and significantly faster execution times than achieved during Run 1 but with no significant reduction in efficiency. The performance and timing of the algorithms for numerous physics signatures in the trigger are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performan...

  3. Upgrade of the ATLAS Level-1 Calorimeter Trigger

    CERN Document Server

    Wessels, M; The ATLAS collaboration

    2014-01-01

    The Level-1 Calorimeter Trigger (L1Calo) of the ATLAS experiment has been operating well since the start of LHC data taking, and played a major role in the Higgs boson discovery. To face the new challenges posed by the upcoming increases of the LHC proton beam energy and luminosity, a series of upgrades is planned for L1Calo. The initial upgrade phase in 2013-14 includes substantial improvements to the analogue and digital signal processing to allow more sophisticated digital filters for energy and timing measurement, as well as compensate for pile-up and baseline shifting effects. Two existing digital algorithm processor subsystems will receive substantial hardware and firmware upgrades to increase the real-time data path bandwidth, allowing topological information to be transmitted and processed at Level-1. An entirely new subsystem, the Level-1 Topological Processor, will receive real-time data from both the upgraded L1Calo and Level-1 Muon Trigger to perform trigger algorithms based on entire event topolo...

  4. BTeV Trigger

    International Nuclear Information System (INIS)

    Gottschalk, Erik E.

    2006-01-01

    BTeV was designed to conduct precision studies of CP violation in BB-bar events using a forward-geometry detector in a hadron collider. The detector was optimized for high-rate detection of beauty and charm particles produced in collisions between protons and antiprotons. The trigger was designed to take advantage of the main difference between events with beauty and charm particles and more typical hadronic events-the presence of detached beauty and charm decay vertices. The first stage of the BTeV trigger was to receive data from a pixel vertex detector, reconstruct tracks and vertices for every beam crossing, reject at least 98% of beam crossings in which neither beauty nor charm particles were produced, and trigger on beauty events with high efficiency. An overview of the trigger design and its evolution to include commodity networking and computing components is presented

  5. Status of national programmes on fast reactors

    International Nuclear Information System (INIS)

    1994-04-01

    Based on the International Working Group on Fast reactors (IWGFR) members' request, the IAEA organized a special meeting on Fast Reactor Development and the Role of the IAEA in May 1993. The purpose of the meeting was to review and discuss the status and recent development, to present major changes in fast reactor programmes and to recommend future activities on fast reactors. The IWGFR took note that in some Member States large prototypes have been built or are under construction. However, some countries, due to their current budget constraints, have reduced the level of funding for research and development programmes on fast reactors. The IWGFR noted that in this situation the international exchange of information and cooperation on the development of fast reactors is highly desirable and stressed the importance of the IAEA's programme on fast reactors. These proceedings contain important and useful information on national programmes and new developments in sodium cooled fast reactors in Member States. Refs, figs and tabs

  6. The second level trigger of the L3 experiment. Pt. 1

    International Nuclear Information System (INIS)

    Bertsch, Y.; Blaising, J.J.; Bonnefon, H.; Chollet-Leflour, F.; Degre, A.; Dromby, G.; Lecoq, J.; Morand, R.; Moynot, M.; Perrot, G.; Riccadonna, X.

    1994-01-01

    The second level trigger of the L3 experiment performs online background rejection and reduces the first level trigger rate to a value fitting with the third level trigger processing capability. Designed around a set of three bit-slice XOP microprocessors, it can process up to 500 first level triggers per second without significant dead time in the data acquisition. At each LEP beam crossing (45/90 kHz) the complete trigger information (5 kbytes) is memorized in a 1.4 gigabyte bandwidth real dual port memory. The XOP processor builds up the trigger block in less than 400 μs, and signs the background or physics origin of the current event in less than 3 ms. These very high performances rely essentially on the association of parallelism with high speed ECL technology, provided by dedicated processors fully integrated in Fastbus. Emphasis is given here to the specific hardware developed, to its operation and technical aspects of its installation and integration. The system described here ensures the L3 data taking since the beginning of LEP in July 1989 and the online rejection since 1990. (orig.)

  7. A time-multiplexed track-trigger for the CMS HL-LHC upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Hall, G., E-mail: g.hall@imperial.ac.uk

    2016-07-11

    A new CMS Tracker is under development for operation at the High Luminosity LHC from 2025. It includes an outer tracker based on special modules of two different types which will construct track stubs using spatially coincident clusters in two closely spaced sensor layers, to reject low transverse momentum track hits and reduce the data volume before data transmission to the Level-1 trigger. The tracker data will be used to reconstruct track segments in dedicated processors before onward transmission to other trigger processors which will combine tracker information with data originating from the calorimeter and muon detectors, to make the final L1 trigger decision. The architecture for processing the tracker data outside the detector is under study, using several alternative approaches. One attractive possibility is to exploit a Time Multiplexed design similar to the one which is currently being implemented in the CMS calorimeter trigger as part of the Phase I trigger upgrade. The novel Time Multiplexed Trigger concept is explained, the potential benefits for processing future tracker data are described and a feasible design based on currently existing hardware is outlined.

  8. Triggering trigeminal neuralgia

    DEFF Research Database (Denmark)

    Di Stefano, Giulia; Maarbjerg, Stine; Nurmikko, Turo

    2018-01-01

    Introduction Although it is widely accepted that facial pain paroxysms triggered by innocuous stimuli constitute a hallmark sign of trigeminal neuralgia, very few studies to date have systematically investigated the role of the triggers involved. In the recently published diagnostic classification...

  9. System-level Specifications of the Timing and Fast Control system for the LHCb Upgrade

    CERN Document Server

    Alessio, Federico

    2014-01-01

    The LHCb experiment has proposed an upgrade towards a full 40 MHz readout system in order to run between five and ten times the initial design luminosity. The various sub-systems in the readout architecture will need to be upgraded in order to cope with higher sub-detector occupancies, higher rate and higher network load. In this paper, we describe the specifications of the new Timing and Fast Control (S- TFC) system. We define the requirements for the new S-TFC, and specify its architecture and the individual components. The system is based on a single new Readout Supervisor (S-ODIN) instantiating several S-TFC masters to allow partitioning. The communication with the readout electronics is ensured by a shared high-speed optical link network for both the distribution of timing and synchronous control information, as well as trigger/throttle communication. An interface board (SOL40) with fan-out capabilities for timing and synchronous information and fan-in capabilities for throttle and rate regulation of the...

  10. LHCb Topological Trigger Reoptimization

    CERN Document Server

    INSPIRE-00400931; Ilten, Philip; Khairullin, Egor; Rogozhnikov, Alex; Ustyuzhanin, Andrey; Williams, Michael

    2015-12-23

    The main b-physics trigger algorithm used by the LHCb experiment is the so-called topological trigger. The topological trigger selects vertices which are a) detached from the primary proton-proton collision and b) compatible with coming from the decay of a b-hadron. In the LHC Run 1, this trigger, which utilized a custom boosted decision tree algorithm, selected a nearly 100% pure sample of b-hadrons with a typical efficiency of 60-70%; its output was used in about 60% of LHCb papers. This talk presents studies carried out to optimize the topological trigger for LHC Run 2. In particular, we have carried out a detailed comparison of various machine learning classifier algorithms, e.g., AdaBoost, MatrixNet and neural networks. The topological trigger algorithm is designed to select all "interesting" decays of b-hadrons, but cannot be trained on every such decay. Studies have therefore been performed to determine how to optimize the performance of the classification algorithm on decays not used in the training. ...

  11. A Radiation-Triggered Surveillance System for UF6 Cylinder Monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Curtis, Michael M. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Myjak, Mitchell J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2016-09-23

    This report provides background information and representative scenarios for testing a prototype radiation-triggered surveillance system at an operating facility that handles uranium hexafluoride (UF6) cylinders. The safeguards objective is to trigger cameras using radiation, or radiation and motion, rather than motion alone, to reduce significantly the number of image files generated by a motion-triggered system. The authors recommend the use of radiation-triggered surveillance at all facilities where cylinder paths are heavily traversed by personnel. The International Atomic Energy Agency (IAEA) has begun using surveillance cameras in the feed and withdrawal areas of gas centrifuge enrichment plants (GCEPs). The cameras generate imagery using elapsed time or motion, but this creates problems in areas occupied 24/7 by personnel. Either motion-or-interval-based triggering generates thousands of review files over the course of a month. Since inspectors must review the files to verify operator material-flow-declarations, a plethora of files significantly extends the review process. The primary advantage of radiation-triggered surveillance is the opportunity to obtain full-time cylinder throughput verification versus what presently amounts to part-time verification. Cost savings should be substantial, as the IAEA presently uses frequent unannounced inspections to verify cylinder-throughput declarations. The use of radiation-triggered surveillance allows the IAEA to implement less frequent unannounced inspections for the purpose of flow verification, but its principal advantage is significantly shorter and more effective inspector video reviews.

  12. Level-1 trigger selection of electrons and photons with CMS for LHC Run-II.

    CERN Document Server

    AUTHOR|(CDS)2088114

    2016-01-01

    The CMS experiment has a sophisticated two-level online selection system that achieves a rejection factor of nearly $10^5$. The first, hardware-level trigger (L1) is based on coarse information coming from the calorimeters and the muon detectors while the High-Level Trigger combines fine-grain information from all subdetectors. During Run II, the LHC will increase its center of mass energy to 13 or 14 TeV, and progressively reach an instantaneous luminosity of $2\\times10^{34} \\mathrm{cm}^{-2}\\mathrm{s}^{-1}$. In order to guarantee a successful and ambitious physics programme in this intense environment, the CMS trigger and data acquisition system must be upgraded. The L1 calorimeter trigger hardware and architecture in particular has been redesigned to maintain the current thresholds even in presence of more demanding conditions (e.g., for electrons and photons) and improve the performance for the selection of $\\tau$ leptons. This design benefits from recent $\\mu$TCA technology, allowing sophisticated algorit...

  13. The performance and development for the Inner Detector Trigger algorithms at ATLAS

    International Nuclear Information System (INIS)

    Penc, Ondrej

    2015-01-01

    A redesign of the tracking algorithms for the ATLAS trigger for LHC's Run 2 starting in 2015 is in progress. The ATLAS HLT software has been restructured to run as a more flexible single stage HLT, instead of two separate stages (Level 2 and Event Filter) as in Run 1. The new tracking strategy employed for Run 2 will use a Fast Track Finder (FTF) algorithm to seed subsequent Precision Tracking, and will result in improved track parameter resolution and faster execution times than achieved during Run 1. The performance of the new algorithms has been evaluated to identify those aspects where code optimisation would be most beneficial. The performance and timing of the algorithms for electron and muon reconstruction in the trigger are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performance improvements as the code evolves. (paper)

  14. The performance and development for the Inner Detector Trigger algorithms at ATLAS

    CERN Document Server

    Penc, O; The ATLAS collaboration

    2015-01-01

    A redesign of the tracking algorithms for the ATLAS trigger for LHC's Run 2 starting in 2015 is in progress. The ATLAS HLT software has been restructured to run as a more flexible single stage HLT, instead of two separate stages (Level 2 and Event Filter) as in Run 1. The new tracking strategy employed for Run 2 will use a Fast Track Finder (FTF) algorithm to seed subsequent Precision Tracking, and will result in improved track parameter resolution and faster execution times than achieved during Run 1. The performance of the new algorithms has been evaluated to identify those aspects where code optimisation would be most beneficial. The performance and timing of the algorithms for electron and muon reconstruction in the trigger are presented. The profiling infrastructure, constructed to provide prompt feedback from the optimisation, is described, including the methods used to monitor the relative performance improvements as the code evolves.

  15. The ATLAS Muon Trigger Performance in Run I and Initial Run II Performance

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00437899; The ATLAS collaboration

    2015-01-01

    Events with muons in the final state are an important signature for many physics topics at the Large Hadron Collider (LHC). An efficient trigger on muons and a detailed understanding of its performance are required. In 2012, the last year of Run I, the instantaneous luminosity of the LHC reached 7.7x1033 cm-2s-1 and the average number of events that occur in a same bunch crossing was 25. The ATLAS Muon trigger has successfully adapted to this changing environment by making use of isolation requirements, combined trigger signatures with electron and jet trigger objects, and by using so-called full-scan triggers, which make use of the full event information to search for di-lepton signatures, seeded by single lepton objects. A stable and highly efficient muon trigger was vital in the discovery of Higgs boson in 2012 and for many searches for new physics. The performance of muon triggers during the LHC Run 1 data-taking campaigns is presented, together with an overview and preliminary results of the new muon str...

  16. The ATLAS Muon Trigger Performance in Run I and Initial Run II Performance

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00437899; The ATLAS collaboration

    2016-01-01

    Events with muons in the final state are an important signature for many physics topics at the Large Hadron Collider. An efficient trigger on muons and a detailed understanding of its performance are required. In 2012, the last year of Run I, the instantaneous luminosity reached $7.7\\times10^{33}$ cm$^{-2}$s$^{-1}$ and the average number of interactions that occur in the same bunch crossing was 25. The ATLAS muon trigger has successfully adapted to this challenging environment by making use of isolation requirements, combined trigger signatures with electron and jet trigger objects, and by using so-called full-scan triggers, which make use of the full event information to search for di-lepton signatures, seeded by single lepton objects. A stable and highly efficient muon trigger was vital in the discovery of the Higgs boson in 2012 and for many searches for new physics. The performance of muon triggers during the Large Hadron Collider Run I data-taking campaigns is presented, together with an overview and pre...

  17. LHCb Topological Trigger Reoptimization

    International Nuclear Information System (INIS)

    Likhomanenko, Tatiana; Khairullin, Egor; Rogozhnikov, Alex; Ustyuzhanin, Andrey; Ilten, Philip; Williams, Michael

    2015-01-01

    The main b-physics trigger algorithm used by the LHCb experiment is the so- called topological trigger. The topological trigger selects vertices which are a) detached from the primary proton-proton collision and b) compatible with coming from the decay of a b-hadron. In the LHC Run 1, this trigger, which utilized a custom boosted decision tree algorithm, selected a nearly 100% pure sample of b-hadrons with a typical efficiency of 60-70%; its output was used in about 60% of LHCb papers. This talk presents studies carried out to optimize the topological trigger for LHC Run 2. In particular, we have carried out a detailed comparison of various machine learning classifier algorithms, e.g., AdaBoost, MatrixNet and neural networks. The topological trigger algorithm is designed to select all ’interesting” decays of b-hadrons, but cannot be trained on every such decay. Studies have therefore been performed to determine how to optimize the performance of the classification algorithm on decays not used in the training. Methods studied include cascading, ensembling and blending techniques. Furthermore, novel boosting techniques have been implemented that will help reduce systematic uncertainties in Run 2 measurements. We demonstrate that the reoptimized topological trigger is expected to significantly improve on the Run 1 performance for a wide range of b-hadron decays. (paper)

  18. ATLAS Fast Tracker Status and Tracking at High luminosity LHC

    CERN Document Server

    Ilic, Nikolina; The ATLAS collaboration

    2018-01-01

    The LHC’s increase in centre of mass energy and luminosity in 2015 makes controlling trigger rates with high efficiency challenging. The ATLAS Fast TracKer (FTK) is a hardware processor built to reconstruct tracks at a rate of up to 100 kHz and provide them to the high level trigger. The FTK reconstructs tracks by matching incoming detector hits with pre-defined track patterns stored in associative memory on custom ASICs. Inner detector hits are fit to these track patterns using modern FPGAs. This talk describes the electronics system used for the FTK’s massive parallelization. The installation, commissioning and running of the system is happening in 2016, and is detailed in this talk. Tracking at High luminosity LHC is also presented.

  19. The Status of the Ultra Fast Flash Observatory – Pathfinder

    International Nuclear Information System (INIS)

    Nam, J.W.; Ahmad, S.; Ahn, K.B.; Barrillon, P.; Brandt, S.; Budtz-Jrgensen, C.; Castro-Tirado, A.J.; Chang, C.-H.; Chang, C.-Y.; Chang, Y.Y.; Chen, C.R.; Chen, P.; Cho, M.; Choi, H.S.; Choi, Y.J.; Connel, P.; Dagoret-Campagne, S.; Eyles, C.; Grossan, B.; Huang, J.J.

    2014-01-01

    The Ultra Fast Flash Observatory (UFFO) is a project to study early optical emissions from Gamma Ray Bursts (GRBs). The primary scientific goal of UFFO is to see if GRBs can be calibrated with their rising times, so that they could be used as new standard candles. In order to minimize delay in optical follow-up measurements, which is now about 100 sec after trigger from the Swift experiment, we rotate a mirror to redirect light path so that optical measurement can be performed within a second after the trigger. We have developed a pathfinder mission, UFFO-pathfinder to launch on board the Lomonosov satellite in 2012. In this talk, I will present scientific motivations and descriptions of the design and development of UFFO-pathfinder

  20. The ATLAS Run-2 Trigger Menu for higher luminosities: Design, Performance and Operational Aspects

    CERN Document Server

    Ruiz-Martinez, Aranzazu; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment aims at recording about 1 kHz of physics collisions, starting with an LHC design bunch crossing rate of 40 MHz. To reduce the massive background rate while maintaining a high selection efficiency for rare physics events (such as beyond the Standard Model physics), a two-level trigger system is used. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. The trigger system exploits topological information, as well as multi-variate methods to carry out the necessary physics filtering. In total, the ATLAS online selection consists of thousands of different individual triggers. A trigger menu is a compilation of these triggers which specifies the physics algorithms to be used during data taking and the bandwidth a given trigger is allocated. Trigger menus reflect not only the physics goals of the collaboration for a given run, but also take into consideration the instantaneous luminosity of the LHC and limitations from the...

  1. The ATLAS Run-2 Trigger Menu for higher luminosities: Design, Performance and Operational Aspects

    CERN Document Server

    Torro Pastor, Emma; The ATLAS collaboration

    2018-01-01

    The ATLAS experiment aims at recording about 1 kHz of physics collisions, starting with an LHC design bunch crossing rate of 40 MHz. To reduce the massive background rate while maintaining a high selection efficiency for rare physics events (such as beyond the Standard Model physics), a two-level trigger system is used. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. The trigger system exploits topological information, as well as multi-variate methods to carry out the necessary physics filtering. In total, the ATLAS online selection consists of thousands of different individual triggers. A trigger menu is a compilation of these triggers which specifies the physics algorithms to be used during data taking and the bandwidth a given trigger is allocated. Trigger menus reflect not only the physics goals of the collaboration for a given run, but also take into consideration the instantaneous luminosity of the LHC and limitations from the...

  2. Real time data analysis with the ATLAS Trigger at the LHC in Run-2

    CERN Document Server

    Beauchemin, Pierre-Hugues; The ATLAS collaboration

    2018-01-01

    The trigger selection capabilities of the ATLAS detector have been significantly enhanced for the LHC Run- 2 in order to cope with the higher event rates and with the large number of simultaneous interactions (pile-up) per protonproton bunch crossing. A new hardware system, designed to analyse real time event-topologies at Level-1 came to full use in 2017. A hardware-based track reconstruction system, expected to be used real-time in 2018, is designed to provide track information to the high-level software trigger at its full input rate. The high-level trigger selections are largely relying on offline-like reconstruction techniques, and in some cases multivariate analysis methods. Despite the sudden change in LHC operations during the second half of 2017, which caused an increase in pile-up and therefore also in CPU usage of the trigger algorithms, the set of triggers (so called trigger menu) running online has undergone only minor modifications thanks to the robustness and redundancy of the trigger system, a...

  3. The ATLAS Run-2 Trigger Menu for higher luminosities: Design, Performance and Operational Aspects

    CERN Document Server

    Montejo Berlingen, Javier; The ATLAS collaboration

    2017-01-01

    The LHC, at design capacity, has a bunch-crossing rate of 40 MHz whereas the ATLAS experiment has an average recording rate of about 1 kHz. To reduce the rate of events, but maintain high selection efficiency for rare events such as physics signals beyond the Standard Model, a two-level trigger system is used. Events are selected based on physics signatures such as presence of energetic leptons, photons, jets or large missing energy. Despite the limited time available for processing collision events the trigger system is able to exploit topological information, as well as using multi-variate methods. In total, the ATLAS trigger systems consists of thousands of different individual triggers. The ATLAS trigger menu specifies which triggers are used during data taking and how much rate a given trigger is allocated. This menu reflects not only the physics goals of the collaboration but also takes into consideration the instantaneous luminosity of the LHC and the design limits of the ATLAS detector and offline pro...

  4. A toolbox for the fast information analysis of multiple-site LFP, EEG and spike train recordings.

    Science.gov (United States)

    Magri, Cesare; Whittingstall, Kevin; Singh, Vanessa; Logothetis, Nikos K; Panzeri, Stefano

    2009-07-16

    Information theory is an increasingly popular framework for studying how the brain encodes sensory information. Despite its widespread use for the analysis of spike trains of single neurons and of small neural populations, its application to the analysis of other types of neurophysiological signals (EEGs, LFPs, BOLD) has remained relatively limited so far. This is due to the limited-sampling bias which affects calculation of information, to the complexity of the techniques to eliminate the bias, and to the lack of publicly available fast routines for the information analysis of multi-dimensional responses. Here we introduce a new C- and Matlab-based information theoretic toolbox, specifically developed for neuroscience data. This toolbox implements a novel computationally-optimized algorithm for estimating many of the main information theoretic quantities and bias correction techniques used in neuroscience applications. We illustrate and test the toolbox in several ways. First, we verify that these algorithms provide accurate and unbiased estimates of the information carried by analog brain signals (i.e. LFPs, EEGs, or BOLD) even when using limited amounts of experimental data. This test is important since existing algorithms were so far tested primarily on spike trains. Second, we apply the toolbox to the analysis of EEGs recorded from a subject watching natural movies, and we characterize the electrodes locations, frequencies and signal features carrying the most visual information. Third, we explain how the toolbox can be used to break down the information carried by different features of the neural signal into distinct components reflecting different ways in which correlations between parts of the neural signal contribute to coding. We illustrate this breakdown by analyzing LFPs recorded from primary visual cortex during presentation of naturalistic movies. The new toolbox presented here implements fast and data-robust computations of the most relevant

  5. A toolbox for the fast information analysis of multiple-site LFP, EEG and spike train recordings

    Directory of Open Access Journals (Sweden)

    Logothetis Nikos K

    2009-07-01

    Full Text Available Abstract Background Information theory is an increasingly popular framework for studying how the brain encodes sensory information. Despite its widespread use for the analysis of spike trains of single neurons and of small neural populations, its application to the analysis of other types of neurophysiological signals (EEGs, LFPs, BOLD has remained relatively limited so far. This is due to the limited-sampling bias which affects calculation of information, to the complexity of the techniques to eliminate the bias, and to the lack of publicly available fast routines for the information analysis of multi-dimensional responses. Results Here we introduce a new C- and Matlab-based information theoretic toolbox, specifically developed for neuroscience data. This toolbox implements a novel computationally-optimized algorithm for estimating many of the main information theoretic quantities and bias correction techniques used in neuroscience applications. We illustrate and test the toolbox in several ways. First, we verify that these algorithms provide accurate and unbiased estimates of the information carried by analog brain signals (i.e. LFPs, EEGs, or BOLD even when using limited amounts of experimental data. This test is important since existing algorithms were so far tested primarily on spike trains. Second, we apply the toolbox to the analysis of EEGs recorded from a subject watching natural movies, and we characterize the electrodes locations, frequencies and signal features carrying the most visual information. Third, we explain how the toolbox can be used to break down the information carried by different features of the neural signal into distinct components reflecting different ways in which correlations between parts of the neural signal contribute to coding. We illustrate this breakdown by analyzing LFPs recorded from primary visual cortex during presentation of naturalistic movies. Conclusion The new toolbox presented here implements fast

  6. Limited preemptive scheduling of mixed time-triggered and event-triggered tasks

    NARCIS (Netherlands)

    Heuvel, van den M.M.H.P.; Bril, R.J.; Zhang, X.; Abdullah, S.M.J.; Isovic, D.

    2013-01-01

    Many embedded systems have complex timing constraints and, at the same time, have flexibility requirements which prohibit offline planning of the entire system. To support a mixture of time-triggered and event-triggered tasks, some industrial systems deploy a table-driven dispatcher for

  7. THE EFFECTS OF WAVE ESCAPE ON FAST MAGNETOSONIC WAVE TURBULENCE IN SOLAR FLARES

    International Nuclear Information System (INIS)

    Pongkitiwanichakul, Peera; Chandran, Benjamin D. G.; Karpen, Judith T.; DeVore, C. Richard

    2012-01-01

    One of the leading models for electron acceleration in solar flares is stochastic acceleration by weakly turbulent fast magnetosonic waves ( f ast waves ) . In this model, large-scale flows triggered by magnetic reconnection excite large-wavelength fast waves, and fast-wave energy then cascades from large wavelengths to small wavelengths. Electron acceleration by large-wavelength fast waves is weak, and so the model relies on the small-wavelength waves produced by the turbulent cascade. In order for the model to work, the energy cascade time for large-wavelength fast waves must be shorter than the time required for the waves to propagate out of the solar-flare acceleration region. To investigate the effects of wave escape, we solve the wave kinetic equation for fast waves in weak turbulence theory, supplemented with a homogeneous wave-loss term. We find that the amplitude of large-wavelength fast waves must exceed a minimum threshold in order for a significant fraction of the wave energy to cascade to small wavelengths before the waves leave the acceleration region. We evaluate this threshold as a function of the dominant wavelength of the fast waves that are initially excited by reconnection outflows.

  8. The economics of fast charging infrastructure for electric vehicles

    International Nuclear Information System (INIS)

    Schroeder, Andreas; Traber, Thure

    2012-01-01

    By 2011 little is known about the economic rationale of public fast chargers for electric vehicles (EV). This paper aims at providing an insight into the business case of this technology in a case study for Germany. The estimated Return on Investment (ROI) of a public fast charging station constitutes the main contribution. Potential users and organization structures are investigated as well as different tariff types. According to the estimations, the current market outlook seems too uncertain for triggering a large-scale roll-out of fast charging infrastructure. Approximations suggest that investment is hardly profitable at low EV adoption rates, unless investment cost can be severely lowered. Besides competition with alternative charging solutions, the general EV adoption rate is detected as being a main risk factor for investment in public charging infrastructure. Highlights: ► Private investment into public fast charging infrastructure appears to be driven by other than pure project prospects at current EV penetration rates. ► High cost markups are needed to refinance investment, unless grid tariffs are exempted or constant high demand is assured. ► Investment into public fast charging remains risky and incentives can be contained by the spreading of alternative home-charging devices and alternative propulsion technologies.

  9. Decentralized event-triggered consensus control strategy for leader-follower networked systems

    Science.gov (United States)

    Zhang, Shouxu; Xie, Duosi; Yan, Weisheng

    2017-08-01

    In this paper, the consensus problem of leader-follower networked systems is addressed. At first, a centralized and a decentralized event-triggered control strategy are proposed, which make the control actuators of followers update at aperiodic invent interval. In particular, the latter one makes each follower requires the local information only. After that, an improved triggering function that only uses the follower's own information and the neighbors' states at their latest event instants is developed to relax the requirement of the continuous state of the neighbors. In addition, the strategy does not require the information of the topology, nor the eigenvalues of the Laplacian matrix. And if the follower does not have direct connection to the leader, the leader's information is not required either. It is analytically shown that by using the proposed strategy the leader-follower networked system is able to reach consensus without continuous communication among followers. Simulation examples are given to show effectiveness of the proposed control strategy.

  10. The neural network z-vertex trigger for the Belle II detector

    Energy Technology Data Exchange (ETDEWEB)

    Skambraks, Sebastian; Neuhaus, Sara [Technische Universitaet Muenchen (Germany); Chen, Yang; Kiesling, Christian [Max-Planck-Institut fuer Physik, Muenchen (Germany); Collaboration: Belle II-Collaboration

    2016-07-01

    We present a neural network based first level track trigger for the upcoming Belle II detector at the high luminosity SuperKEKB flavor factory. Using hit and drift time information from the Central Drift Chamber (CDC), neural networks estimate the z-coordinates of single track vertex positions. Especially beam induced background, with vertices outside of the interaction region, can clearly be rejected. This allows to relax the track trigger conditions and thus enhances the efficiency for events with a low track multiplicity. In the CDC trigger pipeline, the preceding 2D pattern recognition enables a unique per track input representation and a sectorization of the track parameter phase space. The precise z-vertices are then estimated by an ensemble of sector-specific local expert neural networks. After an introduction to the neural trigger system, the benefits of an improved 3D pattern recognition are discussed.

  11. [Anesthesia unrelated triggering of a fatal malignant hyperthermia crisis].

    Science.gov (United States)

    Olthoff, D; Vonderlind, C

    1997-12-01

    For incidents of malignant hyperthermia (MH) outside the hospital, a high number of unrecorded cases must be reckoned with because of an insufficient knowledge of emergency services and poor identification and documentation that make it impossible to classify acute situations under the diagnosis of malignant hyperthermia crisis. As a result, there are no statistical data in this field, and only case reports with a broad spectrum of suspected trigger mechanisms have been published. The case described in this report is a proved example of a non-anesthesia-related triggering of MH in a 21-year-old man who had had an anesthetic-induced MH manifestation in childhood, which was confirmed with an in vitro contracture test. After visiting a restaurant, he became unconscious and convulsive after consuming a high level of alcohol (2.9/1000). The first cardiocirculatory arrest occurred directly before hospitalization. After admission, the patient showed a full-blown MH episode whose subsequent fatality was unavoidable in spite of adapted and optimal therapy. Suspected trigger mechanisms seem to be multifactoral (excessive alcohol consumption, over-heating, mental stress) as a forensic investigation did not point to any particular signs of typical trigger substances. The case demonstrates again that an MH attack might be triggered under certain non-anaesthesia-related situations. For patients with an MH disposition, additional information on their behavior outside the hospital is required.

  12. LHCb-The LHCb trigger in Run II

    CERN Multimedia

    Michielin, Emanuele

    2016-01-01

    The LHCb trigger system has been upgraded to exploit the real-time alignment, calibration and analysis capabilities of LHCb in Run-II. An increase in the CPU and disk capacity of the event filter farm, combined with improvements to the reconstruction software, mean that efficient, exclusive selections can be made in the first stage of the High Level Trigger (HLT1). The output of HLT1 is buffered to the 5 PB of disk on the event filter farm, while the detector is aligned and calibrated in real time. The second stage, HLT2, performs complete, offline quality, event reconstruction. Physics analyses can be performed directly on this information, and for the majority of charm physics selections, a reduced event format can be written out, which permits higher event rates.

  13. Headache triggers in the US military.

    Science.gov (United States)

    Theeler, Brett J; Kenney, Kimbra; Prokhorenko, Olga A; Fideli, Ulgen S; Campbell, William; Erickson, Jay C

    2010-05-01

    Headaches can be triggered by a variety of factors. Military service members have a high prevalence of headache but the factors triggering headaches in military troops have not been identified. The objective of this study is to determine headache triggers in soldiers and military beneficiaries seeking specialty care for headaches. A total of 172 consecutive US Army soldiers and military dependents (civilians) evaluated at the headache clinics of 2 US Army Medical Centers completed a standardized questionnaire about their headache triggers. A total of 150 (87%) patients were active-duty military members and 22 (13%) patients were civilians. In total, 77% of subjects had migraine; 89% of patients reported at least one headache trigger with a mean of 8.3 triggers per patient. A wide variety of headache triggers was seen with the most common categories being environmental factors (74%), stress (67%), consumption-related factors (60%), and fatigue-related factors (57%). The types of headache triggers identified in active-duty service members were similar to those seen in civilians. Stress-related triggers were significantly more common in soldiers. There were no significant differences in trigger types between soldiers with and without a history of head trauma. Headaches in military service members are triggered mostly by the same factors as in civilians with stress being the most common trigger. Knowledge of headache triggers may be useful for developing strategies that reduce headache occurrence in the military.

  14. The LHCb trigger

    CERN Document Server

    Hernando Morata, Jose Angel

    2006-01-01

    The LHCb experiment relies on an efficient trigger to select a rate up to 2 kHz of events useful for physics analysis from an initial rate of 10 MHz of visible collisions. In this contribution, we describe the different LHCb trigger algorithms and present their expected performance.

  15. Nanoparticle-triggered in situ catalytic chemical reactions for tumour-specific therapy.

    Science.gov (United States)

    Lin, Han; Chen, Yu; Shi, Jianlin

    2018-03-21

    Tumour chemotherapy employs highly cytotoxic chemodrugs, which kill both cancer and normal cells by cellular apoptosis or necrosis non-selectively. Catalysing/triggering the specific chemical reactions only inside tumour tissues can generate abundant and special chemicals and products locally to initiate a series of unique biological and pathologic effects, which may enable tumour-specific theranostic effects to combat cancer without bringing about significant side effects on normal tissues. Nevertheless, chemical reaction-initiated selective tumour therapy strongly depends on the advances in chemistry, materials science, nanotechnology and biomedicine. This emerging cross-disciplinary research area is substantially different from conventional cancer-theranostic modalities in clinics. In response to the fast developments in cancer theranostics based on intratumoural catalytic chemical reactions, this tutorial review summarizes the very-recent research progress in the design and synthesis of representative nanoplatforms with intriguing nanostructures, compositions, physiochemical properties and biological behaviours for versatile catalytic chemical reaction-enabled cancer treatments, mainly by either endogenous tumour microenvironment (TME) triggering or exogenous physical irradiation. These unique intratumoural chemical reactions can be used in tumour-starving therapy, chemodynamic therapy, gas therapy, alleviation of tumour hypoxia, TME-responsive diagnostic imaging and stimuli-responsive drug release, and even externally triggered versatile therapeutics. In particular, the challenges and future developments of such a novel type of cancer-theranostic modality are discussed in detail to understand the future developments and prospects in this research area as far as possible. It is highly expected that this kind of unique tumour-specific therapeutics by triggering specific in situ catalytic chemical reactions inside tumours would provide a novel but efficient

  16. The ATLAS High Level Trigger Steering Framework and the Trigger 
Configuration System.

    CERN Document Server

    Pérez Cavalcanti, Tiago; The ATLAS collaboration

    2011-01-01

    The ATLAS High Level Trigger Steering Framework and the Trigger 
Configuration System.
 
The ATLAS detector system installed in the Large Hadron Collider (LHC) 
at CERN is designed to study proton-proton and nucleus-nucleus 
collisions with a maximum center of mass energy of 14 TeV at a bunch 
collision rate of 40MHz.  In March 2010 the four LHC experiments saw 
the first proton-proton collisions at 7 TeV. Still within the year a 
collision rate of nearly 10 MHz is expected. At ATLAS, events of 
potential interest for ATLAS physics are selected by a three-level 
trigger system, with a final recording rate of about 200 Hz. The first 
level (L1) is implemented in custom hardware; the two levels of 
the high level trigger (HLT) are software triggers, running on large 
farms of standard computers and network devices. 

Within the ATLAS physics program more than 500 trigger signatures are 
defined. The HLT tests each signature on each L1-accepted event; the 
test outcome is recor...

  17. The ATLAS trigger: high-level trigger commissioning and operation during early data taking

    International Nuclear Information System (INIS)

    Goncalo, R

    2008-01-01

    The ATLAS experiment is one of the two general-purpose experiments due to start operation soon at the Large Hadron Collider (LHC). The LHC will collide protons at a centre of mass energy of 14 TeV, with a bunch-crossing rate of 40 MHz. The ATLAS three-level trigger will reduce this input rate to match the foreseen offline storage capability of 100-200 Hz. This paper gives an overview of the ATLAS High Level Trigger focusing on the system design and its innovative features. We then present the ATLAS trigger strategy for the initial phase of LHC exploitation. Finally, we report on the valuable experience acquired through in-situ commissioning of the system where simulated events were used to exercise the trigger chain. In particular we show critical quantities such as event processing times, measured in a large-scale HLT farm using a complex trigger menu

  18. The trigger supervisor: Managing triggering conditions in a high energy physics experiment

    International Nuclear Information System (INIS)

    Wadsworth, B.; Lanza, R.; LeVine, M.J.; Scheetz, R.A.; Videbaek, F.

    1987-01-01

    A trigger supervisor, implemented in VME-bus hardware, is described, which enables the host computer to dynamically control and monitor the trigger configuration for acquiring data from multiple detector partitions in a complex experiment

  19. Ramadan Fasting Decreases Body Fat but Not Protein Mass.

    Science.gov (United States)

    Fahrial Syam, Ari; Suryani Sobur, Cecep; Abdullah, Murdani; Makmun, Dadang

    2016-01-01

    Many studies have shown various results regarding the effects of Ramadan fasting on weight and body composition in healthy individuals. This study aimed to evaluate the effect of Ramadan fasting on body composition in healthy Indonesian medical staff. In this study, we examined the influence of Ramadan fasting on body composition in healthy medical staff. The longitudinal study was performed during and after Ramadan fasting in 2013 (August to October). Fourty-three medical staff members (physicians, nurses and nutritionists) at the Internal Medicine Ward of the Dr. Cipto Mangunkusumo General Hospital were measured to compare their calorie intake, weight, body mass index, waist-to-hip ratio (WHR), and body composition, including body fat, protein, minerals and water, on the first and 28(th) days of Ramadan and also 4-5 weeks after Ramadan fasting. Measurements were obtained for all 43 subjects on the 28(th) day of Ramadan, but they were obtained for only 25 subjects 4 - 5 weeks after Ramadan. By the 28(th) day of Ramadan, it was found that the body weight, BMI, body fat, water and mineral measures had decreased significantly (-0.874 ± 0.859 kg, P Ramadan, body weight and composition had returned to the same levels as on the first day of Ramadan. Ramadan fasting resulted in weight loss even it was only a temporary effect, as the weight was quickly regained within one month after fasting. The catabolism catabolic state, which is related to protein loss, was not triggered during Ramadan fasting. Further research is needed to evaluate the effects of weight loss during Ramadan fasting in healthy individuals.

  20. The Phase-1 Upgrade for the Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC

    CERN Document Server

    Izzo, Vincenzo; The ATLAS collaboration

    2018-01-01

    The Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC makes use of Resistive Plate Chamber (RPC) detectors. The on-detector trigger electronics modules are able to identify muons with predefined transverse momentum values (pT) by executing a coincidence logic on signals coming from the various detector layers. On-detector trigger boards then transfer trigger data to the off-detector electronics. A complex trigger system processes the incoming data by combining trigger information from the barrel and the endcap regions, and providing the combined muon candidate to the Central Trigger Processor (CTP). For almost a decade, the Level-1 Trigger system operated very well, despite the challenging requirements on trigger efficiency and performance, and the continuously increasing LHC luminosity. In order to cope with these constraints, various upgrades for the full trigger system were already deployed, and others have been designed to be installed in the next years. Most of the upgrades to the trigger system...

  1. Trigger system study of the dimuon spectrometer in the ALICE experiment at CERN-LHC

    International Nuclear Information System (INIS)

    Roig, O.

    1999-12-01

    This work is a contribution to the study of nucleus-nucleus collisions at the LHC with ALICE. The aim of this experiment is to search for a new phase of matter, the quark-gluon plasma (QGP). The dimuon forward spectrometer should measure one of the most promising probes of the QGP, the production of heavy quark vector mesons (J/ψ, γ, γ', γ'') through their muonic decays. The dimuon trigger selects the interesting events performing a cut on the transverse momentum of the tracks. The trigger decision is taken by a dedicated electronics using RPC (''Resistive Plate Chambers'') detector information. We have made our own R and D program on the RPC detector with various beam tests. We show the performances obtained during these tests of a low resistivity RPC operating in streamer mode. The ALICE requirements concerning the rate capability, the cluster size and the time resolution are fulfilled. We have optimised the trigger with simulations which include a complete description of the read-out planes and the trigger logic (algorithm). In particular, a technique of clustering is proposed and validated. A method called ''Ds reduction'' is introduced in order to limit the effects of combinatorial background on the trigger rates. The efficiencies and the trigger rates are calculated for Pb-Pb, Ca-Ca, p-p collisions at the LHC. Other more sophisticated cuts, on the invariant mass for example, using again the RPC information have been simulated but have not shown significant improvements of the trigger rates. (author)

  2. Electronics design of the RPC system for the OPERA muon

    International Nuclear Information System (INIS)

    Acquafredda, R.; Ambrosio, M.; Consiglio, L.

    2004-01-01

    The present document describes the front-end electronics of the RPC system that instruments the magnet muon spectrometer of the OPERA experiment. The main task of the OPERA spectrometer is to provide particle tracking information for muon identification and simplify the matching between the Precision Trackers. As no trigger has been foreseen for the experiment, the spectrometer electronics must be self-triggered with single-plane readout capability. Moreover, precision time information must be added within each event frame for off-line reconstruction. The read-out electronics is made of three different stages: the Front-End Boards (FEBs) system, the Controller Boards (CBs) system and Trigger Boards (TBs) system. The FEB system provides discrimination of the strip incoming signals; a FAST-OR output of the input signals is also available for trigger plane signal generation. FEB signals are required by the CB system that provides the zero suppression and manages the communication to the DAQ and Slow Control. A Trigger Board allows to operate in both self-trigger mode (the FEB's FAST-OR signal starts the plane acquisition) or in external-trigger mode (different conditions can be set on the FAST-OR signals generated from different planes)

  3. [Fast Detection of Camellia Sinensis Growth Process and Tea Quality Informations with Spectral Technology: A Review].

    Science.gov (United States)

    Peng, Ji-yu; Song, Xing-lin; Liu, Fei; Bao, Yi-dan; He, Yong

    2016-03-01

    The research achievements and trends of spectral technology in fast detection of Camellia sinensis growth process information and tea quality information were being reviewed. Spectral technology is a kind of fast, nondestructive, efficient detection technology, which mainly contains infrared spectroscopy, fluorescence spectroscopy, Raman spectroscopy and mass spectroscopy. The rapid detection of Camellia sinensis growth process information and tea quality is helpful to realize the informatization and automation of tea production and ensure the tea quality and safety. This paper provides a review on its applications containing the detection of tea (Camellia sinensis) growing status(nitrogen, chlorophyll, diseases and insect pest), the discrimination of tea varieties, the grade discrimination of tea, the detection of tea internal quality (catechins, total polyphenols, caffeine, amino acid, pesticide residual and so on), the quality evaluation of tea beverage and tea by-product, the machinery of tea quality determination and discrimination. This paper briefly introduces the trends of the technology of the determination of tea growth process information, sensor and industrial application. In conclusion, spectral technology showed high potential to detect Camellia sinensis growth process information, to predict tea internal quality and to classify tea varieties and grades. Suitable chemometrics and preprocessing methods is helpful to improve the performance of the model and get rid of redundancy, which provides the possibility to develop the portable machinery. Future work is to develop the portable machinery and on-line detection system is recommended to improve the further application. The application and research achievement of spectral technology concerning about tea were outlined in this paper for the first time, which contained Camellia sinensis growth, tea production, the quality and safety of tea and by-produce and so on, as well as some problems to be solved

  4. Cooperative Robot Localization Using Event-Triggered Estimation

    Science.gov (United States)

    Iglesias Echevarria, David I.

    It is known that multiple robot systems that need to cooperate to perform certain activities or tasks incur in high energy costs that hinder their autonomous functioning and limit the benefits provided to humans by these kinds of platforms. This work presents a communications-based method for cooperative robot localization. Implementing concepts from event-triggered estimation, used with success in the field of wireless sensor networks but rarely to do robot localization, agents are able to only send measurements to their neighbors when the expected novelty in this information is high. Since all agents know the condition that triggers a measurement to be sent or not, the lack of a measurement is therefore informative and fused into state estimates. In the case agents do not receive either direct nor indirect measurements of all others, the agents employ a covariance intersection fusion rule in order to keep the local covariance error metric bounded. A comprehensive analysis of the proposed algorithm and its estimation performance in a variety of scenarios is performed, and the algorithm is compared to similar cooperative localization approaches. Extensive simulations are performed that illustrate the effectiveness of this method.

  5. Fast-ion Dα measurements of the fast-ion distribution (invited)

    International Nuclear Information System (INIS)

    Heidbrink, W. W.

    2010-01-01

    The fast-ion Dα (FIDA) diagnostic is an application of charge-exchange recombination spectroscopy. Fast ions that neutralize in an injected neutral beam emit Balmer-α light with a large Doppler shift. The spectral shift is exploited to distinguish the FIDA emission from other bright sources of Dα light. Background subtraction is the main technical challenge. A spectroscopic diagnostic typically achieves temporal, energy, and transverse spatial resolution of ∼1 ms, ∼10 keV, and ∼2 cm, respectively. Installations that use narrow-band filters achieve high spatial and temporal resolution at the expense of spectral information. For high temporal resolution, the bandpass-filtered light goes directly to a photomultiplier, allowing detection of ∼50 kHz oscillations in FIDA signal. For two-dimensional spatial profiles, the bandpass-filtered light goes to a charge-coupled device camera; detailed images of fast-ion redistribution at instabilities are obtained. Qualitative and quantitative models relate the measured FIDA signals to the fast-ion distribution function. The first quantitative comparisons between theory and experiment found excellent agreement in beam-heated magnetohydrodynamics (MHD)-quiescent plasmas. FIDA diagnostics are now in operation at magnetic-fusion facilities worldwide. They are used to study fast-ion acceleration by ion cyclotron heating, to detect fast-ion transport by MHD modes and microturbulence, and to study fast-ion driven instabilities.

  6. Microstrip silicon detectors of the monitoring and triggering systems in the E-161 experiment

    International Nuclear Information System (INIS)

    Bogolyubskij, M.Yu.; Kurchaninov, L.L.; Moiseev, A.M.; Semenov, P.A.; Leflat, A.K.; Sekhniaidze, G.G.

    1991-01-01

    A monitoring and triggering system based on microstrip silicon detectors (MSD) and fast-response low-noise electronics with the number of the readout channels equal to 896, is described. The PMS noise is ENC=25x10 3 e - with the signal integration time of 50 ns. The probability of registering a noise pulse by one channel during data readout cycle is not more than 2.5x10 -6 . The time resolution (FWHM) is (16±3) ns. 17 refs.; 7 figs

  7. The performance of the ATLAS Inner Detector Trigger algorithms in pp collisions at the LHC

    International Nuclear Information System (INIS)

    Sutton, Mark

    2011-01-01

    The ATLAS [The ATLAS Collaboration, The ATLAS Experiment at the CERN Large Hadron Collider, JINST 3:S08003, 2008 (2008)] Inner Detector trigger algorithms have been running online during data taking with proton-proton collisions at the Large Hadron Collider (LHC) since December 2009. Preliminary results on the performance of the algorithms in collisions at centre-of-mass energies of 900 GeV and 7 TeV, are discussed. The ATLAS trigger performs the online event selection in three stages. The Inner Detector information is used in the second and third triggering stages, referred to as Level-2 trigger (L2) and Event Filter (EF) respectively, or collectively as the High Level Trigger (HLT). The HLT runs software algorithms on large farms of commercial CPUs and is designed to reject collision events in real time, keeping the most interesting few events in every thousand. The average execution times per event at L2 and the EF are around 40 ms and 4 s respectively and the Inner Detector trigger algorithms can use only a fraction of these times. Within these times, data from interesting regions of the Inner Detector have to be read out through the network, unpacked, clustered and converted to the ATLAS global coordinates. The pattern recognition follows to identify the trajectories of charged particles (tracks), which are then used in combination with information from the other subdetectors to accept or reject events depending on whether they satisfy certain trigger signatures.

  8. Event-triggered output feedback control for distributed networked systems.

    Science.gov (United States)

    Mahmoud, Magdi S; Sabih, Muhammad; Elshafei, Moustafa

    2016-01-01

    This paper addresses the problem of output-feedback communication and control with event-triggered framework in the context of distributed networked control systems. The design problem of the event-triggered output-feedback control is proposed as a linear matrix inequality (LMI) feasibility problem. The scheme is developed for the distributed system where only partial states are available. In this scheme, a subsystem uses local observers and share its information to its neighbors only when the subsystem's local error exceeds a specified threshold. The developed method is illustrated by using a coupled cart example from the literature. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  9. Metal ion protection of DNA to fast neutron irradiation

    International Nuclear Information System (INIS)

    Constantinescu, B.; Bugoi, R.; Radulescu, I.; Radu, L.

    1998-01-01

    The most important effects of the ionising radiation are the single and double strand breaks (SSB and DBS), modifications of the DNA bases and deoxyribose, as well as the occurrence of alkali and heat labile sites (revealed as strand breaks after alkaline or thermic treatment of irradiated DNA). The ionising particles can have either direct effects on the DNA constituents or indirect effects, mediated by the OH - radicals, produced by water radiolysis. The occurrence of SSB and DSB in the chromatin DNA strands is supposed to hinder the DNA-dye complex formation. Usually, the dyes present different fluorescence parameters in the two possible states, so one can correlate the lifetime or the quantum yield with the extent of the damage. We took into account the protective effect offered both by histones, which behave as 'scavenger molecules' for OH - radicals and by the high compactness of DNA chromatin. Similar protective effects might be the results of the metallic ion addition which triggers some conformational transitions of the chromatin DNA towards a highly compacted structure. In this paper we present a study of the complexes of fast neutron irradiated chromatin with proflavine. Fluorimetric and time resolved spectroscopic determinations (single photon counting method) of chromatin-Pr complexes were realised. Information regarding the chromatin protein damage were obtained by monitoring the fluorescence of Trp. The chromatin was irradiated (20-100 Gy) with fast neutrons, obtained by the reaction of 13.5 MeV deuterons on a thick beryllium target at the IFIN-HH U-120 Cyclotron. The dose mean lineal energy in water at the point of interest was 50 keV/m and the mean dose rate was 1.5 Gy/min. By fluorescence determinations, changes of the Pr intercalation parameters in fast neutron irradiated chromatin DNA have been observed. Fluorescence techniques provide valuable information on the binding equilibrium by considering the radiation deexcitation of the complex. The

  10. Performance of the ATLAS Muon Trigger and Phase-1 Upgrade of Level-1 Endcap Muon Trigger

    CERN Document Server

    Mizukami, Atsushi; The ATLAS collaboration

    2017-01-01

    The ATLAS experiment utilises a trigger system to efficiently record interesting events. It consists of first-level and high-level triggers. The first-level trigger is implemented with custom-built hardware to reduce the event rate from 40 MHz to100 kHz. Then the software-based high-level triggers refine the trigger decisions reducing the output rate down to 1 kHz. Events with muons in the final state are an important signature for many physics topics at the LHC. An efficient trigger on muons and a detailed understanding of its performance are required. Trigger efficiencies are, for example, obtained from the muon decay of Z boson, with a Tag&Probe method, using proton-proton collision data collected in 2016 at a centre-of-mass energy of 13 TeV. The LHC is expected to increase its instantaneous luminosity to $3\\times10^{34} \\rm{cm^{-2}s^{-1}}$ after the phase-1 upgrade between 2018-2020. The upgrade of the ATLAS trigger system is mandatory to cope with this high-luminosity. In the phase-1 upgrade, new det...

  11. Study of Tectonic Tremor in Depth: Triggering Stress Observation and Model of the Triggering Mechanism

    Science.gov (United States)

    Wang, Tien-Huei

    Non-volcanic tremor (NVT) has been discovered in recent years due to advances in seismic instruments and increased density of seismic networks. The NVT is a special kind of seismic signal indicative of the physical conditions and the failure mechanism on the source on the fault where NVT occurs. The detection methods used and the sensitivity of them relies on the density, distance and instrumentation of the station network available. How accurately the tremor is identified in different regions varies greatly among different studies. Therefore, there has not been study that rigorously documents tectonic tremors in different regions under limited methods and data. Meanwhile, many incidences of NVTs are observed during or after small but significant strain change induced by teleseismic, regional or local earthquake. The understanding of the triggering mechanisms critical for tremor remains unclear. In addition, characteristics of the triggering of NVT in different regions are rarely compared because of the short time frame after the discovery of the triggered NVTs. We first explore tectonic tremor based on observations to learn about its triggering, frequency of occurrence, location and spectral characteristics. Then, we numerically model the triggering of instability on the estimated tremor-source, under assumptions fine-tuned according to previous studies (Thomas et al., 2009; Miyazawa et al., 2005; Hill, 2008; Ito, 2009; Rubinstein et al., 2007; Peng and Chao, 2008). The onset of the slip reveals that how and when the external loading triggers tremor. It also holds the information to the background stress conditions under which tremor source starts with. We observe and detect tremor in two regions: Anza and Cholame, along San Jacinto Fault (SJF) and San Andreas Fault (SAF) respectively. These two sections of the faults, relative to general fault zone on which general earthquakes occur, are considered transition zones where slip of slow rates occurs. Slip events

  12. Trigger Data Serializer ASIC chip for the ATLAS New Small Wheel sTGC Detector

    CERN Document Server

    Wang, Jinhong; The ATLAS collaboration

    2014-01-01

    The small-strip Thin-Gap Chambers (sTGC) will be used as both trigger and precision tracking muon detectors for the Phase-I upgrade of the ATLAS New Small Wheel (NSW) muon detector. Signals from both the sTGC pad and strip detectors will be first read out by the Amplifier-Shaper-Discriminator (ASD) chip designed by the Brookhaven National Laboratory, and then collected and transmitted by a Trigger Data Serializer (TDS) chip at a rate of 4.8 Gbps to other related circuits. The pad-TDS chip checks the presence of pad hits and sends the information together with Bunching Crossing ID to the pad-trigger logic to define roads of interest. The strip-TDS chip collects and buffers strip charge information and transmits a range of strips within the road of interest to the router board located on the rim of the NSW. The large number of input channels (128 differential input channels), short time available to prepare and transmit trigger data (<100 ns), high speed output data rate (4.8 Gbps), harsh radiation environme...

  13. The Fast Tracker Real Time Processor: high quality real-time tracking at ATLAS

    CERN Document Server

    Stabile, A; The ATLAS collaboration

    2011-01-01

    As the LHC luminosity is ramped up to the design level of 1x1034 cm−2 s−1 and beyond, the high rates, multiplicities, and energies of particles seen by the detectors will pose a unique challenge. Only a tiny fraction of the produced collisions can be stored on tape and immense real-time data reduction is needed. An effective trigger system must maintain high trigger efficiencies for the most important physics and at the same time suppress the enormous QCD backgrounds. This requires massive computing power to minimize the online execution time of complex algorithms. A multi-level trigger is an effective solution for an otherwise impossible problem. The Fast Tracker (FTK)[1], [2] is a proposed upgrade to the current ATLAS trigger system that will operate at full Level-1 output rates and provide high quality tracks reconstructed over the entire detector by the start of processing in Level-2. FTK is a dedicated Super Computer based on a mixture of advanced technologies. The architecture broadly employs powerf...

  14. Method of signal detection from silicon photomultipliers using fully differential Charge to Time Converter and fast shaper

    International Nuclear Information System (INIS)

    Baszczyk, M.; Dorosz, P.; Glab, S.; Kucewicz, W.; Mik, L.; Sapor, M.

    2016-01-01

    The paper presents an implementation of fully differential readout method for Silicon Photomultipliers (SiPM). Front-end electronics consists of a fast and slow path. The former creates the trigger signal while the latter produces a pulse of width proportional to the input charge. The fast shaper generates unipolar pulse and utilizes the pole-zero cancelation circuit. The peaking time for single photoelectron is equal to 3.6 ns and the FWHM is 3.8 ns. The pulse width of the Charge to Time Converter (QTC) depends on the number of photons entering the SiPM at the moment of measurement. The QTC response is nonlinear but it allows us to work with signals in a wide dynamic range. The proposed readout method is effective in measurements of random signals where frequent events tend to pile-up. Thermal generation and afterpulses have a strong influence on the width of pulses from QTC. The proposed method enables us to distinguish those overlapping signals and get the reliable information on the number of detected photons.

  15. Method of signal detection from silicon photomultipliers using fully differential Charge to Time Converter and fast shaper

    Energy Technology Data Exchange (ETDEWEB)

    Baszczyk, M., E-mail: baszczyk@agh.edu.pl [AGH University of Science and Technology, Department of Electronics, Krakow (Poland); Dorosz, P.; Glab, S.; Kucewicz, W. [AGH University of Science and Technology, Department of Electronics, Krakow (Poland); Mik, L. [AGH University of Science and Technology, Department of Electronics, Krakow (Poland); State Higher Vocational School, Tarnow (Poland); Sapor, M. [AGH University of Science and Technology, Department of Electronics, Krakow (Poland)

    2016-07-11

    The paper presents an implementation of fully differential readout method for Silicon Photomultipliers (SiPM). Front-end electronics consists of a fast and slow path. The former creates the trigger signal while the latter produces a pulse of width proportional to the input charge. The fast shaper generates unipolar pulse and utilizes the pole-zero cancelation circuit. The peaking time for single photoelectron is equal to 3.6 ns and the FWHM is 3.8 ns. The pulse width of the Charge to Time Converter (QTC) depends on the number of photons entering the SiPM at the moment of measurement. The QTC response is nonlinear but it allows us to work with signals in a wide dynamic range. The proposed readout method is effective in measurements of random signals where frequent events tend to pile-up. Thermal generation and afterpulses have a strong influence on the width of pulses from QTC. The proposed method enables us to distinguish those overlapping signals and get the reliable information on the number of detected photons.

  16. The Phase-1 Upgrade for the Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC

    CERN Document Server

    Izzo, Vincenzo; The ATLAS collaboration

    2018-01-01

    The Level-1 Muon Barrel Trigger of the ATLAS Experiment at LHC makes use of Resistive Plate Chamber (RPC) detectors. The on-detector trigger electronics modules are able to identify muons with predefined transverse momentum values (pT) by executing a coincidence logic on signals coming from the various detector layers. Then, on-detector trigger boards transfer trigger data to the off-detector electronics. A complex trigger system processes the incoming data by combining trigger information from the Barrel and the End-cap regions, and by providing the combined muon candidate to the Central Trigger Processor (CTP). For almost a decade, the Level-1 Trigger system has been operating very well, despite the challenging requirements on trigger efficiency and performance, and the continuously increasing LHC luminosity. In order to cope with these constraints, various upgrades for the full trigger system were already deployed, and others have been designed to be installed in the next years. Most of the upgrades to the...

  17. Real time data analysis with the ATLAS trigger at the LHC in Run-2

    CERN Document Server

    Beauchemin, Pierre-Hugues; The ATLAS collaboration

    2018-01-01

    The trigger selection capabilities of the ATLAS detector have been significantly enhanced for the LHC Run-2 in order to cope with the higher event rates and with the large number of simultaneous interactions (pile-up) per proton-proton bunch crossing. A new hardware system, designed to analyse real time event-topologies at Level-1 came to full use in 2017. A hardware-based track reconstruction system, expected to be used real-time in 2018, is designed to provide track information to the high-level software trigger at its full input rate. The high-level trigger selections are largely relying on offline-like reconstruction techniques, and in some cases multi-variate analysis methods. Despite the sudden change in LHC operations during the second half of 2017, which caused an increase in pile-up and therefore also in CPU usage of the trigger algorithms, the set of triggers (so called trigger menu) running online has undergone only minor modifications thanks to the robustness and redundancy of the trigger system, ...

  18. The ATLAS Fast Tracker and Tracking at the High-Luminosity LHC

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00236423; The ATLAS collaboration

    2016-01-01

    The LHC’s increase in centre of mass energy and luminosity in 2015 makes controlling trigger rates with high efficiency challenging. The ATLAS Fast TracKer (FTK) is a hardware processor built to reconstruct tracks at a rate of up to 100 kHz and provide them to the high level trigger. The FTK reconstructs tracks by matching incoming detector hits with pre-defined track patterns stored in associative memory on custom ASICs. Inner detector hits are fit to these track patterns using modern FPGAs. These procedings describe the electronics system used for the FTK’s massive parallelization. An overview of the installation, commissioning and running of the system is given. The ATLAS upgrades planned to enable tracking at the High Luminosity LHC are also discussed.

  19. The ATLAS hadronic tau trigger

    CERN Document Server

    Black, C; The ATLAS collaboration

    2012-01-01

    With the high luminosities of proton-proton collisions achieved at the LHC, the strategies for triggering have become more important than ever for physics analysis. The naive inclusive single tau lepton triggers now suffer from severe rate limitations. To allow for a large program of physics analyses with taus, the development of topological triggers that combine tau signatures with other measured quantities in the event is required. These combined triggers open many opportunities to study new physics beyond the Standard Model and to search for the Standard Model Higgs. We present the status and performance of the hadronic tau trigger in ATLAS. We demonstrate that the ATLAS tau trigger ran remarkably well over 2011, and how the lessons learned from 2011 led to numerous improvements in the preparation of the 2012 run. These improvements include the introduction of tau selection criteria that are robust against varying pileup scenarios, and the implementation of multivariate selection techniques in the tau trig...

  20. The ATLAS hadronic tau trigger

    CERN Document Server

    Black, C; The ATLAS collaboration

    2012-01-01

    With the high luminosities of proton-proton collisions achieved at the LHC, the strategies for triggering have become more important than ever for physics analysis. The naïve inclusive single tau lepton triggers now suffer from severe rate limitations. To allow for a large program of physics analyses with taus, the development of topological triggers that combine tau signatures with other measured quantities in the event is required. These combined triggers open many opportunities to study new physics beyond the Standard Model and to search for the Standard Model Higgs. We present the status and performance of the hadronic tau trigger in ATLAS. We demonstrate that the ATLAS tau trigger ran remarkably well over 2011, and how the lessons learned from 2011 led to numerous improvements in the preparation of the 2012 run. These improvements include the introduction of tau selection criteria that are robust against varying pileup scenarios, and the implementation of multivariate selection techniques in the tau tri...