WorldWideScience

Sample records for fast silicon etching

  1. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  2. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  3. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  4. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  5. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  6. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  7. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  8. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  9. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  10. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  11. Stain-etched porous silicon nanostructures for multicrystalline silicon-based solar cells

    Science.gov (United States)

    Ben Rabha, M.; Hajji, M.; Belhadj Mohamed, S.; Hajjaji, A.; Gaidi, M.; Ezzaouia, H.; Bessais, B.

    2012-02-01

    In this paper, we study the optical, optoelectronic and photoluminescence properties of stain-etched porous silicon nanostructures obtained with different etching times. Special attention is given to the use of the stain-etched PS as an antireflection coating as well as for surface passivating capabilities. The surface morphology has been analyzed by scanning electron microscopy. The evolution of the Si-O and Si-H absorption bands was analyzed by Fourier transform infrared spectrometry before and after PS treatment. Results show that stain etching of the silicon surface drops the total reflectivity to about 7% in the 400-1100 nm wavelength range and the minority carrier lifetime enhances to about 48 μs.

  12. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  13. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  14. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  15. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  16. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  17. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  18. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  19. Dynamic Wet Etching of Silicon through Isopropanol Alcohol Evaporation

    Directory of Open Access Journals (Sweden)

    Tiago S. Monteiro

    2015-10-01

    Full Text Available In this paper, Isopropanol (IPA availability during the anisotropic etching of silicon in Potassium Hydroxide (KOH solutions was investigated. Squares of 8 to 40 µm were patterned to (100 oriented silicon wafers through DWL (Direct Writing Laser photolithography. The wet etching process was performed inside an open HDPE (High Density Polyethylene flask with ultrasonic agitation. IPA volume and evaporation was studied in a dynamic etching process, and subsequent influence on the silicon etching was inspected. For the tested conditions, evaporation rates for water vapor and IPA were determined as approximately 0.0417 mL/min and 0.175 mL/min, respectively. Results demonstrate that IPA availability, and not concentration, plays an important role in the definition of the final structure. Transversal SEM (Scanning Electron Microscopy analysis demonstrates a correlation between microloading effects (as a consequence of structure spacing and the angle formed towards the (100 plane.

  20. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  1. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  2. Double side multicrystalline silicon passivation by one step stain etching-based porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mohamed, Seifeddine Belhadj; Ben Rabha, Mohamed; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2012-10-15

    In this paper, we investigate the effect of stain etching-based porous silicon on the double side multicrystalline silicon. Special attention is given to the use of the stain etched PS as an antireflection coating as well as for surface passivating capabilities. Stain etching of double side multicrystalline silicon leads to the formation of PS nanostructures, that dramatically decrease the surface reflectivity from 30% to about 7% and increase the effective lifetime from 1 {mu}s to 10 {mu}s at a minority carrier density ({Delta}n) of 10{sup 15} cm{sup -3}. These results let us correlate the rise of the lifetime values to the photoluminescence intensity to the hydrogen and oxide passivation as shown by FTIR analysis. This low-cost PS formation process can be applied in the photovoltaic cell technology as a standard procedure (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  4. Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon

    Science.gov (United States)

    2015-11-01

    Platinum Electrodes for Metal Assisted Etching of Porous Silicon by Matthew H Ervin and Brian Isaacson Sensors and Electron Devices Directorate...SUBTITLE Same-Side Platinum Electrodes for Metal Assisted Etching of Porous Silicon 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT

  5. Bilayer–metal assisted chemical etching of silicon microwire arrays for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    R. W. Wu

    2016-02-01

    Full Text Available Silicon microwires with lateral dimension from 5 μm to 20 μm and depth as long as 20 μm are prepared by bilayer metal assisted chemical etching (MaCE. A bilayer metal configuration (Metal 1 / Metal 2 was applied to assist etching of Si where metal 1 acts as direct catalyst and metal 2 provides mechanical support. Different metal types were investigated to figure out the influence of metal catalyst on morphology of etched silicon. We find that silicon microwires with vertical side wall are produced when we use Ag/Au bilayer, while cone–like and porous microwires formed when Pt/Au is applied. The different micro-/nano-structures in as-etched silicon are demonstrated to be due to the discrepancy of work function of metal catalyst relative to Si. Further, we constructed a silicon microwire arrays solar cells in a radial p–n junction configurations in a screen printed aluminum paste p–doping process.

  6. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  7. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  8. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  9. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  10. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  11. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  12. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  13. Deep glass etched microring resonators based on silica-on-silicon technology

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten; Philipp, Hugh Taylor

    2006-01-01

    Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented.......Microring resonators fabricated on silica-on-silicon technology using deep glass etching are demonstrated. The fabrication procedures are introduced and the transmission spectrum of a resonator is presented....

  14. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  15. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  16. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  17. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  18. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  19. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  20. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  1. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  2. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  3. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  4. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  5. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  6. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  7. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  8. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  9. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  10. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  11. Step voltage with periodic hold-up etching: A novel porous silicon formation

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.; Soukeih, M.

    2007-01-01

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching

  12. Step voltage with periodic hold-up etching: A novel porous silicon formation

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)

    2007-05-16

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.

  13. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  14. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  15. A novel fabrication method of silicon nano-needles using MEMS TMAH etching techniques

    International Nuclear Information System (INIS)

    Yan Sheping; Xu Yang; Yang Junyi; Wang Huiquan; Jin Zhonghe; Wang Yuelin

    2011-01-01

    Nano-needles play important roles in nanoscale operations. However, current nano-needle fabrication is usually expensive and controling the sizes and angles is complicated. We have developed a simple and low cost silicon nano-needle fabrication method using traditional microelectromechanical system (MEMS) tetramethyl ammonium hydroxide (TMAH) etching techniques. We take advantage of the fact that the decrease of the silicon etch rate in TMAH solutions exhibits an inverse fourth power dependence on the boron doping concentration in our nano-needle fabrication. Silicon nano-needles, with high aspect ratio and sharp angles θ as small as 2.9 deg., are obtained, which could be used for bio-sensors and nano-handling procedures, such as penetrating living cells. An analytic model is proposed to explain the etching evolution of the experimental results, which is used to predict the needle angle, length, and etching time. Based on our method, nano-needles with small acute angle θ can be obtained.

  16. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  17. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  18. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    Science.gov (United States)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  19. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  20. Electrochemically etched nanoporous silicon membrane for separation of biological molecules in mixture

    Science.gov (United States)

    Burham, Norhafizah; Azlan Hamzah, Azrul; Yunas, Jumril; Yeop Majlis, Burhanuddin

    2017-07-01

    This paper presents a technique for separating biological molecules in mixture using nanoporous silicon membrane. Nanopores were formed using electrochemical etching process (ECE) by etching a prefabricated silicon membrane in hydrofluoric acid (HF) and ethanol, and then directly bonding it with PDMS to form a complete filtration system for separating biological molecules. Tygon S3™ tubings were used as fluid interconnection between PDMS molds and silicon membrane during testing. Electrochemical etching parameters were manipulated to control pore structure and size. In this work, nanopores with sizes of less than 50 nm, embedded on top of columnar structures have been fabricated using high current densities and variable HF concentrations. Zinc oxide was diluted with deionized (DI) water and mixed with biological molecules and non-biological particles, namely protein standard, serum albumin and sodium chloride. Zinc oxide particles were trapped on the nanoporous silicon surface, while biological molecules of sizes up to 12 nm penetrated the nanoporous silicon membrane. The filtered particles were inspected using a Zetasizer Nano SP for particle size measurement and count. The Zetasizer Nano SP results revealed that more than 95% of the biological molecules in the mixture were filtered out by the nanoporous silicon membrane. The nanoporous silicon membrane fabricated in this work is integratable into bio-MEMS and Lab-on-Chip components to separate two or more types of biomolecules at once. The membrane is especially useful for the development of artificial kidney.

  1. Light-trapping optimization in wet-etched silicon photonic crystal solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Eyderman, Sergey, E-mail: sergey.eyderman@utoronto.ca [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); John, Sajeev [Department of Physics, University of Toronto, 60 St. George Street, Toronto, Ontario M5S 1A7 (Canada); Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Hafez, M.; Al-Ameer, S. S.; Al-Harby, T. S.; Al-Hadeethi, Y. [Department of Physics, King Abdul-Aziz University, Jeddah (Saudi Arabia); Bouwes, D. M. [iX-factory GmbH, Konrad Adenauer–Allee 11, 44263 Dortmund (Germany)

    2015-07-14

    We demonstrate, by numerical solution of Maxwell's equations, near-perfect solar light-trapping and absorption over the 300–1100 nm wavelength band in silicon photonic crystal (PhC) architectures, amenable to fabrication by wet-etching and requiring less than 10 μm (equivalent bulk thickness) of crystalline silicon. These PhC's consist of square lattices of inverted pyramids with sides comprised of various (111) silicon facets and pyramid center-to-center spacing in the range of 1.3–2.5 μm. For a wet-etched slab with overall height H = 10 μm and lattice constant a = 2.5 μm, we find a maximum achievable photo-current density (MAPD) of 42.5 mA/cm{sup 2}, falling not far from 43.5 mA/cm{sup 2}, corresponding to 100% solar absorption in the range of 300–1100 nm. We also demonstrate a MAPD of 37.8 mA/cm{sup 2} for a thinner silicon PhC slab of overall height H = 5 μm and lattice constant a = 1.9 μm. When H is further reduced to 3 μm, the optimal lattice constant for inverted pyramids reduces to a = 1.3 μm and provides the MAPD of 35.5 mA/cm{sup 2}. These wet-etched structures require more than double the volume of silicon, in comparison to the overall mathematically optimum PhC structure (consisting of slanted conical pores), to achieve the same degree of solar absorption. It is suggested these 3–10 μm thick structures are valuable alternatives to currently utilized 300 μm-thick textured solar cells and are suitable for large-scale fabrication by wet-etching.

  2. The effect of silicon crystallographic orientation on the formation of silicon nanoclusters during anodic electrochemical etching

    International Nuclear Information System (INIS)

    Timokhov, D. F.; Timokhov, F. P.

    2009-01-01

    Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.

  3. Characterization of nanostructured CuO-porous silicon matrixformed on copper coated silicon substrate via electrochemical etching

    International Nuclear Information System (INIS)

    Naddaf, M.; Mrad, O.; Al-Zier, A.

    2015-01-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)

  4. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.; Rubin, Andrew; Ibrahem, Mohammed Aziz; Sedky, Sherif M.

    2013-01-01

    -removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6

  6. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  7. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    Science.gov (United States)

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  8. Simple and fast polydimethylsiloxane (PDMS) patterning using a cutting plotter and vinyl adhesives to achieve etching results.

    Science.gov (United States)

    Hyun Kim; Sun-Young Yoo; Ji Sung Kim; Zihuan Wang; Woon Hee Lee; Kyo-In Koo; Jong-Mo Seo; Dong-Il Cho

    2017-07-01

    Inhibition of polydimethylsiloxane (PDMS) polymerization could be observed when spin-coated over vinyl substrates. The degree of polymerization, partially curing or fully curing, depended on the PDMS thickness coated over the vinyl substrate. This characteristic was exploited to achieve simple and fast PDMS patterning method using a vinyl adhesive layer patterned through a cutting plotter. The proposed patterning method showed results resembling PDMS etching. Therefore, patterning PDMS over PDMS, glass, silicon, and gold substrates were tested to compare the results with conventional etching methods. Vinyl stencils with widths ranging from 200μm to 1500μm were used for the procedure. To evaluate the accuracy of the cutting plotter, stencil designed on the AutoCAD software and the actual stencil widths were compared. Furthermore, this method's accuracy was also evaluated by comparing the widths of the actual stencils and etched PDMS results.

  9. Fabrication of micromirrors with pyramidal shape using anisotropic etching of silicon

    OpenAIRE

    Moktadir, Z.; Vijaya Prakash, G.; Trupke, M.; Koukharenko, E.; Kraft, M.; Baumberg, J.J.; Eriksson, S.; Hinds, E.A.

    2005-01-01

    Gold micro-mirrors have been formed in silicon in an inverted pyramidal shape. The pyramidal structures are created in the (100) surface of a silicon wafer by anisotropic etching in potassium hydroxide. High quality micro-mirrors are then formed by sputtering gold onto the smooth silicon (111) faces of the pyramids. These mirrors show great promise as high quality optical devices suitable for integration into MOEMS systems.

  10. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  11. Phase transition and luminescence properties from vapor etched silicon

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Ben Saad, K.; Bessais, B.

    2006-01-01

    In this work, we present a study on the structure and photoluminescence (PL) properties of a non-conventional ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 (white powder) obtained from HNO 3 /HF chemical vapor etching (CVE) of silicon wafers. The CVE method leads either to the formation of luminescent Porous Silicon (PS) or SiO x /Si-containing (NH 4 ) 2 SiF 6 depending on the experimental conditions. At specific conditions (i.e., HNO 3 / HF volume ratio > 1 / 4), the CVE technique can generate instead of PS, a (NH 4 ) 2 SiF 6 phase where SiO x /Si particles are embedded. The (NH 4 ) 2 SiF 6 marketed powder is not luminescent, while that obtained from silicon vapor-etching presents a noticeable intense and stable photoluminescence (PL), which was found to have mainly two shoulders at 1.98 and 2.1 eV. Two processes have been proposed to explain this PL property. First, the visible luminescence around 1.98 eV would come from silicon nanoparticles embedded in the powder, having a distribution size that does not allow SiO x species to influence their own PL. Second, the PL shoulder around 2.1 eV would originate from small silicon nanoparticles trapped in SiO x features, leading to oxide related states that may trap electrons or excitons, depending on the silicon nanoparticle size, wherein radiative recombination occurs. The PL shoulder could become broader at low temperatures suggesting the existence of radiative recombination in SiO x related defects

  12. Energy dependence of fast neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Morgan, K.Z.

    1978-01-01

    Registration of fast-neutron induced recoil tracks by the electrochemical etching technique as applied to sensitive Lexan polycarbonate foils provides a simple and inexpensive means of fast neutron personnel dosimetry. The sensitivity (tracks/neutron) of recoil particle registration is given as a function of neutron energy. Neutrons of 7 Li (p,n) 7 Be, 3 T (d,n) 4 He and 9 B, respectively. Results are compared with other studies using other neutron sources and conventional etching method

  13. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  14. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  15. Development of a Silicon Microneedle with Three-Dimensional Sharp Tip by Electrochemical Etching

    Science.gov (United States)

    Izumi, Hayato; Okamoto, Tokusuke; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication technique of silicon microneedle of conical sharp point. The electrochemical etching technique is employed for sharpening the tip of a pillar, which is diced from a silicon wafer. A finely smooth tip surface is obtained due to electrochemical etching reactions, and is effective for easy insertion. The fabrication method is based on inexpensive wet etching, which does not require expensive fabrication facilities such as deep reactive ion etching (DRIE). A sharp needle was successfully fabricated, the tip angle of which was considerably small and was distributed within the range from 15 to 30 deg. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. As the results, the resistance force during insertion was much reduced compared to those of two-dimensional sharp needles. Imitating mosquito's motion, the effectiveness of applying vibration to the fabricated needle during insertion was also confirmed. After biocompatible Parylene coating, puncturing a human skin was demonstrated assuming a lancet usage for the diabetics, in which the bleeding was surely observed.

  16. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  17. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    Science.gov (United States)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  18. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  19. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  20. Quantum confinement effect in cheese like silicon nano structure fabricated by metal induced etching

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Shailendra K., E-mail: phd1211512@iiti.ac.in; Sahu, Gayatri; Sagdeo, Pankaj R.; Kumar, Rajesh [Material Research Laboratory, Discipline of Physics & MSEG, Indian Institute of Technology Indore, Madhya Pradesh-452017 (India)

    2015-08-28

    Quantum confinement effect has been studied in cheese like silicon nano-structures (Ch-SiNS) fabricated by metal induced chemical etching using different etching times. Scanning electron microscopy is used for the morphological study of these Ch-SiNS. A visible photoluminescence (PL) emission is observed from the samples under UV excitation at room temperature due to quantum confinement effect. The average size of Silicon Nanostructures (SiNS) present in the samples has been estimated by bond polarizability model using Raman Spectroscopy from the red-shift observed from SiNSs as compared to its bulk counterpart. The sizes of SiNS present in the samples decreases as etching time increase from 45 to 75 mintunes.

  1. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  3. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Montesdeoca-Santana, A. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen, Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Gonzalez-Diaz, B. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Departamento de Energia Fotovoltaica, Instituto Tecnologico y de Energias Renovables. Poligono Industrial de Granadilla s/n, 38600 San Isidro-Granadilla de Abona (Spain); Jimenez-Rodriguez, E. [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Ziegler, J. [Fraunhofer Institute for Solar Energy Systems, Laboratory- and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Velazquez, J.J. [Departamento de Fisica Fundamental y Experimental, Electronica y Sistemas, Universidad de La Laguna. Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain); Hohage, S.; Borchert, D. [Fraunhofer Institute for Solar Energy Systems, Laboratory and Servicecenter Gelsenkirchen. Auf der Reihe 2, 45884 Gelsenkirchen (Germany); Guerrero-Lemus, R., E-mail: rglemus@ull.es [Departamento de Fisica Basica, Universidad de La Laguna, Avda. Astrofisico Francisco Sanchez, 38206 La Laguna (Spain)

    2011-11-15

    Highlights: > An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO{sub 3} stain etching to texture the surface. > FTIR analysis shows no influence of oxide passivation in this effect. > SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. > LBIC images show a reduction in IQE at extended defects in HF/HNO{sub 3} textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO{sub 3} solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  4. Influence of stain etching on low minority carrier lifetime areas of multicrystalline silicon for solar cells

    International Nuclear Information System (INIS)

    Montesdeoca-Santana, A.; Gonzalez-Diaz, B.; Jimenez-Rodriguez, E.; Ziegler, J.; Velazquez, J.J.; Hohage, S.; Borchert, D.; Guerrero-Lemus, R.

    2011-01-01

    Highlights: → An enhanced minority carrier lifetime at extended defects in multicrystalline silicon is observed with the use of HF/HNO 3 stain etching to texture the surface. → FTIR analysis shows no influence of oxide passivation in this effect. → SEM images show a preferential etching at extended defects suggesting smoothing at defects as one of the causes for the reduced recombination activity. → LBIC images show a reduction in IQE at extended defects in HF/HNO 3 textured multicrystalline solar cells. - Abstract: In this work the use of HF/HNO 3 solutions for texturing silicon-based solar cell substrates by stain etching and the influence of texturing on minority carrier lifetimes are studied. Stain etching is currently used to decrease the reflectance and, subsequently improve the photogenerated current of the cells, but also produces nanostructures on the silicon surface. In the textured samples it has been observed that an improvement on the minority carrier lifetime with respect to the samples treated with a conventional saw damage etching process is produced on grain boundaries and defects, and the origin of this effect has been discussed.

  5. Self-assembled peptide nanotubes as an etching material for the rapid fabrication of silicon wires

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget; Andersen, Karsten Brandt; Svendsen, Winnie Edith

    2011-01-01

    This study has evaluated self-assembled peptide nanotubes (PNTS) and nanowires (PNWS) as etching mask materials for the rapid and low-cost fabrication of silicon wires using reactive ion etching (RIE). The self-assembled peptide structures were fabricated under mild conditions and positioned on c...... characterization by SEM and I-V measurements. Additionally, the fabricated silicon structures were functionalized with fluorescent molecules via a biotin-streptavidin interaction in order to probe their potential in the development of biosensing devices....

  6. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  7. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  8. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  9. Enhanced performance of solar cells with optimized surface recombination and efficient photon capturing via anisotropic-etching of black silicon

    International Nuclear Information System (INIS)

    Chen, H. Y.; Peng, Y.; Hong, M.; Zhang, Y. B.; Cai, Bin; Zhu, Y. M.; Yuan, G. D.; Zhang, Y.; Liu, Z. Q.; Wang, J. X.; Li, J. M.

    2014-01-01

    We report an enhanced conversion efficiency of femtosecond-laser treated silicon solar cells by surface modification of anisotropic-etching. The etching improves minority carrier lifetime inside modified black silicon area substantially; moreover, after the etching, an inverted pyramids/upright pyramids mixed texture surface is obtained, which shows better photon capturing capability than that of conventional pyramid texture. Combing of these two merits, the reformed solar cells show higher conversion efficiency than that of conventional pyramid textured cells. This work presents a way for fabricating high performance silicon solar cells, which can be easily applied to mass-production

  10. Conformal coating by photoresist of sharp corners of anisotropically etched through-holes in silicon

    DEFF Research Database (Denmark)

    Heschel, Matthias; Bouwstra, Siebe

    1997-01-01

    The authors describe a photoresist treatment yielding conformal coating of three-dimensional silicon structures. This even includes the sharp corners of through-holes obtained by anisotropic etching in (100)-silicon. Resist reflow from these corners is avoided by replacing the common baking...

  11. Optimization of HNA etching parameters to produce high aspect ratio solid silicon microneedles

    International Nuclear Information System (INIS)

    Hamzah, A A; Yeop Majlis, B; Yunas, J; Dee, C F; Abd Aziz, N; Bais, B

    2012-01-01

    High aspect ratio solid silicon microneedles with a concave conic shape were fabricated. Hydrofluoric acid–nitric acid–acetic acid (HNA) etching parameters were characterized and optimized to produce microneedles that have long and narrow bodies with smooth surfaces, suitable for transdermal drug delivery applications. The etching parameters were characterized by varying the HNA composition, the optical mask's window size, the etching temperature and bath agitation. An L9 orthogonal Taguchi experiment with three factors, each having three levels, was utilized to determine the optimal fabrication parameters. Isoetch contours for HNA composition with 0% and 10% acetic acid concentrations were presented and a high nitric acid region was identified to produce microneedles with smooth surfaces. It is observed that an increase in window size indiscriminately increases the etch rate in both the vertical and lateral directions, while an increase in etching temperature beyond 35 °C causes the etching to become rapid and uncontrollable. Bath agitation and sample placement could be manipulated to achieve a higher vertical etch rate compared to its lateral counterpart in order to construct high aspect ratio microneedles. The Taguchi experiment performed suggests that a HNA composition of 2:7:1 (HF:HNO 3 :CH 3 COOH), window size of 500 µm and agitation rate of 450 RPM are optimal. Solid silicon microneedles with an average height of 159.4 µm, an average base width of 110.9 µm, an aspect ratio of 1.44, and a tip angle and diameter of 19.2° and 0.38 µm respectively were successfully fabricated. (paper)

  12. Porous siliconformation and etching process for use in silicon micromachining

    Science.gov (United States)

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  13. Simple, Fast, and Cost-Effective Fabrication of Wafer-Scale Nanohole Arrays on Silicon for Antireflection

    Directory of Open Access Journals (Sweden)

    Di Di

    2014-01-01

    Full Text Available A simple, fast, and cost-effective method was developed in this paper for the high-throughput fabrication of nanohole arrays on silicon (Si, which is utilized for antireflection. Wafer-scale polystyrene (PS monolayer colloidal crystal was developed as templates by spin-coating method. Metallic shadow mask was prepared by lifting off the oxygen etched PS beads from the deposited chromium film. Nanohole arrays were fabricated by Si dry etching. A series of nanohole arrays were fabricated with the similar diameter but with different depth. It is found that the maximum depth of the Si-hole was determined by the diameter of the Cr-mask. The antireflection ability of these Si-hole arrays was investigated. The results show that the reflection decreases with the depth of the Si-hole. The deepest Si-hole arrays show the best antireflection ability (reflection 600 nm, which was about 28 percent of the nonpatterned silicon wafer’s reflection. The proposed method has the potential for high-throughput fabrication of patterned Si wafer, and the low reflectivity allows the application of these wafers in crystalline silicon solar cells.

  14. Comparison of fast neutron-induced tracks in plastics using the electrochemical etching method

    International Nuclear Information System (INIS)

    Cotter, S.J.; Gammage, R.B.; Thorngate, J.H.; Ziemer, P.L.

    1979-01-01

    Four plastics were examined by the electrochemical etching method for their suitability in registering fast neutron-induced recoil particle tracks. The plastics were cellulose acetate, cellulose triacetate, cellulose acetobutyrate and polycarbonate. Cellulose acetate and triacetate displayed high levels of water absorptivity during etching while the acetobutyrate foils cracked due to electromechanical stresses at high frequencies (>500 Hz). The clarity of the etched track was superior in the polycarbonate foils, suggesting the latter as the generally preferred dosimeter for fast neutrons. (author)

  15. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  16. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  17. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  18. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  19. Formation of a silicon micropore array of a two-dimension electron multiplier by photo electrochemical etching

    International Nuclear Information System (INIS)

    Gao Yanjun; Duanmu Qingduo; Wang Guozheng; Li Ye; Tian Jingquan

    2009-01-01

    A semiconductor PEC etching method is applied to fabricate the n-type silicon deep micropore channel array. In this method, it is important to arrange the direction of the micropore array along the crystal orientation of the Si substrate. Otherwise, serious lateral erosion will happen. The etching process is also relative to the light intensity and HF concentration. 5% HF concentration and 10-15 cm distance between the light source and the silicon wafer are demonstrated to be the best in our experiments. The n-type silicon deep micropore channel array with aperture of 3 μm and aspect ratio of 40-60, whose inner walls are smooth, is finally obtained.

  20. Porous silicon photonic devices using pulsed anodic etching of lightly doped silicon

    International Nuclear Information System (INIS)

    Escorcia-Garcia, J; Sarracino MartInez, O; Agarwal, V; Gracia-Jimenez, J M

    2009-01-01

    The fabrication of porous silicon photonic structures using lightly doped, p-type, silicon wafers (resistivity: 14-22 Ω cm) by pulsed anodic etching is reported. The optical properties have been found to be strongly dependent on the duty cycle and frequency of the applied current. All the interfaces of the single layered samples were digitally analysed by calculating the mean interface roughness (R m ). The interface roughness was found to be maximum for the sample with direct current. The use of a duty cycle above 50%, in a certain range of frequencies, is found to reduce the interface roughness. The optical properties of some microcavities and rugate filters are investigated from the optimized parameters of the duty cycle and frequency, using the current densities of 10, 90 and 150 mA cm -2 .

  1. Erbium doped stain etched porous silicon

    International Nuclear Information System (INIS)

    Gonzalez-Diaz, B.; Diaz-Herrera, B.; Guerrero-Lemus, R.; Mendez-Ramos, J.; Rodriguez, V.D.; Hernandez-Rodriguez, C.; Martinez-Duart, J.M.

    2008-01-01

    In this work a simple erbium doping process applied to stain etched porous silicon layers (PSLs) is proposed. This doping process has been developed for application in porous silicon solar cells, where conventional erbium doping processes are not affordable because of the high processing cost and technical difficulties. The PSLs were formed by immersion in a HF/HNO 3 solution to properly adjust the porosity and pore thickness to an optimal doping of the porous structure. After the formation of the porous structure, the PSLs were analyzed by means of nitrogen BET (Brunauer, Emmett and Teller) area measurements and scanning electron microscopy. Subsequently, the PSLs were immersed in a saturated erbium nitrate solution in order to cover the porous surface. Then, the samples were subjected to a thermal process to activate the Er 3+ ions. Different temperatures and annealing times were used in this process. The photoluminescence of the PSLs was evaluated before and after the doping processes and the composition was analyzed by Fourier transform IR spectroscopy

  2. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    International Nuclear Information System (INIS)

    Ozdemir, Baris; Unalan, Husnu Emrah; Kulakci, Mustafa; Turan, Rasit

    2011-01-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 μm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  3. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  4. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    Science.gov (United States)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  5. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  6. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  7. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  8. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  9. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  10. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  11. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  12. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  13. Ultra-fast silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sadrozinski, H. F.-W., E-mail: hartmut@scipp.ucsc.edu [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Ely, S.; Fadeyev, V.; Galloway, Z.; Ngo, J.; Parker, C.; Petersen, B.; Seiden, A.; Zatserklyaniy, A. [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Cartiglia, N.; Marchetto, F. [INFN Torino, Torino (Italy); Bruzzi, M.; Mori, R.; Scaringella, M.; Vinattieri, A. [University of Florence, Department of Physics and Astronomy, Sesto Fiorentino, Firenze (Italy)

    2013-12-01

    We propose to develop a fast, thin silicon sensor with gain capable to concurrently measure with high precision the space (∼10 μm) and time (∼10 ps) coordinates of a particle. This will open up new application of silicon detector systems in many fields. Our analysis of detector properties indicates that it is possible to improve the timing characteristics of silicon-based tracking sensors, which already have sufficient position resolution, to achieve four-dimensional high-precision measurements. The basic sensor characteristics and the expected performance are listed, the wide field of applications are mentioned and the required R and D topics are discussed. -- Highlights: •We are proposing thin pixel silicon sensors with 10's of picoseconds time resolution. •Fast charge collection is coupled with internal charge multiplication. •The truly 4-D sensors will revolutionize imaging and particle counting in many applications.

  14. Damage-free laser patterning of silicon nitride on textured crystalline silicon using an amorphous silicon etch mask for Ni/Cu plated silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bailly, Mark S., E-mail: mbailly@asu.edu; Karas, Joseph; Jain, Harsh; Dauksher, William J.; Bowden, Stuart

    2016-08-01

    We investigate the optimization of laser ablation with a femtosecond laser for direct and indirect removal of SiN{sub x} on alkaline textured c-Si. Our proposed resist-free indirect removal process uses an a-Si:H etch mask and is demonstrated to have a drastically improved surface quality of the laser processed areas when compared to our direct removal process. Scanning electron microscope images of ablated sites show the existence of substantial surface defects for the standard direct removal process, and the reduction of those defects with our proposed process. Opening of SiN{sub x} and SiO{sub x} passivating layers with laser ablation is a promising alternative to the standard screen print and fire process for making contact to Si solar cells. The potential for small contacts from laser openings of dielectrics coupled with the selective deposition of metal from light induced plating allows for high-aspect-ratio metal contacts for front grid metallization. The minimization of defects generated in this process would serve to enhance the performance of the device and provides the motivation for our work. - Highlights: • Direct laser removal of silicon nitride (SiN{sub x}) damages textured silicon. • Direct laser removal of amorphous silicon (a-Si) does not damage textured silicon. • a-Si can be used as a laser patterned etch mask for SiN{sub x}. • Chemically patterned SiN{sub x} sites allow for Ni/Cu plating.

  15. The role of Triton surfactant in anisotropic etching of {1 1 0} reflective planes on (1 0 0) silicon

    Science.gov (United States)

    Resnik, Drago; Vrtacnik, Danilo; Aljancic, Uros; Mozek, Matej; Amon, Slavko

    2005-06-01

    Etching characteristics and properties of {1 1 0} silicon crystal planes used as 45° optical mirrors for deflecting optical beams from/to optical fibers were investigated. Fiber aligning grooves and passive mirror-like planes were realized by wet micromachining of (1 0 0) silicon in KOH IPA and TMAH IPA systems. Implementation of Triton-x-100 surfactant as an additive to 25% TMAH in anisotropic etching of {1 1 0} silicon passive mirror planes is reported and discussed. It was found that Triton-x-100 contents in the range of 10 200 ppm to the 25% TMAH water etchant significantly increase the anisotropy mostly by decreasing the {1 1 0} etch rate and retaining the {1 0 0} etch rate. It is also shown that {1 1 0} surface roughness is substantially improved compared to two other etching systems. Furthermore, efficient convex corner underetching reduction is demonstrated. The results of optical characterization of passive mirrors with 632 nm incident light show reduced scattering of reflected optical beam due to improved microroughness for mirrors made by TMAH Triton. For the reflection of the optical beam with 1.33 µm and 1.54 µm wavelengths, sputtered layer of gold is used as reflective coating on silicon mirrors thus increasing the reflected optical beam intensity by an additional 8%.

  16. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  17. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  18. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  19. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  20. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  1. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  2. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  3. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  4. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  5. Characterizing the effects of free carriers in fully etched, dielectric-clad silicon waveguides

    Science.gov (United States)

    Sharma, Rajat; Puckett, Matthew W.; Lin, Hung-Hsi; Vallini, Felipe; Fainman, Yeshaiahu

    2015-06-01

    We theoretically characterize the free-carrier plasma dispersion effect in fully etched silicon waveguides, with various dielectric material claddings, due to fixed interface charges and trap states at the silicon-dielectric interfaces. The values used for these charges are obtained from the measured capacitance-voltage characteristics of SiO2, SiNx, and Al2O3 thin films deposited on silicon substrates. The effect of the charges on the properties of silicon waveguides is then calculated using the semiconductor physics tool Silvaco in combination with the finite-difference time-domain method solver Lumerical. Our results show that, in addition to being a critical factor in the analysis of such active devices as capacitively driven silicon modulators, this effect should also be taken into account when considering the propagation losses of passive silicon waveguides.

  6. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  7. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  8. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  9. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  10. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  11. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  12. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  13. Effect of Current Density on Optical Properties of Anisotropic Photoelectrochemical Etched Silicon (110)

    Science.gov (United States)

    Amirhoseiny, M.; Hassan, Z.; Ng, S. S.

    2012-08-01

    Photoelectrochemical etched Si layers were prepared on n-type (110) oriented silicon wafer. The photoluminescence (PL), Fourier transformed infrared (FTIR) absorption and Raman spectroscopies of etched Si (110) at two different current densities were studied. Both samples showed PL peak in the visible spectral range situated from 650 nm to 750 nm. The corresponding changes in Raman spectra at different current density are discussed. The blue shift in the PL and Raman peaks is consequent of the quantum confinement effect and defect states of surface Si nanocrystallites complexes and hydrogen atoms of the photoelectrochemical etched Si (110) samples. The attenuated total reflection (ATR) results show both hydrogen and oxygen related IR modes in the samples which can be used to explain the PL effect.

  14. Simple fabrication of closed-packed IR microlens arrays on silicon by femtosecond laser wet etching

    Science.gov (United States)

    Meng, Xiangwei; Chen, Feng; Yang, Qing; Bian, Hao; Du, Guangqing; Hou, Xun

    2015-10-01

    We demonstrate a simple route to fabricate closed-packed infrared (IR) silicon microlens arrays (MLAs) based on femtosecond laser irradiation assisted by wet etching method. The fabricated MLAs show high fill factor, smooth surface and good uniformity. They can be used as optical devices for IR applications. The exposure and etching parameters are optimized to obtain reproducible microlens with hexagonal and rectangular arrangements. The surface roughness of the concave MLAs is only 56 nm. This presented method is a maskless process and can flexibly change the size, shape and the fill factor of the MLAs by controlling the experimental parameters. The concave MLAs on silicon can work in IR region and can be used for IR sensors and imaging applications.

  15. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  16. Combination of gettering and etching in multicrystalline silicon used in solar cells processing

    International Nuclear Information System (INIS)

    Dimassi, W.; Bouaicha, M.; Nouri, H.; Ben Nasrallah, S.; Bessais, B.

    2006-01-01

    Undesired impurities can be removed away from multicrystalline silicon (mc-Si) wafers by combining porous silicon (PS) formation and heat treatments. The gettering procedure used in this work is based on the formation of a PS film at both back and front sides of the mc-Si wafers, followed by a heat treatment. The latter was achieved in an infrared furnace at different temperatures and during various periods. We show that when the based material undergoes such a gettering, the electrical properties (short-circuit current, open-circuit voltage, serial and shunt resistances) and the electronic parameters (diffusion length and grain boundary recombination velocity) of the corresponding solar cells can be improved only if some regions of the wafers are etched. Compared to reference cells based on untreated wafers, the diffusion length and grain boundary recombination velocity of solar cells fabricated from gettered and etched samples was improved by about 30% and reduced by a factor of 10, respectively

  17. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  18. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  19. Silicon-based photonic crystals fabricated using proton beam writing combined with electrochemical etching method.

    Science.gov (United States)

    Dang, Zhiya; Breese, Mark Bh; Recio-Sánchez, Gonzalo; Azimi, Sara; Song, Jiao; Liang, Haidong; Banas, Agnieszka; Torres-Costa, Vicente; Martín-Palma, Raúl José

    2012-07-23

    A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range.

  20. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  1. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been observed from PSiNW samples etched using the optimum hydrofluoric acid (HF) concentration. The strongest photoluminescence (PL) signal has been measured from samples etched with 4.8 M of HF, beyond which a significant decreasing in PL emission intensity has been observed. A qualitative model is proposed for the formation of PSiNWs in the presence of Ag catalyst. This model affirms our observations in PL enhancement for samples etched using HF <4.8 M and the eventual PL reduction for samples etched beyond 4.8 M of HF concentration. The enhancement in PL signals has been associated to the formation of PSiNWs and the quantum confinement effect in the Si nanocrystallites. Compared to PSiNWs without Si-O x, the HF treated samples exhibited significant blue PL peak shift of 100 nm. This effect has been correlated to the formation of defect states in the surface oxide. PSiNWs fabricated using the electroless etching method can find useful applications in optical sensors and as anti-reflection layer in silicon-based solar cells. © 2012 American Institute of Physics.

  2. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  3. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  4. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2017-01-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible

  5. High-performance macroporous bulk silicon anodes synthesized by template-free chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Bang, Byoung Man; Lee, Jung-In; Kim, Hyunjung; Cho, Jaephil; Park, Soojin [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology (UNIST), Ulsan (Korea, Republic of)

    2012-07-15

    Three-dimensional porous silicon particles can be produced via the combination of a galvanic displacement reaction and a metal-assisted chemical etching process. This simple synthetic route can be applied to make high-performance anode materials, including high specific capacity, stable cycling retention, and high rate capability, in lithium-ion batteries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  7. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  8. Improved surface quality of anisotropically etched silicon {111} planes for mm-scale optics

    International Nuclear Information System (INIS)

    Cotter, J P; Hinds, E A; Zeimpekis, I; Kraft, M

    2013-01-01

    We have studied the surface quality of millimetre-scale optical mirrors produced by etching CZ and FZ silicon wafers in potassium hydroxide to expose the {111} planes. We find that the FZ surfaces have four times lower noise power at spatial frequencies up to 500 mm −1 . We conclude that mirrors made using FZ wafers have higher optical quality. (technical note)

  9. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-02-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems.

  10. Fast neutron personnel dosimetry by CR-39 plastics a new electrochemical etching procedure

    International Nuclear Information System (INIS)

    Djeffal, S.

    1984-07-01

    In the first part of this work a brief description of solid state nuclear track detectors, the principles of track registration and the different reading techniques are given. In the experimental part of the present work we systematically analysed different etching procedures and set a new electrochemical etching method, which enables us to develop a new fast neutron dosimeter. This fast neutron dosimeter makes possible the measurement of low neutron doses in the energy range from 10 Kev to 20 Mev with a reasonably flat energy response. These new developments are very attractive in personnel neutron dosimetry where nuclear emulsions are still used despite their insensitivity to neutron energies down to 500 Kev (i.e. the energy range one often encounters around nuclear facilities)

  11. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    Aluminum-doped zinc oxide (ZnO:Al) can fulfill many requirements in thin-film solar cells, acting as (1) a transparent contact through which the incident light is transmitted, (2) part of the back reflector, and (3) a source of light scattering. Magnetron sputtered ZnO:Al thin-films are highly transparent, conductive, and are typically texturized by post-deposition etching in a dilute hydrochloric acid (HCl) solution to achieve light scattering. The ZnO:Al thin-film electronic and optical properties, as well as the surface texture after etching, depend on the deposition conditions and the post-deposition treatments. Despite having been used in thin-film solar cells for more than a decade, many aspects regarding the growth, effects of heat treatments, environmental stability, and etching of sputtered ZnO:Al are not fully understood. This work endeavors to further the understanding of ZnO:Al for the purpose improving silicon thin-film solar cell efficiency and reducing ZnO:Al production costs. With regard to the growth of ZnO:Al, the influence of various deposition conditions on the resultant electrical and structural properties and their evolution with film thickness were studied. The surface electrical properties extracted from a multilayer model show that while carrier concentration of the surface layer saturates already at film thickness of 100 nm, the surface mobility continues to increases with film thickness, and it is concluded that electronic transport across grain boundaries limits mobility in ZnO:Al thin films. ZnO:Al deposited onto a previously etched ZnO:Al surface grows epitaxially, preserving both the original orientation and grain structure. Further, it is determined that a typical ZnO:Al used in thin-film silicon solar cells grows Zn-terminated on glass substrates. Concerning the affects of heat treatments and stability, it is demonstrated that a layer of amorphous silicon can protect ZnO:Al from degradation during annealing, and the mobility of Zn

  12. Effect of Etching Parameter on Pore Size and Porosity of Electrochemically Formed Nanoporous Silicon

    Directory of Open Access Journals (Sweden)

    Pushpendra Kumar

    2007-01-01

    Full Text Available The most common fabrication technique of porous silicon (PS is electrochemical etching of a crystalline silicon wafer in a hydrofluoric (HF acid-based solution. The electrochemical process allows for precise control of the properties of PS such as thickness of the porous layer, porosity, and average pore diameter. The control of these properties of PS was shown to depend on the HF concentration in the used electrolyte, the applied current density, and the thickness of PS. The change in pore diameter, porosity, and specific surface area of PS was investigated by measuring nitrogen sorption isotherms.

  13. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  14. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  15. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  16. Effect of hydrofluoric acid concentration on the evolution of photoluminescence characteristics in porous silicon nanowires prepared by Ag-assisted electroless etching method

    KAUST Repository

    Najar, Adel; Anjum, Dalaver H.; Hedhili, Mohamed N.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2012-01-01

    We report on the structural and optical properties of porous silicon nanowires (PSiNWs) fabricated using silver (Ag) ions assisted electroless etching method. Silicon nanocrystallites with sizes <5 nm embedded in amorphous silica have been

  17. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  18. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  19. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  20. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  1. Size-dependent Fano Interaction in the Laser-etched Silicon Nanostructures

    Directory of Open Access Journals (Sweden)

    Kumar Rajesh

    2008-01-01

    Full Text Available AbstractPhoto-excitation and size-dependent Raman scattering studies on the silicon (Si nanostructures (NSs prepared by laser-induced etching are presented here. Asymmetric and red-shifted Raman line-shapes are observed due to photo-excited Fano interaction in the quantum confined nanoparticles. The Fano interaction is observed between photo-excited electronic transitions and discrete phonons in Si NSs. Photo-excited Fano studies on different Si NSs show that the Fano interaction is high for smaller size of Si NSs. Higher Fano interaction for smaller Si NSs is attributed to the enhanced interference between photo-excited electronic Raman scattering and phonon Raman scattering.

  2. Enhanced electrochemical etching of ion irradiated silicon by localized amorphization

    Energy Technology Data Exchange (ETDEWEB)

    Dang, Z. Y.; Breese, M. B. H. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore Singapore 117542 (Singapore); Lin, Y.; Tok, E. S. [Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Vittone, E. [Physics Department, NIS Excellence Centre and CNISM, University of Torino, via Pietro Giuria 1, 10125 Torino (Italy)

    2014-05-12

    A tailored distribution of ion induced defects in p-type silicon allows subsequent electrochemical anodization to be modified in various ways. Here we describe how a low level of lattice amorphization induced by ion irradiation influences anodization. First, it superposes a chemical etching effect, which is observable at high fluences as a reduced height of a micromachined component. Second, at lower fluences, it greatly enhances electrochemical anodization by allowing a hole diffusion current to flow to the exposed surface. We present an anodization model, which explains all observed effects produced by light ions such as helium and heavy ions such as cesium over a wide range of fluences and irradiation geometries.

  3. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  4. Fast neutron dosimeter with wide base silicon diode

    International Nuclear Information System (INIS)

    Ma Lu

    1986-01-01

    This paper briefly introduces a wide base silicon diode fast neutron dosimeter with wide measuring range and good energy response to fast neutron. It is suitable to be used to detect fast neutrons in the mixed field of γ-ray, thermal neutrons and fast neutrons

  5. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics.

    Science.gov (United States)

    Ghoneim, Mohamed Tarek; Hussain, Muhammad Mustafa

    2017-04-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  7. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  8. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    Science.gov (United States)

    Teo, Adrian J. T.; Li, Holden; Tan, Say Hwa; Yoon, Yong-Jin

    2017-06-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G-1, and a highest recorded sensitivity of 44.1 mV G-1. A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices.

  9. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    International Nuclear Information System (INIS)

    Teo, Adrian J T; Li, Holden; Yoon, Yong-Jin; Tan, Say Hwa

    2017-01-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G −1 , and a highest recorded sensitivity of 44.1 mV G −1 . A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices. (technical note)

  10. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  11. Silicon integrated circuit process

    International Nuclear Information System (INIS)

    Lee, Jong Duck

    1985-12-01

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  12. Silicon integrated circuit process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Duck

    1985-12-15

    This book introduces the process of silicon integrated circuit. It is composed of seven parts, which are oxidation process, diffusion process, ion implantation process such as ion implantation equipment, damage, annealing and influence on manufacture of integrated circuit and device, chemical vapor deposition process like silicon Epitaxy LPCVD and PECVD, photolithography process, including a sensitizer, spin, harden bake, reflection of light and problems related process, infrared light bake, wet-etch, dry etch, special etch and problems of etching, metal process like metal process like metal-silicon connection, aluminum process, credibility of aluminum and test process.

  13. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  14. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  15. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  16. Characterization of graphite etched with potassium hydroxide and its application in fast-rechargeable lithium ion batteries

    Science.gov (United States)

    Shim, Jae-Hyun; Lee, Sanghun

    2016-08-01

    Surface-modified graphite for application as an anode material in lithium ion batteries was obtained by etching with KOH under mild conditions without high-temperature annealing. The surface of the etched graphite is covered with many nano-sized pores that act as entrances for lithium ions during the charging process. As compared with pristine graphite and other references such as pitch-coated or etched graphite samples with annealing, our non-annealed etched graphite exhibits excellent electrochemical properties, particularly at fast charging rates of over 2.5 C. While avoidance of the trade-off between increase of irreversible capacity and good rate capability has previously been a main concern in highly porous carbonaceous materials, we show that the slightly larger surface area created by the etching does not induce a significant increase of irreversible capacity. This study shows that it is important to limit the size of pores to the nanometer scale for excellent battery performance, which is possible by etching under relatively mild conditions.

  17. Thermal de-isolation of silicon microstructures in a plasma etching environment

    International Nuclear Information System (INIS)

    Lee, Yong-Seok; Jang, Yun-Ho; Kim, Yong-Kweon; Kim, Jung-Mu

    2013-01-01

    This paper presents a theoretical and experimental strategy for thermal de-isolation of silicon microstructures during a plasma etching process. Heat sinking blocks and thin metal layers are implemented around a thermally isolated mass to avoid severe spring width losses by a steep temperature rise. Thermal de-isolation significantly reduces the fabrication errors from −51.0% to −9.0% and from −39.5% to −6.7% for spring widths and resonant frequencies, respectively. Thermal de-isolation also reduces the standard deviation of resonant frequencies from 8.7% to 1.5% across a wafer, which clearly demonstrates the proposed method. (paper)

  18. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-01-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  19. Porous silicon: Synthesis and optical properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.

    2006-06-01

    Formation of porous silicon by electrochemical etching method of both p and n-type single crystal silicon wafers in HF based solutions has been performed by using three different modes. In addition to DC and pulsed voltage, a novel etching mode is developed to prepare light-emitting porous silicon by applying and holding-up a voltage in gradient steps form periodically, between the silicon wafer and a graphite electrode. Under same equivalent etching conditions, periodic gradient steps voltage etching can yield a porous silicon layer with stronger photoluminescence intensity and blue shift than the porous silicon layer prepared by DC or pulsed voltage etching. It has been found that the holding-up of the applied voltage during the etching process for defined interval of time is another significant future of this method, which highly affects the blue shift. This can be used for tailoring a porous layer with novel properties. The actual mechanism behind the blue shift is not clear exactly, even the experimental observation of atomic force microscope and purist measurements in support with quantum confinement model. It has been seen also from Fourier Transform Infrared study that interplays between O-Si-H and Si-H bond intensities play key role in deciding the efficiency of photoluminescence emission. Study of relative humidity sensing and photonic crystal properties of pours silicon samples has confirmed the advantages of the new adopted etching mode. The sensitivity at room temperature of porous silicon prepared by periodic gradient steps voltage etching was found to be about 70% as compared to 51% and 45% for the porous silicon prepared by DC and pulsed voltage etching, respectively. (author)

  20. Electrochemical trench etching of silicon triggered via mechanical nanocontacts

    Energy Technology Data Exchange (ETDEWEB)

    Gassilloud, R.; Michler, J. [EMPA, Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Schmuki, P. [Department of Materials Science, LKO, University of Erlangen-Nuernberg, Martensstrasse 7, D-91058 Erlangen (Germany)

    2007-12-01

    We report a method to produce microstructures on silicon wafers using a microscratching technique followed by a subsequent electrochemical trench etching in hydrofluoric-based electrolyte. Micro-scratches are used to trigger macropore formation. We show that mask-less dissolved trenches with aspect ratios up to 1:7 are formed at the scratched regions on (0 0 1)Si surface. The micro-scratches orientate the macropores formation by aligning them in the scratching direction. We propose that dislocations formed during scratching are firstly dissolved leading to the formation of V-shape grooves. The V-shape geometries obtained by this way are used to initiate the macropores nucleation; i.e. due to the geometry, an avalanche current occurs at the grooves base and thus induces local dissolutions of the substrate. High rate local dissolutions are achieved by back-side illumination of the Si wafer. (author)

  1. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  2. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  3. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  4. Study of surfactant-added TMAH for applications in DRIE and wet etching-based micromachining

    Science.gov (United States)

    Tang, B.; Shikida, M.; Sato, K.; Pal, P.; Amakawa, H.; Hida, H.; Fukuzawa, K.

    2010-06-01

    In this paper, etching anisotropy is evaluated for a number of different crystallographic orientations of silicon in a 0.1 vol% Triton-X-100 added 25 wt% tetramethylammonium hydroxide (TMAH) solution using a silicon hemisphere. The research is primarily aimed at developing advanced applications of wet etching in microelectromechanical systems (MEMS). The etching process is carried out at different temperatures in the range of 61-81 °C. The etching results of silicon hemisphere and different shapes of three-dimensional structures in {1 0 0}- and {1 1 0}-Si surfaces are analyzed. Significantly important anisotropy, different from a traditional etchant (e.g. pure KOH and TMAH), is investigated to extend the applications of the wet etching process in silicon bulk micromachining. The similar etching behavior of exact and vicinal {1 1 0} and {1 1 1} planes in TMAH + Triton is utilized selectively to remove the scalloping from deep reactive-ion etching (DRIE) etched profiles. The direct application of the present research is demonstrated by fabricating a cylindrical lens with highly smooth etched surface finish. The smoothness of a micro-lens at different locations is measured qualitatively by a scanning electron microscope and quantitatively by an atomic force microscope. The present paper provides a simple and effective fabrication method of the silicon micro-lens for optical MEMS applications.

  5. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  6. Origin of photoluminescence from silicon nanowires prepared by metal induced etching (MIE)

    International Nuclear Information System (INIS)

    Saxena, Shailendra K.; Rai, Hari. M.; Late, Ravikiran; Sagdeo, Pankaj R.; Kumar, Rajesh

    2015-01-01

    In this present study the origin of luminescence from silicon nanowires (SiNws) has been studied. SiNWs are fabricated on Si substrate by metal induced chemical etching (MIE). Here it is found that the band gap of SiNWs is higher than the gap of luminescent states in SiNWs which leads to the effect of Si=O bond. The band gap is estimated from diffuse reflectance analysis. Here we observe that band gap can be tailored depending on size (quantum confinement) but photoluminescence (PL) from all the sample is found to be fixed at 1.91 eV. This study is important for the understanding of origin of photoluminescence

  7. Methods of removal of defects arising at liquid etching of polycrystalline silicon

    Directory of Open Access Journals (Sweden)

    Ivanchykou A. E.

    2008-02-01

    Full Text Available The paper presents a model of generation of defects having the form of spots on the surface of the polycrystalline silicon during processing of semiconductor wafers with hydrofluoric acid based etchant, and a model of removal of such defects in chemical solutions. The authors investigate how the centrifuge speed during drying and the relief of structures, produced on the plate, effect the number of defects. It is shown that there is a possibility to remove defects by chemical treatment in the peroxide-ammonia solutions (PAS and also by sequence of chemical cleaning in Karo mixture, SiO2 etching and treatment in PAS.

  8. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  9. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    the vacuum chamber for vacuum processing. The experiment was provided on the mono-crystalline silicon wafer. The etching was carried out with plasma consisting of SF 6 (50 sccm) as a reactive etching gas with O 2 (300 sccm) as a supporting gas and Argon (2000 ∼ 3000 sccm) as a cathode protecting gas. Etching rates were 60 μm/min at low pressure (3-5 torr) and 300 μm/min at a atmospheric pressure. The sample was positioned in such as way that the plasma flow axis would coincide with the side facet of the silicon crystal. A texturing process was performed on a crystalline silicon (c-Si) wafer to increase the efficiency of a solar cell by using a high durability DC arc plasma source at atmospheric pressure and low pressure. CF 4 and SF 6 were used as the reactive etching gases at flow rates 2 as the supporting gas in the range of the 5 - 15 %. To survey the characteristics of the pyramid formation process, plasma texturing experiments were performed by varying the working time. The optimal operating conditions of the gas flow (Ar, O 2 , CF 4 , SF 6 ), plasmatron current and processing time were determined. The pyramid angle was approximately 50 .deg. to 60 .deg. when a single-crystalline silicon surface was textured in a vacuum whereas it was approximately 75 .deg. to 90 .deg. when textured at atmospheric pressure. The reflectance decreases with decreasing pyramid angle. The reflectance of the bare silicon ranged from 40 % to the 60 % but that of the textured silicon was approximately 5 % to 20 %. This reflectance is quite low, approximately half that reported by other studies using wet and reactive ion etching (RIE) texturing. Even though DC arc plasmatron has many advantages, it is difficult to apply an industry due to the small applied area. To increase an effective processing area, we suggest a DC-RF hybrid plasma system. The DC-RF hybrid plasma system was designed and made. This system consists of a DC arc plasmatron, RF parts, reaction chamber, power feeder

  10. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  11. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Science.gov (United States)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  12. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Ou Weiying; Zhao Lei; Diao Hongwei; Zhang Jun; Wang Wenjing, E-mail: wjwangwj@126.com [Key Laboratory of Solar Thermal Energy and Photovoltaic System, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-05-15

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells. (semiconductor technology)

  13. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  14. Micro benchtop optics by bulk silicon micromachining

    Science.gov (United States)

    Lee, Abraham P.; Pocha, Michael D.; McConaghy, Charles F.; Deri, Robert J.

    2000-01-01

    Micromachining of bulk silicon utilizing the parallel etching characteristics of bulk silicon and integrating the parallel etch planes of silicon with silicon wafer bonding and impurity doping, enables the fabrication of on-chip optics with in situ aligned etched grooves for optical fibers, micro-lenses, photodiodes, and laser diodes. Other optical components that can be microfabricated and integrated include semi-transparent beam splitters, micro-optical scanners, pinholes, optical gratings, micro-optical filters, etc. Micromachining of bulk silicon utilizing the parallel etching characteristics thereof can be utilized to develop miniaturization of bio-instrumentation such as wavelength monitoring by fluorescence spectrometers, and other miniaturized optical systems such as Fabry-Perot interferometry for filtering of wavelengths, tunable cavity lasers, micro-holography modules, and wavelength splitters for optical communication systems.

  15. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  16. Growing Embossed Nanostructures of Polymer Brushes on Wet-Etched Silicon Templated via Block Copolymers

    Science.gov (United States)

    Lu, Xiaobin; Yan, Qin; Ma, Yinzhou; Guo, Xin; Xiao, Shou-Jun

    2016-02-01

    Block copolymer nanolithography has attracted enormous interest in chip technologies, such as integrated silicon chips and biochips, due to its large-scale and mass production of uniform patterns. We further modified this technology to grow embossed nanodots, nanorods, and nanofingerprints of polymer brushes on silicon from their corresponding wet-etched nanostructures covered with pendent SiHx (X = 1-3) species. Atomic force microscopy (AFM) was used to image the topomorphologies, and multiple transmission-reflection infrared spectroscopy (MTR-IR) was used to monitor the surface molecular films in each step for the sequential stepwise reactions. In addition, two layers of polymethacrylic acid (PMAA) brush nanodots were observed, which were attributed to the circumferential convergence growth and the diffusion-limited growth of the polymer brushes. The pH response of PMAA nanodots in the same region was investigated by AFM from pH 3.0 to 9.0.

  17. Sensors for ultra-fast silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sadrozinski, H.F.-W., E-mail: hartmut@scipp.ucsc.edu [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Baselga, M.; Ely, S.; Fadeyev, V.; Galloway, Z.; Ngo, J.; Parker, C.; Schumacher, D.; Seiden, A.; Zatserklyaniy, A. [Santa Cruz Institute for Particle Physics, UC Santa Cruz, Santa Cruz, CA 95064 (United States); Cartiglia, N. [INFN Torino, Torino (Italy); Pellegrini, G.; Fernández-Martínez, P.; Greco, V.; Hidalgo, S.; Quirion, D. [Centro Nacional de Microelectrónica, IMB-CNM-CSIC, Barcelona (Spain)

    2014-11-21

    We report on electrical and charge collection tests of silicon sensors with internal gain as part of our development of ultra-fast silicon detectors. Using C–V and α TCT measurements, we investigate the non-uniform doping profile of so-called low-gain avalanche detectors (LGAD). These are n-on-p pad sensors with charge multiplication due to the presence of a thin, low-resistivity diffusion layer below the junction, obtained with a highly doped implant. We compare the bias dependence of the pulse shapes of traditional sensors and of LGAD sensors with different dopant density of the diffusion layer, and extract the internal gain.

  18. Sensors for ultra-fast silicon detectors

    International Nuclear Information System (INIS)

    Sadrozinski, H.F.-W.; Baselga, M.; Ely, S.; Fadeyev, V.; Galloway, Z.; Ngo, J.; Parker, C.; Schumacher, D.; Seiden, A.; Zatserklyaniy, A.; Cartiglia, N.; Pellegrini, G.; Fernández-Martínez, P.; Greco, V.; Hidalgo, S.; Quirion, D.

    2014-01-01

    We report on electrical and charge collection tests of silicon sensors with internal gain as part of our development of ultra-fast silicon detectors. Using C–V and α TCT measurements, we investigate the non-uniform doping profile of so-called low-gain avalanche detectors (LGAD). These are n-on-p pad sensors with charge multiplication due to the presence of a thin, low-resistivity diffusion layer below the junction, obtained with a highly doped implant. We compare the bias dependence of the pulse shapes of traditional sensors and of LGAD sensors with different dopant density of the diffusion layer, and extract the internal gain

  19. Design of etch holes to compensate spring width loss for reliable resonant frequencies

    International Nuclear Information System (INIS)

    Jang, Yun-Ho; Kim, Jong-Wan; Kim, Yong-Kweon; Kim, Jung-Mu

    2012-01-01

    A pattern width loss during the fabrication of lateral silicon resonators degrades resonant frequency reliability since such a width loss causes the significant deviation of spring stiffness. Here we present a design guide for etch holes to obtain reliable resonant frequencies by controlling etch holes geometries. The new function of an etch hole is to generate the comparable amount of the width loss between springs and etch holes, in turn to minimize the effect of the spring width loss on resonant frequency shift and deviation. An analytic expression reveals that a compensation factor (CF), defined by the circumference (C u ) of a unit etch hole divided by its silicon area (A u ), is a key parameter for reliable frequencies. The protrusive etch holes were proposed and compared with square etch holes to demonstrate the frequency reliability according to CF values and etch hole shapes. The normalized resonant frequency shift and deviation of the protrusive etch hole (−13.0% ± 6.9%) were significantly improved compared to those of a square etch hole with a small CF value (−42.8% ± 14.8%). The proposed design guide based on the CF value and protrusive shapes can be used to achieve reliable resonant frequencies for high performance silicon resonators. (technical note)

  20. Silicon nanowires for ultra-fast and ultrabroadband optical signal processing

    DEFF Research Database (Denmark)

    Ji, Hua; Hu, Hao; Pu, Minhao

    2015-01-01

    In this paper, we present recent research on silicon nanowires for ultra-fast and ultra-broadband optical signal processing at DTU Fotonik. The advantages and limitations of using silicon nanowires for optical signal processing are revealed through experimental demonstrations of various optical...

  1. Fabrication of wear-resistant silicon microprobe tips for high-speed surface roughness scanning devices

    Science.gov (United States)

    Wasisto, Hutomo Suryo; Yu, Feng; Doering, Lutz; Völlmeke, Stefan; Brand, Uwe; Bakin, Andrey; Waag, Andreas; Peiner, Erwin

    2015-05-01

    Silicon microprobe tips are fabricated and integrated with piezoresistive cantilever sensors for high-speed surface roughness scanning systems. The fabrication steps of the high-aspect-ratio silicon microprobe tips were started with photolithography and wet etching of potassium hydroxide (KOH) resulting in crystal-dependent micropyramids. Subsequently, thin conformal wear-resistant layer coating of aluminum oxide (Al2O3) was demonstrated on the backside of the piezoresistive cantilever free end using atomic layer deposition (ALD) method in a binary reaction sequence with a low thermal process and precursors of trimethyl aluminum and water. The deposited Al2O3 layer had a thickness of 14 nm. The captured atomic force microscopy (AFM) image exhibits a root mean square deviation of 0.65 nm confirming the deposited Al2O3 surface quality. Furthermore, vacuum-evaporated 30-nm/200-nm-thick Au/Cr layers were patterned by lift-off and served as an etch mask for Al2O3 wet etching and in ICP cryogenic dry etching. By using SF6/O2 plasma during inductively coupled plasma (ICP) cryogenic dry etching, micropillar tips were obtained. From the preliminary friction and wear data, the developed silicon cantilever sensor has been successfully used in 100 fast measurements of 5- mm-long standard artifact surface with a speed of 15 mm/s and forces of 60-100 μN. Moreover, the results yielded by the fabricated silicon cantilever sensor are in very good agreement with those of calibrated profilometer. These tactile sensors are targeted for use in high-aspect-ratio microform metrology.

  2. A tunable sub-100 nm silicon nanopore array with an AAO membrane mask: reducing unwanted surface etching by introducing a PMMA interlayer

    Science.gov (United States)

    Lim, Namsoo; Pak, Yusin; Kim, Jin Tae; Hwang, Youngkyu; Lee, Ryeri; Kumaresan, Yogeenth; Myoung, Nosoung; Ko, Heung Cho; Jung, Gun Young

    2015-08-01

    Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer prevented unwanted surface etching of the Si substrate by eliminating the etching ions and radicals bouncing at the gap between the mask and the substrate, resulting in a smooth Si nanopore array.Highly ordered silicon (Si) nanopores with a tunable sub-100 nm diameter were fabricated by a CF4 plasma etching process using an anodic aluminum oxide (AAO) membrane as an etching mask. To enhance the conformal contact of the AAO membrane mask to the underlying Si substrate, poly(methyl methacrylate) (PMMA) was spin-coated on top of the Si substrate prior to the transfer of the AAO membrane. The AAO membrane mask was fabricated by two-step anodization and subsequent removal of the aluminum support and the barrier layer, which was then transferred to the PMMA-coated Si substrate. Contact printing was performed on the sample with a pressure of 50 psi and a temperature of 120 °C to make a conformal contact of the AAO membrane mask to the Si substrate. The CF4 plasma etching was conducted to transfer nanopores onto the Si substrate through the PMMA interlayer. The introduced PMMA interlayer

  3. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  4. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  5. Ionizing Energy Depositions After Fast Neutron Interactions in Silicon

    CERN Document Server

    Bergmann, Benedikt; Caicedo, Ivan; Kierstead, James; Takai, Helio; Frojdh, Erik

    2016-01-01

    In this study we present the ionizing energy depositions in a 300 μm thick silicon layer after fast neutron impact. With the Time-of-Flight (ToF) technique, the ionizing energy deposition spectra of recoil silicons and secondary charged particles were assigned to (quasi-)monoenergetic neutron energies in the range from 180 keV to hundreds of MeV. We show and interpret representative measured energy spectra. By separating the ionizing energy losses of the recoil silicon from energy depositions by products of nuclear reactions, the competition of ionizing (IEL) and non-ionizing energy losses (NIEL) of a recoil silicon within the silicon lattice was investigated. The data give supplementary information to the results of a previous measurement and are compared with different theoretical predictions.

  6. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  7. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  8. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  9. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  10. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  11. Ultra-high efficiency, fast graphene micro-heater on silicon

    DEFF Research Database (Denmark)

    Yan, Siqi; Zhu, Xiaolong; Frandsen, Lars Hagedorn

    2017-01-01

    We demonstrate an ultra-high efficiency and fast graphene microheater on silicon photonic crystal waveguide. By taking advantage of slow-light effect, a tuning efficiency of 1.07 nm/mW and power consumption per free spectral range of 3.99 mW. A fast rise and decay times (10% to 90%) of only 750 ns...

  12. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  13. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2016-01-01

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  14. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  15. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  16. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  17. Porous Silicon Nanowires

    Science.gov (United States)

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  18. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  19. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  20. Enhanced photoelectrochemical properties of copper-assisted catalyzed etching black silicon by electrodepositing cobalt

    Science.gov (United States)

    Cai, Weidong; Xiong, Haiying; Su, Xiaodong; Zhou, Hao; Shen, Mingrong; Fang, Liang

    2017-11-01

    Black silicon (Si) photoelectrodes are promising for improving the performance of photoelectrochemical (PEC) water splitting. Here, we report the fabrication of p-black Si and n+p-black Si photocathodes via a controllable copper-assisted catalyzed etching method. The etching process affects only the topmost less than 200 nm of Si and is independent of the surface doping. The synergistic effects of the excellent light harvesting of the black Si and the improved charge transfer properties of the p-n junction boost the production and utilization of photogenerated carriers. The mean reflectance of the pristine Si samples is about 10% from 400 to 950 nm, while that of the black Si samples is reduced as low as 5%. In addition, the PEC properties of the n+p-black Si photocathode can be further enhanced by depositing a cobalt (Co) layer. Compared with the p-Si sample, the onset potential of the Co/n+p-black Si photocathode is positively shifted by 560 mV to 0.33 V vs. reversible hydrogen electrode and the saturation photocurrent density is increased from 22.7 to 32.6 mA/cm2. The design of the Co/n+p-black Si photocathode offers an efficient strategy for preparing PEC solar energy conversion devices.

  1. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  2. Design criteria for XeF{sub 2} enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad M., E-mail: muhammadmustafa.hussain@kaust.edu.sa [Integrated Nanotechnology Laboratory (INL) and Integrated Disruptive Electronics Applications (IDEA) Laboratory, Computer Electrical Mathematical Science and Engineering Division, King Abdullah University of Science and Technology - KAUST, Thuwal 23955-6900 (Saudi Arabia)

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF{sub 2}) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  3. Micromachining for Si etching using CW CO 2 laser

    International Nuclear Information System (INIS)

    Hawat, Sh.; Naddaf, M.; Al-Sadat, W.; Weiss, Sh.

    2012-08-01

    Many experiments were carried out to achieve etching for silicon samples located on glass substrate (Pyrex or Quartz) using Cw CO 2 laser under treating conditions which were in the case of linear scanning as: the power was 35-47 W, the number of round trips was 10-60 and the linear scanning speed was 17-75 mm/s, and in the case of fixed sample they were as: the power was 40 W and the exposure time was between 2-6 min. The obtained results were different depending on the form of etching and its quality, according to the applied treating conditions on the silicon samples, taking the treated silicon surface attached directly to the glass substrate surface or taking the opposite side of the silicon sample. The etching of the first type was easy to get, but the second one was more difficult to obtain, which requires very strong conditions. The best of these results were recorded using a quartz substrate under treating conditions: the laser power was 42.5 W, the number of round trips was 30, and the scanning speed was 75 mm/s, so the etching was limited to separate spots produced on the surface of the sample. In the all cases, the pictures of spots and lines formed on treated Si samples were taken using scanning electron microscope (SEM) for both sides of the studied samples. (authors)

  4. Micromachining for Si etching using CW CO_2 laser

    International Nuclear Information System (INIS)

    Al-Hawat, Sh.; Naddaf, M.; Al-Sadat, W.; Wiess, Sh.

    2015-01-01

    Many experiments were carried out to achieve etching for silicon samples located on glass substrate (Pyrex or Quartz) using CW CO_2 laser under treating conditions which were in the case of linear scanning as: the power was 35-47 W, the number of round trips was 10-60 and the linear scanning speed was 17-75 mm/s, and in the case of fixed sample they were as: the power was 40 W and the exposure time was between 2-6 min. The obtained results were different depending on the form of etching and its quality, according to the applied treating conditions on the silicon samples, taking the treated silicon surface attached directly to the glass substrate surface or taking the opposite side of the silicon sample. The etching of the first type was easy to get, but the second one was more difficult to obtain, which requires very strong conditions. The best of these results were recorded using a quartz substrate under treating conditions: the laser power was 42.5 W, the number of round trips was 30, and the scanning speed was 75 mm/s, so the etching was limited to separate spots produced on the surface of the sample. In the all cases, the pictures of spots and lines formed on treated Si samples were taken using scanning electron microscope (SEM) for both sides of the studied samples.(author)

  5. Top-down topography of deeply etched silicon in the scanning electron microscope

    International Nuclear Information System (INIS)

    Wells, Oliver C.; Murray, Conal E.; Rullan, Jonathan L.; Gignac, Lynne M.

    2004-01-01

    It is proposed to measure the cross sections of steep-sided etched lines and similar deep surface topography on partially completed silicon integrated circuit wafers using either the backscattered electron (BSE) or the low-loss electron (LLE) image in the scanning electron microscope (SEM). These images contain regions where the collected signal is zero because there is no direct line of sight between the landing point of the electron beam on the specimen and the BSE or LLE detector. It is proposed to use the boundary of such a region in the SEM image as a geometrical line to measure the surface topography. Or alternatively, a shadow can be seen in the distribution of either BSE or LLE with an image-forming detector system. The use of this shadow position on the detector to measure deep surface topography will be demonstrated

  6. Modeling of silicon etching in CF sub 4 /O sub 2 and CF sub 4 /H sub 2 plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Trachtenberg, I.; Edgar, T.F. (Dept. of Chemical Engineering, Univ. of Texas at Austin, Austin, TX (US)); Venkatesan, S.P. (Morgantown Energy Technology Center, Morgantown, WV (US))

    1990-07-01

    A one-dimensional radial flow reactor model that includes fairly detailed free radical gas-phase chemistry has been developed for the etching of silicon in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} plasmas. Attention has been restricted to transport and reaction of neutral species. The model equations were solved by orthogonal collocation. The sensitivities of the model predictions to flow rate, inlet gas composition, electron density, silicon loading, and other factors have been examined. The major loss path for fluorine atoms is different in CF{sub 4}/O{sub 2} and CF{sub 4}/H{sub 2} systems, and this results in significant qualitative differences in the parametric sensitivities of the two systems.

  7. Electroless porous silicon formation applied to fabrication of boron-silica-glass cantilevers

    DEFF Research Database (Denmark)

    Teva, Jordi; Davis, Zachary James; Hansen, Ole

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5-1 mm3) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases...... where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing...... for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH...

  8. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  9. Fabrication and characterization of porous silicon for photonic applications

    Directory of Open Access Journals (Sweden)

    Arvin I. Mabilangan

    2013-06-01

    Full Text Available Porous silicon (PSi thin films from p-type silicon (100 substrates were fabricated using a simple table top electrochemical etching setup with a 1:1 HF:EtOh electrolyte solution. Porous silicon f ilms with different morphologies and optical properties were achieved by varying the etching parameters, such as HF concentration, etching time andanodization current. It was observed that the f ilm thickness of the fabricated PSi increased with etch time and HF concentration. The etch rate increased with the applied anodization current. Reflection spectroscopy at normal incidence was used to determine the refractive indices of the fabricated f ilms. Using the Sellmeier equation, the chromatic dispersion of the f ilms was obtained for different HF concentrations and anodization currents.

  10. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  11. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  12. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  13. Performance improvement of silicon solar cells by nanoporous silicon coating

    Directory of Open Access Journals (Sweden)

    Dzhafarov T. D.

    2012-04-01

    Full Text Available In the present paper the method is shown to improve the photovoltaic parameters of screen-printed silicon solar cells by nanoporous silicon film formation on the frontal surface of the cell using the electrochemical etching. The possible mechanisms responsible for observed improvement of silicon solar cell performance are discussed.

  14. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  15. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  16. Electroless porous silicon formation applied to fabrication of boron–silica–glass cantilevers

    International Nuclear Information System (INIS)

    Teva, J; Davis, Z J; Hansen, O

    2010-01-01

    This work describes the characterization and optimization of anisotropic formation of porous silicon in large volumes (0.5–1 mm 3 ) of silicon by an electroless wet etching technique. The main goal is to use porous silicon as a sacrificial volume for bulk micromachining processes, especially in cases where etching of the full wafer thickness is needed. The porous silicon volume is formed by a metal-assisted etching in a wet chemical solution composed of hydrogen peroxide (30%), hydrofluoric acid (40%) and ethanol. This paper focuses on optimizing the etching conditions in terms of maximizing the etching rate and reproducibility of the etching. In addition to that, a study of the morphology of the pore that is obtained by this technique is presented. The results from the characterization of the process are applied to the fabrication of boron–silica–glass cantilevers that serve as a platform for bio-chemical sensors. The porous silicon volume is formed in an early step of the fabrication process, allowing easy handling of the wafer during all of the micromachining processes in the process flow. In the final process step, the porous silicon is quickly etched by immersing the wafer in a KOH solution

  17. High aspect ratio micro tool manufacturing for polymer replication using mu EDM of silicon, selective etching and electroforming

    DEFF Research Database (Denmark)

    Tosello, Guido; Bissacco, Giuliano; Tang, Peter Torben

    2008-01-01

    Mass fabrication of polymer micro components with high aspect ratio micro-structures requires high performance micro tools allowing the use of low cost replication processes such as micro injection moulding. In this regard an innovative process chain, based on a combination of micro electrical di...... discharge machining (mu EDM) of a silicon substrate, electroforming and selective etching was used for the manufacturing of a micro tool. The micro tool was employed for polymer replication by means of the injection moulding process....

  18. Ultrahigh-efficiency apodized grating coupler using fully etched photonic crystals

    DEFF Research Database (Denmark)

    Ding, Yunhong; Ou, Haiyan; Peucheret, Christophe

    2013-01-01

    We present an efficient method to design apodized grating couplers with Gaussian output profiles for efficient coupling between standard single mode fibers and silicon chips. An apodized grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform is designed......, and fabricated in a single step of lithography and etching. An ultralow coupling loss of x2212;1.74x2009;x2009;dB (67% coupling efficiency) with a 3xA0;dB bandwidth of 60xA0;nm is experimentally measured....

  19. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  20. Optical and microstructural investigations of porous silicon

    Indian Academy of Sciences (India)

    Raman scattering and photoluminescence (PL) measurements on (100) oriented -type crystalline silicon (-Si) and porous silicon (PS) samples were carried out. PS samples were prepared by anodic etching of -Si under the illumination of light for different etching times of 30, 60 and 90 min. Raman scattering from the ...

  1. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  2. In situ nanoscale refinement by highly controllable etching of the (111) silicon crystal plane and its influence on the enhanced electrical property of a silicon nanowire

    International Nuclear Information System (INIS)

    Gong Yibin; Dai Pengfei; Gao Anran; Li Tie; Zhou Ping; Wang Yuelin

    2011-01-01

    Nanoscale refinement on a (100) oriented silicon-on-insulator (SOI) wafer was introduced by using tetra-methyl-ammonium hydroxide (TMAH, 25 wt%) anisotropic silicon etchant, with temperature kept at 50 °C to achieve precise etching of the (111) crystal plane. Specifically for a silicon nanowire (SiNW) with oxide sidewall protection, the in situ TMAH process enabled effective size reduction in both lateral (2.3 nm/min) and vertical (1.7 nm/min) dimensions. A sub-50 nm SiNW with a length of microns with uniform triangular cross-section was achieved accordingly, yielding enhanced field effect transistor (FET) characteristics in comparison with its 100 nm-wide pre-refining counterpart, which demonstrated the feasibility of this highly controllable refinement process. Detailed examination revealed that the high surface quality of the (111) plane, as well as the bulk depletion property should be the causes of this electrical enhancement, which implies the great potential of the as-made cost-effective SiNW FET device in many fields. (semiconductor materials)

  3. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  4. Microstructural Characterization of Reaction-Formed Silicon Carbide Ceramics. Materials Characterization

    Science.gov (United States)

    Singh, M.; Leonhardt, T. A.

    1995-01-01

    Microstructural characterization of two reaction-formed silicon carbide ceramics has been carried out by interference layering, plasma etching, and microscopy. These specimens contained free silicon and niobium disilicide as minor phases with silicon carbide as the major phase. In conventionally prepared samples, the niobium disilicide cannot be distinguished from silicon in optical micrographs. After interference layering, all phases are clearly distinguishable. Back scattered electron (BSE) imaging and energy dispersive spectrometry (EDS) confirmed the results obtained by interference layering. Plasma etching with CF4 plus 4% O2 selectively attacks silicon in these specimens. It is demonstrated that interference layering and plasma etching are very useful techniques in the phase identification and microstructural characterization of multiphase ceramic materials.

  5. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  6. Development of Ultra-Fast Silicon Detectors for 4D tracking

    Science.gov (United States)

    Staiano, A.; Arcidiacono, R.; Boscardin, M.; Dalla Betta, G. F.; Cartiglia, N.; Cenna, F.; Ferrero, M.; Ficorella, F.; Mandurrino, M.; Obertino, M.; Pancheri, L.; Paternoster, G.; Sola, V.

    2017-12-01

    In this contribution we review the progress towards the development of a novel type of silicon detectors suited for tracking with a picosecond timing resolution, the so called Ultra-Fast Silicon Detectors. The goal is to create a new family of particle detectors merging excellent position and timing resolution with GHz counting capabilities, very low material budget, radiation resistance, fine granularity, low power, insensitivity to magnetic field, and affordability. We aim to achieve concurrent precisions of ~ 10 ps and ~ 10 μm with a 50 μm thick sensor. Ultra-Fast Silicon Detectors are based on the concept of Low-Gain Avalanche Detectors, which are silicon detectors with an internal multiplication mechanism so that they generate a signal which is factor ~10 larger than standard silicon detectors. The basic design of UFSD consists of a thin silicon sensor with moderate internal gain and pixelated electrodes coupled to full custom VLSI chip. An overview of test beam data on time resolution and the impact on this measurement of radiation doses at the level of those expected at HL-LHC is presented. First I-V and C-V measurements on a new FBK sensor production of UFSD, 50 μm thick, with B and Ga, activated at two diffusion temperatures, with and without C co-implantation (in Low and High concentrations), and with different effective doping concentrations in the Gain layer, are shown. Perspectives on current use of UFSD in HEP experiments (UFSD detectors have been installed in the CMS-TOTEM Precision Protons Spectrometer for the forward physics tracking, and are currently taking data) and proposed applications for a MIP timing layer in the HL-LHC upgrade are briefly discussed.

  7. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  8. The effect of porosity on energetic porous silicon solid propellant micro-propulsion

    International Nuclear Information System (INIS)

    Churaman, Wayne A; Morris, Christopher J; Ramachandran, Raghav; Bergbreiter, Sarah

    2015-01-01

    Energetic porous silicon is investigated as an actuator for micro-propulsion based on thrust and impulse measurements for a variety of porous silicon porosity conditions. Porosity of 2 mm diameter, porous silicon microthruster devices was varied by changing the concentration of hydrofluoric acid and ethanol in an etch solution, by changing porous silicon etch depth, and by changing the resistivity of silicon wafers used for the etch process. The porosity varied from 30% to 75% for these experiments. The highest mean thrust and impulse values measured with a calibrated Kistler 9215 force sensor were 674 mN and 271 μN s, respectively, with a 73% porosity, 2 mm diameter porous silicon device etched in a 3 : 1 etch solution on a 3.6 Ω cm wafer to a target etch depth of 30 μm. As a result of changing porosity, a 23×  increase in thrust performance and a 36×  increase in impulse performance was demonstrated. Impulse values were also validated using a pendulum experiment in which the porous silicon microthruster was unconstrained, but several non-linearities in the pendulum experimental setup resulted in less consistent data than when measured by the force sensor for microthrusters at this size scale. These thrust and impulse results complement previous work in determining the effect of porosity on other porous silicon reaction metrics such as flame speed. (paper)

  9. Fabrication of silicon molds for polymer optics

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Jensen, Søren; Menon, Aric Kumaran

    2003-01-01

    A silicon mold used for structuring polymer microcavities for optical applications is fabricated, using a combination of DRIE (deep reactive ion etching) and anisotropic chemical wet etching with KOH + IPA. For polymer optical microcavities, low surface roughness and vertical sidewalls are often ...... and KOH + IPA etch have been optimized. To reduce stiction between the silicon mold and the polymers used for molding, the mold is coated with a teflon-like material using the DRIE system. Released polymer microstructures characterized with AFM and SEM are also presented....

  10. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  11. Fast determination of impurities in metallurgical grade silicon for photovoltaics by instrumental neutron activation analysis

    International Nuclear Information System (INIS)

    Hampel, J.; Boldt, F.M.; Gerstenberg, H.; Hampel, G.; Kratz, J.V.; Reber, S.; Wiehl, N.

    2011-01-01

    Standard wafer solar cells are made of near-semiconductor quality silicon. This high quality material makes up a significant part of the total costs of a solar module. Therefore, new concepts with less expensive so called solar grade silicon directly based on physiochemically upgraded metallurgical grade silicon are investigated. Metallurgical grade silicon contains large amounts of impurities, mainly transition metals like Fe, Cr, Mn, and Co, which degrade the minority carrier lifetime and thus the solar cell efficiency. A major reduction of the transition metal content occurs during the unidirectional crystallization due to the low segregation coefficient between the solid and liquid phase. A further reduction of the impurity level has to be done by gettering procedures applied to the silicon wafers. The efficiency of such cleaning procedures of metallurgical grade silicon is studied by instrumental neutron activation analysis (INAA). Small sized silicon wafers of approximately 200 mg with and without gettering step were analyzed. To accelerate the detection of transition metals in a crystallized silicon ingot, experiments of scanning whole vertical silicon columns with a diameter of approximately 1 cm by gamma spectroscopy were carried out. It was demonstrated that impurity profiles can be obtained in a comparably short time. Relatively constant transition metal ratios were found throughout an entire silicon ingot. This led to the conclusion that the determination of several metal profiles might be possible by the detection of only one 'leading element'. As the determination of Mn in silicon can be done quite fast compared to elements like Fe, Cr, and Co, it could be used as a rough marker for the overall metal concentration level. Thus, a fast way to determine impurities in photovoltaic silicon material is demonstrated. - Highlights: → We demonstrate a fast way to determine impurities in photovoltaic silicon by NAA. → We make first experiments of locally

  12. Ultra-High-Efficiency Apodized Grating Coupler Using a Fully Etched Photonic Crystal

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2013-01-01

    We demonstrate an apodized fiber-to-chip grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform. An ultra-high coupling efficiency of 1.65 dB (68%) with 3 dB bandwidth of 60 nm is experimentally demonstrated.......We demonstrate an apodized fiber-to-chip grating coupler using fully etched photonic crystal holes on the silicon-on-insulator platform. An ultra-high coupling efficiency of 1.65 dB (68%) with 3 dB bandwidth of 60 nm is experimentally demonstrated....

  13. Influence of neutron irradiation on etching of SiC in KOH

    Science.gov (United States)

    Mokhov, E. N.; Kazarova, O. P.; Soltamov, V. A.; Nagalyuk, S. S.

    2017-07-01

    The effect of reactor neutron irradiation on the etch rate of SiC in potassium hydroxide has been studied. In the case of high irradiation doses (1019-1021 cm-2), the etch rate of silicon carbide has been shown to drastically rise, especially in the [0001]Si direction. This considerably mitigates the orientation anisotropy of polar face etching. After high-temperature annealing (up to 1200-1400°C), a higher etch rate of irradiated crystals persists. The results have been explained by the high concentration of radiation-induced (partially clustered) defects they contain.

  14. An energy and direction independent fast neutron dosemeter based on electrochemically etched CR-39 nuclear track detectors

    International Nuclear Information System (INIS)

    James, K.; Matiullah; Durrani, S.A.

    1987-01-01

    A computer-based model is presented, which simulates the dose equivalent response of electrochemically etched CR-39 to fast neutrons of various energies and angles of incidence. Most previous calculations of the response of CR-39 have neglected the production of recoiling oxygen and carbon nuclei as well as α particles in the CR-39. We calculate that these 'heavy recoils' and α particles are the major source of electrochemically etchable tracks in bare CR-39 at neutron energies above approx. 2 MeV under typical etching conditions. Our calculations have been extended to predict the response of CR-39 used in conjunction with various combinations of polymeric front radiators and we have determined the radiator stack configuration with produces the most energy independent response. Again, the heavy recoils and α particles cannot be neglected and, for energies above approx. 2 MeV, these produce typically about 20% of the total response of our optimum stack. This type of fast neutron dosemeter is, however, strongly direction dependent. We have integrated the response over all appropriate angles to predict the dose equivalent response for two representative neutron fields, and we suggest a method for minimising the angular dependence. (author)

  15. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  16. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  17. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  18. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  19. Ultra-low coupling loss fully-etched apodized grating coupler with bonded metal mirror

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2014-01-01

    A fully etched apodized grating coupler with bonded metal mirror is designed and demonstrated on the silicon-on-insulator platform, showing an ultra-low coupling loss of only 1.25 dB with 3 dB bandwidth of 69 nm.......A fully etched apodized grating coupler with bonded metal mirror is designed and demonstrated on the silicon-on-insulator platform, showing an ultra-low coupling loss of only 1.25 dB with 3 dB bandwidth of 69 nm....

  20. Effect of etching current density on microstructure and NH3-sensing properties of porous silicon with intermediate-sized pores

    International Nuclear Information System (INIS)

    Li, Mingda; Hu, Ming; Zeng, Peng; Ma, Shuangyun; Yan, Wenjun; Qin, Yuxiang

    2013-01-01

    In this work, porous silicon with intermediate-sized pores (intermediate–PS) was prepared by using galvanostatic electrochemical etching method and the effect toward sensing response characteristics of NH 3 gas was also studied. The morphology and surface chemical bonds of intermediate–PS were characterized by using field emission scanning electron microscope (FESEM) and Fourier transform infrared spectroscopy (FTIR), respectively. The results showed the intermediate–PS microstructure can be significantly modulated by the etching current density. Moreover, the freshly prepared intermediate–PS surface could achieve reliable passivation after storage in ethanol. Furthermore, the gas-sensing measurements of the intermediate–PS sensors were carried out versus different concentrations of NH 3 . The PS sensor exhibited good NH 3 -sensing performances at room temperature owing to its unique microstructure features, including large specific surface area and highly ordered pore channels. In addition, the conceivable pore formation mechanism as well as gas sensing mechanism was also discussed

  1. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  2. Luminescence and optical absorption determination in porous silicon

    International Nuclear Information System (INIS)

    Nogal, U.; Calderon, A.; Marin, E.; Rojas T, J. B.; Juarez, A. G.

    2012-10-01

    We applied the photoacoustic spectroscopy technique in order to obtain the optical absorption spectrum in porous silicon samples prepared by electrochemical anodic etching on n-type, phosphorous doped, (100)-oriented crystal-line silicon wafer with thickness of 300 μm and 1-5 ωcm resistivity. The porous layers were prepared with etching times of 13, 20, 30, 40 and 60 minutes. Also, we realized a comparison among the optical absorption spectrum with the photoluminescence and photo reflectance ones, both obtained at room temperature. Our results show that the absorption spectrum of the samples of porous silicon depends notably of the etching time an it consist of two distinguishable absorption bands, one in the Vis region and the other one in the UV region. (Author)

  3. Silicon structuring by etching with liquid chlorine and fluorine precursors using femtosecond laser pulses

    International Nuclear Information System (INIS)

    Radu, C.; Simion, S.; Zamfirescu, M.; Ulmeanu, M.; Enculescu, M.; Radoiu, M.

    2011-01-01

    The aim of this study is to investigate the micrometer and submicrometer scale structuring of silicon by liquid chlorine and fluorine precursors with 200 fs laser pulses working at both fundamental (775 nm) and frequency doubled (387 nm) wavelengths. The silicon surface was irradiated at normal incidence by immersing the Si (111) substrates in a glass container filled with liquid chlorine (CCl 4 ) and fluorine (C 2 Cl 3 F 3 ) precursors. We report that silicon surfaces develop an array of spikes with single step irradiation processes at 775 nm and equally at 387 nm. When irradiating the Si surface with 400 pulses at 330 mJ/cm 2 laser fluence and a 775 nm wavelength, the average height of the formed Si spikes in the case of fluorine precursors is 4.2 μm, with a full width at half maximum of 890 nm. At the same irradiation wavelength chlorine precursors develop Si spikes 4 μm in height and with a full width at half maximum of 2.3 μm with irradiation of 700 pulses at 560 mJ/cm 2 laser fluence. Well ordered areas of submicrometer spikes with an average height of about 500 nm and a width of 300 nm have been created by irradiation at 387 nm by chlorine precursors, whereas the fluorine precursors fabricate spikes with an average height of 700 nm and a width of about 200 nm. Atomic force microscopy and scanning electron microscopy of the surface show that the formation of the micrometer and sub-micrometer spikes involves a combination of capillary waves on the molten silicon surface and laser-induced etching of silicon, at both 775 nm and 387 nm wavelength irradiation. The energy-dispersive x-ray measurements indicate the presence of chlorine and fluorine precursors on the structured surface. The fluorine precursors create a more ordered area of Si spikes at both micrometer and sub-micrometer scales. The potential use of patterned Si substrates with gradient topography as model scaffolds for the systematic exploration of the role of 3D micro/nano morphology on cell

  4. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  5. Microfabricated Silicon Microneedle Array for Transdermal Drug Delivery

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E; Miao Jianmin; Iliescu, C

    2006-01-01

    This paper presents developed processes for silicon microneedle arrays microfabrication. Three types of microneedles structures were achieved by isotropic etching in inductively coupled plasma (ICP) using SF 6 /O 2 gases, combination of isotropic etching with deep etching, and wet etching, respectively. A microneedle array with biodegradable porous tips was further developed based on the fabricated microneedles

  6. Microfabricated Silicon Microneedle Array for Transdermal Drug Delivery

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Iliescu, C [Institute of Bioengineering and Nanotechnology, 31 Biopolis Way, Nanos, 04-01, 138669 (Singapore)

    2006-04-01

    This paper presents developed processes for silicon microneedle arrays microfabrication. Three types of microneedles structures were achieved by isotropic etching in inductively coupled plasma (ICP) using SF{sub 6}/O{sub 2} gases, combination of isotropic etching with deep etching, and wet etching, respectively. A microneedle array with biodegradable porous tips was further developed based on the fabricated microneedles.

  7. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  8. UV photooxidation induced structural and photoluminescence behaviors in vapor-etching based porous silicon

    International Nuclear Information System (INIS)

    Aouida, S.; Saadoun, M.; Ben Saad, K.; Bessais, B.

    2006-01-01

    In this paper, we investigate the effect of UV irradiation on Vapor-Etching (VE) based Porous Silicon (PS) structure and luminescence under controlled atmosphere (N 2 , air, O 2 ). The oxidation evolution is monitored by Fourier transform infrared (FTIR) spectroscopy. FTIR measurements show that the SiH x bond, initially present in the freshly prepared PS layers, decreased progressively with UV irradiation time until they completely disappear. We found that this treatment accelerates the oxidation process. SiO x structures appear and gradually become dominant as regard to the SiH x species, while UV irradiation is in progress. Generally, the photoluminescence (PL) intensity of the PS layer decreases instantaneously at the starting by the UV excitation and stabilizes after a period depending on the ambient gas and the specific surface area of the porous structure. Further UV exposure leads to a linear decrease of the PL intensity due to change of surface passivation from SiH x to O y SiH x . After less than 100 min of UV irradiation, the PL intensity exhibits an exponential decay. UV exposure in air and O 2 leads approximately to the same PL behavior, although faster PL intensity decrease was observed under O 2 -rich ambient. This was explained as being due to intense hydrogen desorption in presence of oxygen. Correlations of PL results with FTIR measurements show that surface passivation determine the electronic states of silicon nano-crystallites and influence the photoluminescence efficiency

  9. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  10. Ultra-low reflection porous silicon nanowires for solar cell applications

    OpenAIRE

    Najar , Adel; Charrier , Joël; Pirasteh , Parastesh; Sougrat , R.

    2012-01-01

    International audience; High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measuremen...

  11. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  12. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  13. Nanostructured silicon via metal assisted catalyzed etch (MACE): chemistry fundamentals and pattern engineering

    Science.gov (United States)

    Toor, Fatima; Miller, Jeffrey B.; Davidson, Lauren M.; Nichols, Logan; Duan, Wenqi; Jura, Michael P.; Yim, Joanne; Forziati, Joanne; Black, Marcie R.

    2016-10-01

    There are a range of different methods to generate a nanostructured surface on silicon (Si) but the most cost effective and optically interesting is the metal assisted wet chemical etching (MACE) (Koynov et al 2006 Appl. Phys. Lett. 88 203107). MACE of Si is a controllable, room-temperature wet-chemical technique that uses a thin layer of metal to etch the surface of Si, leaving behind various nano- and micro-scale surface features or ‘black silicon’. MACE-fabricated nanowires (NWs) provide improved antireflection and light trapping functionality (Toor et al 2016 Nanoscale 8 15448-66) compared with the traditional ‘iso-texturing’ (Campbell and Green 1987 J. Appl. Phys. 62 243-9). The resulting lower reflection and improved light trapping can lead to higher short circuit currents in NW solar cells (Toor et al 2011 Appl. Phys. Lett. 99 103501). In addition, NW cells can have higher fill factors and voltages than traditionally processed cells, thus leading to increased solar cell efficiencies (Cabrera et al 2013 IEEE J. Photovolt. 3 102-7). MACE NW processing also has synergy with next generation Si solar cell designs, such as thin epitaxial-Si and passivated emitter rear contact (Toor et al 2016 Nanoscale 8 15448-66). While several companies have begun manufacturing black Si, and many more are researching these techniques, much of the work has not been published in traditional journals and is publicly available only through conference proceedings and patent publications, which makes learning the field challenging. There have been three specialized review articles published recently on certain aspects of MACE or black Si, but do not present a full review that would benefit the industry (Liu et al 2014 Energy Environ. Sci. 7 3223-63 Yusufoglu et al 2015 IEEE J. Photovolt. 5 320-8 Huang et al 2011 Adv. Mater. 23 285-308). In this feature article, we review the chemistry of MACE and explore how changing parameters in the wet etch process effects the resulting

  14. Silicon nanostructures produced by laser direct etching

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Dirac, Paul Andreas Holger; Petersen, Jon Wulff

    1995-01-01

    A laser direct-write process has been applied to structure silicon on a nanometer scale. In this process, a silicon substrate, placed in a chlorine ambience, is locally heated above its melting point by a continuous-wave laser and translated by high-resolution direct-current motor stages. Only...

  15. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  16. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  17. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  18. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  19. Fully etched apodized grating coupler on the SOI platform with −058 dB coupling efficiency

    DEFF Research Database (Denmark)

    Ding, Yunhong; Peucheret, Christophe; Ou, Haiyan

    2014-01-01

    We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally investiga......We design and fabricate an ultrahigh coupling efficiency (CE) fully etched apodized grating coupler on the silicon- on-insulator (SOI) platform using subwavelength photonic crystals and bonded aluminum mirror. Fabrication error sensitivity andcoupling angle dependence are experimentally...

  20. Silicon Diode Dosimeter for Fast Neutrons

    International Nuclear Information System (INIS)

    Svansson, L.; Widell, C.O.; Swedberg, P.; Wik, M.

    1968-11-01

    The change of the current-voltage characteristics of a small silicon diode is used as a measure of fast neutron dose in the Fast Neutron Dosimeter 5422. This change is permanent and therefore it is possible to integrate doses over a long period of time. Doses from some rad up to 1000 rad can be measured and the information stored is not destroyed during readout. Considerable research work in this field has previously been carried out by the Swedish Institute for National Defence in collaboration with the Institute of Semiconductor Research Stockholm. The present investigation has been made in order to establish the possibilities of the dosimeter for practical applications and to study the variations of important parameters as a function of the production process. In particular the following parameters have been studied: - dose sensitivity, - energy dependence; - fading effect; - temperature influence; - maximum measurable dose. In general one might conclude that the dosimeter 5422 well fulfills requirements usually specified for a dosimeter for field service. Temperature influence and fading effect are of little practical importance within the recommended range of measurement

  1. Silicon Diode Dosimeter for Fast Neutrons

    Energy Technology Data Exchange (ETDEWEB)

    Svansson, L; Widell, C O; Swedberg, P [The Inst. of Semiconductor Researc h, Stockholm (Sweden); Wik, M [The Swedish Institute for National Defence, Sun dbyberg (Sweden)

    1968-11-15

    The change of the current-voltage characteristics of a small silicon diode is used as a measure of fast neutron dose in the Fast Neutron Dosimeter 5422. This change is permanent and therefore it is possible to integrate doses over a long period of time. Doses from some rad up to 1000 rad can be measured and the information stored is not destroyed during readout. Considerable research work in this field has previously been carried out by the Swedish Institute for National Defence in collaboration with the Institute of Semiconductor Research Stockholm. The present investigation has been made in order to establish the possibilities of the dosimeter for practical applications and to study the variations of important parameters as a function of the production process. In particular the following parameters have been studied: - dose sensitivity, - energy dependence; - fading effect; - temperature influence; - maximum measurable dose. In general one might conclude that the dosimeter 5422 well fulfills requirements usually specified for a dosimeter for field service. Temperature influence and fading effect are of little practical importance within the recommended range of measurement.

  2. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  3. Electrochemically deposited and etched membranes with precisely sized micropores for biological fluids microfiltration

    International Nuclear Information System (INIS)

    Hamzah, A A; Zainal Abidin, H E; Yeop Majlis, B; Mohd Nor, M; Ismardi, A; Sugandi, G; Tiong, T Y; Dee, C F; Yunas, J

    2013-01-01

    This paper presents simple and economical, yet reliable techniques to fabricate a micro-fluidic filter for MEMS lab-on-chip (LoC) applications. The microporous filter is a crucial component in a MEMS LoC system. Microsized components and contaminants in biological fluids are selectively filtered using copper and silicon membranes with precisely controlled microsized pores. Two techniques were explored in microporous membrane fabrication, namely copper electroplating and electrochemical etching (ECE) of silicon. In the first technique, a copper membrane with evenly distributed micropores was fabricated by electroplating the copper layer on the silicon nitride membrane, which was later removed to leave the freestanding microporous membrane structure. The second approach involves the thinning of bulk silicon down to a few micrometers thick using KOH and etching the resulting silicon membrane in 5% HF by ECE to create micropores. Upon testing with nanoparticles of various sizes, it was observed that electroplated copper membrane passes nanoparticles up to 200 nm wide, while porous silicon membrane passes nanoparticles up to 380 nm in size. Due to process compatibility, simplicity, and low-cost fabrication, electroplated copper and porous silicon membranes enable synchronized microfilter fabrication and integration into the MEMS LoC system. (paper)

  4. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    Energy Technology Data Exchange (ETDEWEB)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu; Economou, Demetre J., E-mail: economou@uh.edu [Department of Chemical and Biomolecular Engineering, Plasma Processing Laboratory, University of Houston, Houston, Texas 77204 (United States)

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreased sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.

  5. Oblique patterned etching of vertical silicon sidewalls

    Science.gov (United States)

    Bruce Burckel, D.; Finnegan, Patrick S.; David Henry, M.; Resnick, Paul J.; Jarecki, Robert L.

    2016-04-01

    A method for patterning on vertical silicon surfaces in high aspect ratio silicon topography is presented. A Faraday cage is used to direct energetic reactive ions obliquely through a patterned suspended membrane positioned over the topography. The technique is capable of forming high-fidelity pattern (100 nm) features, adding an additional fabrication capability to standard top-down fabrication approaches.

  6. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  7. Hydrogen production from nano-porous Si powder formed by stain etching

    Energy Technology Data Exchange (ETDEWEB)

    Litvinenko, S.; Alekseev, S.; Kuznetsov, G.; Skryshevsky, V. [Institute of High Technology of National Taras Shevchenko University of Kyiv, Volodymyrs' ka 64, Kyiv 01601 (Ukraine); Lysenko, V.; Barbier, D. [Lyon Institute of Nanotechnologies (INL), CNRS UMR-5270, University of Lyon, INSA de Lyon, 7 avenue Jean Capelle, Bat. Blaise Pascal, 69621 Villeurbanne Cedex (France); Venturello, A.; Geobaldo, F.; Garrone, E. [Politecnico di Torino, Department of Materials Science and Chemical Engineering, 10129 Torino (Italy); Gulina, L.; Tolstoy, V. [St-Petersburg State University, Chemical Department (Russian Federation)

    2010-07-15

    Hydrogen reservoirs based on porous silicon (PS) nanostructures are considered. Silicon-based hydrogen tanks are believed to be applicable for portable device energy supply and compatible with micro-sources of energy of new generation. Stain etching of silicon powder to produce PS is studied as a technology alternative to conventional electrochemical etching and application of the PS powder for hydrogen production is also described. Size selection of initial Si micro-particles constituting the powders was carried out by sedimentation technique. Hydrogen content in PS was investigated by FTIR spectroscopy. Extraction of hydrogen in water environment in presence of small amount of NH{sub 3} as catalyst was shown to have advantages such as safety and tunability, additional production of hydrogen from water dissociation, and a possibility to characterize PS as a hydrogen source material in terms of hydrogen effective shell and crystalline core conception. (author)

  8. Current measurement method for characterization of fast switching power semiconductors with Silicon Steel Current Transformer

    DEFF Research Database (Denmark)

    Li, Helong; Beczkowski, Szymon; Munk-Nielsen, Stig

    2015-01-01

    This paper proposes a novel current measurement method with Silicon Steel Current Transformer (SSCT) for the characterization of fast switching power semiconductors. First, the existing current sensors for characterization of fast switching power semiconductors are experimentally evaluated...

  9. Laterally Driven Resonant Pressure Sensor with Etched Silicon Dual Diaphragms and Combined Beams

    Directory of Open Access Journals (Sweden)

    Xiaohui Du

    2016-01-01

    Full Text Available A novel structure of the resonant pressure sensor is presented in this paper, which tactfully employs intercoupling between dual pressure-sensing diaphragms and a laterally driven resonant strain gauge. After the resonant pressure sensor principle is introduced, the coupling mechanism of the diaphragms and resonator is analyzed and the frequency equation of the resonator based on the triangle geometry theory is developed for this new coupling structure. The finite element (FE simulation results match the theoretical analysis over the full scale of the device. This pressure sensor was first fabricated by dry/wet etching and thermal silicon bonding, followed by vacuum-packaging using anodic bonding technology. The test maximum error of the fabricated sensor is 0.0310%F.S. (full scale in the range of 30 to 190 kPa, its pressure sensitivity is negative and exceeding 8 Hz/kPa, and its Q-factor reaches 20,000 after wafer vacuum-packaging. A novel resonant pressure sensor with high accuracy is presented in this paper.

  10. A fast ADC system for silicon μstrips readout

    International Nuclear Information System (INIS)

    Inzani, P.; Pedrini, D.; Sala, S.

    1986-01-01

    A new fast ADC module has been designed. It is part of a large readout system for a high resolution vertex detector consisting of 12 silicon microstrip planes with more than 8000 channels. The module employs a set of monolithic gated integrators on input (LeCroy MIQ 401) multiplexed on a single 8 bit FADC (Thompson EFX8308). A built-in preprocessing, performed through look up tables, accomplishes equalization and reduction of the data and makes high level trigger feasible. As an additional feature, fast histogramming of all the channels in parallel has been made possible with an internal memory. Special care has been paid to realize a low cost and low power consumption system

  11. Silicon Micromachined Microlens Array for THz Antennas

    Science.gov (United States)

    Lee, Choonsup; Chattopadhyay, Goutam; Mehdi, IImran; Gill, John J.; Jung-Kubiak, Cecile D.; Llombart, Nuria

    2013-01-01

    5 5 silicon microlens array was developed using a silicon micromachining technique for a silicon-based THz antenna array. The feature of the silicon micromachining technique enables one to microfabricate an unlimited number of microlens arrays at one time with good uniformity on a silicon wafer. This technique will resolve one of the key issues in building a THz camera, which is to integrate antennas in a detector array. The conventional approach of building single-pixel receivers and stacking them to form a multi-pixel receiver is not suited at THz because a single-pixel receiver already has difficulty fitting into mass, volume, and power budgets, especially in space applications. In this proposed technique, one has controllability on both diameter and curvature of a silicon microlens. First of all, the diameter of microlens depends on how thick photoresist one could coat and pattern. So far, the diameter of a 6- mm photoresist microlens with 400 m in height has been successfully microfabricated. Based on current researchers experiences, a diameter larger than 1-cm photoresist microlens array would be feasible. In order to control the curvature of the microlens, the following process variables could be used: 1. Amount of photoresist: It determines the curvature of the photoresist microlens. Since the photoresist lens is transferred onto the silicon substrate, it will directly control the curvature of the silicon microlens. 2. Etching selectivity between photoresist and silicon: The photoresist microlens is formed by thermal reflow. In order to transfer the exact photoresist curvature onto silicon, there needs to be etching selectivity of 1:1 between silicon and photoresist. However, by varying the etching selectivity, one could control the curvature of the silicon microlens. The figure shows the microfabricated silicon microlens 5 x5 array. The diameter of the microlens located in the center is about 2.5 mm. The measured 3-D profile of the microlens surface has a

  12. SOI silicon on glass for optical MEMS

    DEFF Research Database (Denmark)

    Larsen, Kristian Pontoppidan; Ravnkilde, Jan Tue; Hansen, Ole

    2003-01-01

    and a final sealing at the interconnects can be performed using a suitable polymer. Packaged MEMS on glass are advantageous within Optical MEMS and for sensitive capacitive devices. We report on experiences with bonding SOI to Pyrex. Uniform DRIE shallow and deep etching was achieved by a combination......A newly developed fabrication method for fabrication of single crystalline Si (SCS) components on glass, utilizing Deep Reactive Ion Etching (DRIE) of a Silicon On Insulator (SOI) wafer is presented. The devices are packaged at wafer level in a glass-silicon-glass (GSG) stack by anodic bonding...... of an optimized device layout and an optimized process recipe. The behavior of the buried oxide membrane when used as an etch stop for the through-hole etch is described. No harmful buckling or fracture of the membrane is observed for an oxide thickness below 1 μm, but larger and more fragile released structures...

  13. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  14. Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology

    Directory of Open Access Journals (Sweden)

    Eugenijus SHATKOVSKIS

    2012-09-01

    Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428

  15. Lifetime Extension of the Gas Discharge Detectors with Plasma Etching of Silicon Deposits in 80%CF4 + 20%CO2

    Science.gov (United States)

    Gavrilov, G. E.; Vakhtel, V. M.; Maysuzenko, D. A.; Tavtorkina, T. A.; Fetisov, A. A.; Shvetsova, N. Yu.

    2017-12-01

    A method of elimination of silicon compounds from the anode wire of an aged proportional counter is presented. The aging of a counter with a 70%Ar + 30%CO2 and a 60%Ar + 30%CO2 + 10%CF4 working mixture was stimulated by a 90Sr β source. To accelerate the process of aging, the gas mixture flow to the counter was supplied through a pipe with RTV coated wall. As a result, the amplitude of the signal decreased 70% already at accumulated charge of Q = 0.03 C/cm. The etching of the silicon compounds on the wire surface with an 80%CF4 + 20%CO2 gas mixture discharge led to full recovery of the operating characteristics of detector and an increase in the lifetime. A scanning electron microscopy and X-ray spectroscopy analysis of the recovered wire surface were performed. In accordance with the results, a good quality of wire cleaning from SiO2 compounds was obtained.

  16. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  17. Thermally-isolated silicon-based integrated circuits and related methods

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy H.; Clews, Peggy J.; Bauer, Todd

    2017-05-09

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  18. Method of making thermally-isolated silicon-based integrated circuits

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy; Clews, Peggy J.; Bauer, Todd

    2017-11-21

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  19. Selective formation of porous silicon

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)

    1993-01-01

    A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.

  20. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  1. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  2. Dry technologies for the production of crystalline silicon solar cells; Trockentechnologien zur Herstellung von kristallinen Siliziumsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Rentsch, J.

    2005-04-15

    Within this work, dynamic plasma etching technologies for the industrial production of crystalline silicon solar cells has been investigated. The research activity can be separated into three major steps: the characterisation of the etching behaviour of a newly developed dynamic plasma etching system, the development and analysis of dry etching processes for solar cell production and the determination of the ecological and economical impacts of such a new technology compared to standard up to date technologies. The characterisation of the etching behaviour has been carried out for two different etching sources, a low frequency (110 kHz) and a microwave (2.45 GHz) plasma source. The parameter of interest was the delivered ion energy of each source mainly determining the reachable etch rate. The etch rate turned out to be the main most critical parameter concerning the reachable wafer throughput per hour. Other points of interest in characterisation of the etching system were the material of the transport carriers, the silicon load as well as the process temperatures. The development of different dry etching processes targets the design of a complete dry production process for crystalline silicon solar cells. Therefore etching processes for saw damage removal, texturing, edge isolation as well as etching of dielectric layers have been developed and optimised. The major benefits of a complete dry production process would be the reduction of handling steps in between process steps and therefore offers a large cost reduction potential. For multicrystalline silicon solar cells a cost reduction potential of 5 % compared to a standard wet chemical based reference process could be realized only including the dry etching of a phosphorus silicate glass layer after diffusion. Further reduction potential offers the implementation of a dry texturing process due to a significant efficiency increase. (orig.)

  3. Porous silicon gettering

    Energy Technology Data Exchange (ETDEWEB)

    Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.

  4. Photonic Crystal Sensors Based on Porous Silicon

    Directory of Open Access Journals (Sweden)

    Claudia Pacholski

    2013-04-01

    Full Text Available Porous silicon has been established as an excellent sensing platform for the optical detection of hazardous chemicals and biomolecular interactions such as DNA hybridization, antigen/antibody binding, and enzymatic reactions. Its porous nature provides a high surface area within a small volume, which can be easily controlled by changing the pore sizes. As the porosity and consequently the refractive index of an etched porous silicon layer depends on the electrochemial etching conditions photonic crystals composed of multilayered porous silicon films with well-resolved and narrow optical reflectivity features can easily be obtained. The prominent optical response of the photonic crystal decreases the detection limit and therefore increases the sensitivity of porous silicon sensors in comparison to sensors utilizing Fabry-Pérot based optical transduction. Development of porous silicon photonic crystal sensors which allow for the detection of analytes by the naked eye using a simple color change or the fabrication of stacked porous silicon photonic crystals showing two distinct optical features which can be utilized for the discrimination of analytes emphasize its high application potential.

  5. Photonic Crystal Sensors Based on Porous Silicon

    Science.gov (United States)

    Pacholski, Claudia

    2013-01-01

    Porous silicon has been established as an excellent sensing platform for the optical detection of hazardous chemicals and biomolecular interactions such as DNA hybridization, antigen/antibody binding, and enzymatic reactions. Its porous nature provides a high surface area within a small volume, which can be easily controlled by changing the pore sizes. As the porosity and consequently the refractive index of an etched porous silicon layer depends on the electrochemial etching conditions photonic crystals composed of multilayered porous silicon films with well-resolved and narrow optical reflectivity features can easily be obtained. The prominent optical response of the photonic crystal decreases the detection limit and therefore increases the sensitivity of porous silicon sensors in comparison to sensors utilizing Fabry-Pérot based optical transduction. Development of porous silicon photonic crystal sensors which allow for the detection of analytes by the naked eye using a simple color change or the fabrication of stacked porous silicon photonic crystals showing two distinct optical features which can be utilized for the discrimination of analytes emphasize its high application potential. PMID:23571671

  6. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  7. Effect of Silicon Nanowire on Crystalline Silicon Solar Cell Characteristics

    OpenAIRE

    Zahra Ostadmahmoodi Do; Tahereh Fanaei Sheikholeslami; Hassan Azarkish

    2016-01-01

    Nanowires (NWs) are recently used in several sensor or actuator devices to improve their ordered characteristics. Silicon nanowire (Si NW) is one of the most attractive one-dimensional nanostructures semiconductors because of its unique electrical and optical properties. In this paper, silicon nanowire (Si NW), is synthesized and characterized for application in photovoltaic device. Si NWs are prepared using wet chemical etching method which is commonly used as a simple and low cost method fo...

  8. Morphological and optical properties of n-type porous silicon

    Indian Academy of Sciences (India)

    type silicon wafer have been reported in the present article. Method of PS fabrication is by photo-assisted electrochemical etching with different etching current densities ( J ). Porosity and PS layer thickness, obtained by the gravimetric method, ...

  9. Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS

    Science.gov (United States)

    Rao, A. V. Narasimha; Swarnalatha, V.; Pal, P.

    2017-12-01

    Anisotropic wet etching is a most widely employed for the fabrication of MEMS/NEMS structures using silicon bulk micromachining. The use of Si{110} in MEMS is inevitable when a microstructure with vertical sidewall is to be fabricated using wet anisotropic etching. In most commonly employed etchants (i.e. TMAH and KOH), potassium hydroxide (KOH) exhibits higher etch rate and provides improved anisotropy between Si{111} and Si{110} planes. In the manufacturing company, high etch rate is demanded to increase the productivity that eventually reduces the cost of end product. In order to modify the etching characteristics of KOH for the micromachining of Si{110}, we have investigated the effect of hydroxylamine (NH2OH) in 20 wt% KOH solution. The concentration of NH2OH is varied from 0 to 20% and the etching is carried out at 75 °C. The etching characteristics which are studied in this work includes the etch rates of Si{110} and silicon dioxide, etched surface morphology, and undercutting at convex corners. The etch rate of Si{110} in 20 wt% KOH + 15% NH2OH solution is measured to be four times more than that of pure 20 wt% KOH. Moreover, the addition of NH2OH increases the undercutting at convex corners and enhances the etch selectivity between Si and SiO2.

  10. Silicon micromachined hollow microneedles for transdermal liquid transport

    NARCIS (Netherlands)

    Gardeniers, Johannes G.E.; Lüttge, Regina; Berenschot, Johan W.; de Boer, Meint J.; Yeshurun, Shuki Y.; Hefetz, Meir; van 't Oever, Ronny; van den Berg, Albert

    2003-01-01

    This paper presents a novel process for the fabrication of out-of-plane hollow microneedles in silicon. The fabrication method consists of a sequence of deep-reactive ion etching (DRIE), anisotropic wet etching and conformal thin film deposition, and allows needle shapes with different,

  11. Silicon micromachined hollow microneedles for transdermal liquid transport

    NARCIS (Netherlands)

    Gardeniers, J.G.E.; Luttge, R.; Berenschot, J.W.; Boer, de M.J.; Yeshurun, S.Y.; Hefetz, M.; Oever, van't R.; Berg, van den A.

    2003-01-01

    This paper presents a novel process for the fabrication of out-of-plane hollow micro needles in silicon. The fabrication method consists of a sequence of deep-reactive ion etching (DRIE), anisotropic wet etching and conformal thin film deposition, and allows needle shapes with different,

  12. Optimization of the Surface Structure on Black Silicon for Surface Passivation.

    Science.gov (United States)

    Jia, Xiaojie; Zhou, Chunlan; Wang, Wenjing

    2017-12-01

    Black silicon shows excellent anti-reflection and thus is extremely useful for photovoltaic applications. However, its high surface recombination velocity limits the efficiency of solar cells. In this paper, the effective minority carrier lifetime of black silicon is improved by optimizing metal-catalyzed chemical etching (MCCE) method, using an Al 2 O 3 thin film deposited by atomic layer deposition (ALD) as a passivation layer. Using the spray method to eliminate the impact on the rear side, single-side black silicon was obtained on n-type solar grade silicon wafers. Post-etch treatment with NH 4 OH/H 2 O 2 /H 2 O mixed solution not only smoothes the surface but also increases the effective minority lifetime from 161 μs of as-prepared wafer to 333 μs after cleaning. Moreover, adding illumination during the etching process results in an improvement in both the numerical value and the uniformity of the effective minority carrier lifetime.

  13. Phosphorous Doping of Nanostructured Crystalline Silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Davidsen, Rasmus Schmidt; Steckel, André

    Nano-textured silicon, known as black silicon (bSi), is attractive with excellent photon trapping properties. bSi can be produced using simple one-step fabrication reactive ion etching (RIE) technique. However, in order to use bSi in photovoltaics doping process should be developed. Due to high s...

  14. Sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, Vincent L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    A new technique is presented that provides planarization after a very deep etching step in silicon. This offers the possibility for as well resist spinning and layer patterning as realization of bridges or cantilevers across deep holes or grooves. The sacrificial wafer bonding technique contains a

  15. Fabrication of combined-scale nano- and microfluidic polymer systems using a multilevel dry etching, electroplating and molding process

    DEFF Research Database (Denmark)

    Tanzi, Simone; Østergaard, Peter Friis; Matteucci, Marco

    2012-01-01

    Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions to fabric......Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions...

  16. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  17. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    Science.gov (United States)

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  18. Recycling of silicon: from industrial waste to biocompatible nanoparticles for nanomedicine

    Science.gov (United States)

    Kozlov, N. K.; Natashina, U. A.; Tamarov, K. P.; Gongalsky, M. B.; Solovyev, V. V.; Kudryavtsev, A. A.; Sivakov, V.; Osminkina, L. A.

    2017-09-01

    The formation of photoluminescent porous silicon (PSi) nanoparticles (NPs) is usually based on an expensive semiconductor grade wafers technology. Here, we report a low-cost method of PSi NPs synthesis from the industrial silicon waste remained after the wafer production. The proposed method is based on metal-assisted wet-chemical etching (MACE) of the silicon surface of cm-sized metallurgical grade silicon stones which leads to a nanostructuring of the surface due to an anisotropic etching, with subsequent ultrasound fracturing in water. The obtained PSi NPs exhibit bright red room temperature photoluminescence (PL) and demonstrate similar microstructure and physical characteristics in comparison with the nanoparticles synthesized from semiconductor grade Si wafers. PSi NPs prepared from metallurgical grade silicon stones, similar to silicon NPs synthesized from high purity silicon wafer, show low toxicity to biological objects that open the possibility of using such type of NPs in nanomedicine.

  19. Porosity and thickness effect of porous silicon layer on photoluminescence spectra

    Science.gov (United States)

    Husairi, F. S.; Eswar, K. A.; Guliling, Muliyadi; Khusaimi, Z.; Rusop, M.; Abdullah, S.

    2018-05-01

    The porous silicon nanostructures was prepared by electrochemical etching of p-type silicon wafer. Porous silicon prepared by using different current density and fix etching time with assistance of halogen lamp. The physical structure of porous silicon measured by the parameters used which know as experimental factor. In this work, we select one of those factors to correlate which optical properties of porous silicon. We investigated the surface morphology by using Surface Profiler (SP) and photoluminescence using Photoluminescence (PL) spectrometer. Different physical characteristics of porous silicon produced when current density varied. Surface profiler used to measure the thickness of porous and the porosity calculated using mass different of silicon. Photoluminescence characteristics of porous silicon depend on their morphology because the size and distribution of pore its self will effect to their exciton energy level. At J=30 mA/cm2 the shorter wavelength produced and it followed the trend of porosity with current density applied.

  20. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  1. The controlled fabrication of nanopores by focused electron-beam-induced etching

    International Nuclear Information System (INIS)

    Yemini, M; Ashkenasy, N; Hadad, B; Goldner, A; Liebes, Y

    2009-01-01

    The fabrication of nanometric holes within thin silicon-based membranes is of great importance for various nanotechnology applications. The preparation of such holes with accurate control over their size and shape is, thus, gaining a lot of interest. In this work we demonstrate the use of a focused electron-beam-induced etching (FEBIE) process as a promising tool for the fabrication of such nanopores in silicon nitride membranes and study the process parameters. The reduction of silicon nitride by the electron beam followed by chemical etching of the residual elemental silicon results in a linear dependence of pore diameter on electron beam exposure time, enabling accurate control of nanopore size in the range of 17-200 nm in diameter. An optimal pressure of 5.3 x 10 -6 Torr for the production of smaller pores with faster process rates, as a result of mass transport effects, was found. The pore formation process is also shown to be dependent on the details of the pulsed process cycle, which control the rate of the pore extension, and its minimal and maximal size. Our results suggest that the FEBIE process may play a key role in the fabrication of nanopores for future devices both in sensing and nano-electronics applications.

  2. Weightfield2: A fast simulator for silicon and diamond solid state detector

    Energy Technology Data Exchange (ETDEWEB)

    Cenna, Francesca, E-mail: cenna@to.infn.it [INFN Torino, Via Pietro Giuria 1, Torino (Italy); Cartiglia, N. [INFN Torino, Via Pietro Giuria 1, Torino (Italy); Friedl, M.; Kolbinger, B. [HEPHY Vienna (Austria); Sadrozinski, H.F.-W.; Seiden, A.; Zatserklyaniy, Andriy; Zatserklyaniy, Anton [University of California, Santa Cruz (United States)

    2015-10-01

    We have developed a fast simulation program to study the performance of silicon and diamond detectors, Weightfield2. The program uses GEANT4 libraries to simulate the energy released by an incoming particle in silicon (or diamond), and Ramo's theorem to generate the induced signal current. A graphical interface allows the user to configure many input parameters such as the incident particle, sensor geometry, presence and value of internal gain, doping of silicon sensor and its operating conditions, the values of an external magnetic field, ambient temperature and thermal diffusion. A simplified electronics simulator is also implemented to include the response of an oscilloscope and front-end electronics. The program has been validated by comparing its predictions for minimum ionizing and α particles with measured signals and TCAD simulations, finding very good agreement in both cases.

  3. Etch pit investigation of free electron concentration controlled 4H-SiC

    Science.gov (United States)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  4. Sub-parts per million NO2 chemi-transistor sensors based on composite porous silicon/gold nanostructures prepared by metal-assisted etching.

    Science.gov (United States)

    Sainato, Michela; Strambini, Lucanos Marsilio; Rella, Simona; Mazzotta, Elisabetta; Barillaro, Giuseppe

    2015-04-08

    Surface doping of nano/mesostructured materials with metal nanoparticles to promote and optimize chemi-transistor sensing performance represents the most advanced research trend in the field of solid-state chemical sensing. In spite of the promising results emerging from metal-doping of a number of nanostructured semiconductors, its applicability to silicon-based chemi-transistor sensors has been hindered so far by the difficulties in integrating the composite metal-silicon nanostructures using the complementary metal-oxide-semiconductor (CMOS) technology. Here we propose a facile and effective top-down method for the high-yield fabrication of chemi-transistor sensors making use of composite porous silicon/gold nanostructures (cSiAuNs) acting as sensing gate. In particular, we investigate the integration of cSiAuNs synthesized by metal-assisted etching (MAE), using gold nanoparticles (NPs) as catalyst, in solid-state junction-field-effect transistors (JFETs), aimed at the detection of NO2 down to 100 parts per billion (ppb). The chemi-transistor sensors, namely cSiAuJFETs, are CMOS compatible, operate at room temperature, and are reliable, sensitive, and fully recoverable for the detection of NO2 at concentrations between 100 and 500 ppb, up to 48 h of continuous operation.

  5. Strain-induced generation of silicon nanopillars

    International Nuclear Information System (INIS)

    Bollani, Monica; Osmond, Johann; Nicotra, Giuseppe; Spinella, Corrado; Narducci, Dario

    2013-01-01

    Silicon metal-assisted chemical etching (MACE) is a nanostructuring technique exploiting the enhancement of the silicon etch rate at some metal–silicon interfaces. Compared to more traditional approaches, MACE is a high-throughput technique, and it is one of the few that enables the growth of vertical 1D structures of virtually unlimited length. As such, it has already found relevant technological applications in fields ranging from energy conversion to biosensing. Yet, its implementation has always required metal patterning to obtain nanopillars. Here, we report how MACE may lead to the formation of porous silicon nanopillars even in the absence of gold patterning. We show how the use of inhomogeneous yet continuous gold layers leads to the generation of a stress field causing spontaneous local delamination of the metal—and to the formation of silicon nanopillars where the metal disruption occurs. We observed the spontaneous formation of nanopillars with diameters ranging from 40 to 65 nm and heights up to 1 μm. Strain-controlled generation of nanopillars is consistent with a mechanism of silicon oxidation by hole injection through the metal layer. Spontaneous nanopillar formation could enable applications of this method to contexts where ordered distributions of nanopillars are not required, while patterning by high-resolution techniques is either impractical or unaffordable. (paper)

  6. Broadband antireflective silicon carbide surface produced by cost-effective method

    DEFF Research Database (Denmark)

    Argyraki, Aikaterini; Ou, Yiyu; Ou, Haiyan

    2013-01-01

    A cost-effective method for fabricating antireflective subwavelength structures on silicon carbide is demonstrated. The nanopatterning is performed in a 2-step process: aluminum deposition and reactive ion etching. The effect, of the deposited aluminum film thickness and the reactive ion etching...... conditions, on the average surface reflectance and nanostructure landscape have been investigated systematically. The average reflectance of silicon carbide surface is significantly suppressed from 25.4% to 0.05%, under the optimal experimental conditions, in the wavelength range of 390-784 nm. The presence...... of stochastic nanostructures also changes the wetting properties of silicon carbide surface from hydrophilic (47°) to hydrophobic (108°)....

  7. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  8. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  9. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  10. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  11. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  12. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  13. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  14. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  15. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  16. Black silicon solar cells with black bus-bar strings

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of black silicon texturing and blackened bus-bar strings as a potential method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon was realized by maskless reactive ion etching resulting in total, average reflectance...... below 0.5% across a 156x156 mm2 silicon wafer. Four different methods to obtain blackened bus-bar strings were compared with respect to reflectance, and two of these methods (i.e., oxidized copper and etched solder) were used to fabricate functional allblack solar 9-cell panels. The black bus-bars (e.......g., by oxidized copper) have a reflectance below 3% in the entire visible wavelength range. The combination of black silicon cells and blackened bus-bars results in aesthetic, all-black panels based on conventional, front-contacted solar cells without compromising efficiency....

  17. Black Silicon Solar Cells with Black Ribbons

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of mask-less reactive ion etch (RIE) texturing and blackened interconnecting ribbons as a method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon made by mask-less reactive ion etching has total, average...... in the range 15.7-16.3%. The KOH-textured reference cell had an efficiency of 17.9%. The combination of black Si and black interconnecting ribbons may result in aesthetic, all-black panels based on conventional, front-contacted silicon solar cells....... reflectance below 0.5% across a 156x156 mm2 silicon (Si) wafer. Black interconnecting ribbons were realized by oxidizing copper resulting in reflectance below 3% in the visible wavelength range. Screen-printed Si solar cells were realized on 156x156 mm2 black Si substrates with resulting efficiencies...

  18. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  19. Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1997-01-01

    Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.

  20. Freestanding silicon quantum dots: origin of red and blue luminescence.

    Science.gov (United States)

    Gupta, Anoop; Wiggers, Hartmut

    2011-02-04

    In this paper, we studied the behavior of silicon quantum dots (Si-QDs) after etching and surface oxidation by means of photoluminescence (PL) measurements, Fourier transform infrared spectroscopy (FTIR) and electron paramagnetic resonance spectroscopy (EPR). We observed that etching of red luminescing Si-QDs with HF acid drastically reduces the concentration of defects and significantly enhances their PL intensity together with a small shift in the emission spectrum. Additionally, we observed the emergence of blue luminescence from Si-QDs during the re-oxidation of freshly etched particles. Our results indicate that the red emission is related to the quantum confinement effect, while the blue emission from Si-QDs is related to defect states at the newly formed silicon oxide surface.

  1. Polycrystalline Silicon Gettered by Porous Silicon and Heavy Phosphorous Diffusion

    Institute of Scientific and Technical Information of China (English)

    LIU Zuming(刘祖明); Souleymane K Traore; ZHANG Zhongwen(张忠文); LUO Yi(罗毅)

    2004-01-01

    The biggest barrier for photovoltaic (PV) utilization is its high cost, so the key for scale PV utilization is to further decrease the cost of solar cells. One way to improve the efficiency, and therefore lower the cost, is to increase the minority carrier lifetime by controlling the material defects. The main defects in grain boundaries of polycrystalline silicon gettered by porous silicon and heavy phosphorous diffusion have been studied. The porous silicon was formed on the two surfaces of wafers by chemical etching. Phosphorous was then diffused into the wafers at high temperature (900℃). After the porous silicon and diffusion layers were removed, the minority carrier lifetime was measured by photo-conductor decay. The results show that the lifetime's minority carriers are increased greatly after such treatment.

  2. A fast neutron spectrometer based on an electrochemically etched CR-39 detector with degrader and front radiator

    International Nuclear Information System (INIS)

    Matiullah; Durrani, S.A.

    1987-01-01

    In addition to having promising applications for the development of a fast-neutron dosemeter, electrochemically etched (ECE) CR-39 detectors also offer the possibility of energy-selective fast-neutron detection. This property stems basically from the fact that, to produce 'sparkable' trails in the polymeric detector subjected to ECE, the charged particle resulting from a neutron interaction must fall within a definite 'energy window'. The lower and upper limits of proton energies that can yield ECE spots in CR-39 have been experimentally determined to be ∼ 50 keV and ∼ 2.2 MeV under our processing conditions. To accomplish our objective, we have developed a technique based on ECE spot-density measurements in CR-39 detectors placed in conjuction with judiciously chosen thicknesses of a polyethylene radiator and a lead degrader. The optimum thicknesses of the radiator and the degrader, for a given neutron energy, are determined by computer calculations. (author)

  3. Roles of Ag in fabricating Si nanowires by the electroless chemical etching technique

    International Nuclear Information System (INIS)

    Wan, X.; Wang, Q. K.; Wangyang, P. H.; Tao, H.

    2012-01-01

    Silicon wafers coated with a film of Ag pattern are used for investigating roles of Ag in the fabrication of silicon nanowire arrays (SiNWs) by the electroless chemical etching technique. The diameter of SiNWs grown in the mixed AgNO 3 /HF solution ranges from 20 to 250 nm. A growth mechanism for such obtained SiNWs is proposed and further experimentally verified. As a comparison as well as to better understand this chemical process, another popular topic on growing SiNWs in the H 2 O 2 /HF solution is also studied. Originating from different chemical reaction mechanisms, Ag film could protect the underneath Si in the AgNO 3 /HF solution and it could, on the contrary, accelerate etching of the underneath Si in the H 2 O 2 /HF solution.

  4. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  5. Hemispherical cavities on silicon substrates: an overview of micro fabrication techniques

    Science.gov (United States)

    Poncelet, O.; Rasson, J.; Tuyaerts, R.; Coulombier, M.; Kotipalli, R.; Raskin, J.-P.; Francis, L. A.

    2018-04-01

    Hemispherical photonic crystals found in species like Papilio blumei and Cicendella chinensis have inspired new applications like anti-counterfeiting devices and gas sensors. In this work, we investigate and compare four different ways to micro fabricate such hemispherical cavities: using colloids as template, by wet (HNA) or dry (XeF2) isotropic etching of silicon and by electrochemical etching of silicon. The shape and the roughness of the obtained cavities have been discussed and the pros/cons for each method are highlighted.

  6. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  7. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  8. A comparison of etched-geometry and overgrown silicon permeable base transistors by two-dimensional numerical simulations

    Science.gov (United States)

    Vojak, B. A.; Alley, G. D.

    1983-08-01

    Two-dimensional numerical simulations are used to compare etched geometry and overgrown Si permeable base transistors (PTBs), considering both the etched collector and etched emitter biasing conditions made possible by the asymmetry of the etched structure. In PTB devices, the two-dimensional nature of the depletion region near the Schottky contact base grating results in a smaller electron barrier and, therefore, a larger collector current in the etched than in the overgrown structure. The parasitic feedback effects which result at high base-to-emitter bias levels lead to a deviation from the square-law behavior found in the collector characteristics of the overgrown PBT. These structures also have lower device capacitances and smaller transconductances at high base-to-emitter voltages. As a result, overgrown and etched structures have comparable predicted maximum values of the small signal unity short-circuit current gain frequency and maximum oscillation frequency.

  9. Silicon microfabricated beam expander

    Science.gov (United States)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-03-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  10. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  11. Application of porous silicon in solar cell

    Science.gov (United States)

    Maniya, Nalin H.; Ashokan, Jibinlal; Srivastava, Divesh N.

    2018-05-01

    Silicon is widely used in solar cell applications with over 95% of all solar cells produced worldwide composed of silicon. Nanostructured thin porous silicon (PSi) layer acting as anti-reflecting coating is used in photovoltaic solar cells due to its advantages including simple and low cost fabrication, highly textured surfaces enabling lowering of reflectance, controllability of thickness and porosity of layer, and high surface area. PSi layers have previously been reported to reduce the reflection of light and replaced the conventional anti-reflective coating layers on solar cells. This can essentially improve the efficiency and decrease the cost of silicon solar cells. Here, we investigate the reflectance of different PSi layers formed by varying current density and etching time. PSi layers were formed by a combination of current density including 60 and 80 mA/cm2 and time for fabrication as 2, 4, 6, and 8 seconds. The fabricated PSi layers were characterized using reflectance spectroscopy and field emission scanning electron microscopy. Thickness and pore size of PSi layer were increased with increase in etching time and current density, respectively. The reflectance of PSi layers was decreased with increase in etching time until 6 seconds and increased again after 6 seconds, which was observed across both the current density. Reduction in reflectance indicates the increase of absorption of light by silicon due to the thin PSi layer. In comparison with the reflectance of silicon wafer, PSi layer fabricated at 80 mA/cm2 for 6 seconds gave the best result with reduction in reflectance up to 57%. Thus, the application of PSi layer as an effective anti-reflecting coating for the fabrication of solar cell has been demonstrated.

  12. Crystallographic orientation study of silicon steels using X-ray diffraction, electrons diffraction and the Etch Pit method

    International Nuclear Information System (INIS)

    Santos, Hamilta de Oliveira

    1999-01-01

    The aim of the present study is the microstructural and crystallographic orientation of Fe-3%Si steel. The silicon steel shows good electrical properties and it is used in the nuclear and electrical power fields. The studied steel was supplied by Cia. Acos Especiais Itabira S/A - ACESITA. The material was received in the hot compressed condition, in one or two passes. The hot compressing temperatures used were 900, 1000 and 1100 deg C with soaking times ranging from 32 to 470 s. The material preferential crystallographic orientation was evaluated in every grain of the samples. The characterization techniques used were: scanning electron microscopy (SEM) using the etch pit method; X ray diffraction using the Laue back-reflection method; orientation imaging microscopy (OIM). Microstructural characterization in terms of grain size measurement and mean number of grains in the sample were also undertaken. The Laue method was found an easy technique to access crystallographic orientation of this work polycrystalline samples 2.5 mm average grain size. This was due to the inability to focus the X-rays on a single grain of the material. The scanning electron microscopy showed microcavities left by the etch pit method, which allowed the observation of the crystallographic orientation of each grain from the samples. No conclusive grain crystallographic orientation was possible to obtain by the OIM technique due to the non-existing rolling direction. A more extensive work with the OIM technique must be undertaken on the Fe-3%Si with oriented grains and non oriented grains. (author)

  13. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process

    DEFF Research Database (Denmark)

    Chang, Bingdong; Leussink, Pele; Jensen, Flemming

    2018-01-01

    The quest to sculpture materials as small and deep as possible is an ongoing topic in micro- and nanofabrication. For this, the Bosch process has been widely used to achieve anisotropic silicon microstructures with high aspect ratio. Reactive ion etching (RIE) lag is a phenomenon in which etch ra...

  14. Fabrication of a novel silicon single electron transistor for Si:P quantum computer devices

    International Nuclear Information System (INIS)

    Angus, S.J.; Smith, C.E.A.; Gauja, E.; Dzurak, A.S.; Clark, R.G.; Snider, G.L.

    2004-01-01

    Full text: Quantum computation relies on the successful measurement of quantum states. Single electron transistors (SETs) are known to be able to perform fast and sensitive charge measurements of solid state qubits. However, due to their sensitivity, SETs are also very susceptible to random charge fluctuations in a solid-state materials environment. In previous dc transport measurements, silicon-based SETs have demonstrated greater charge stability than A1/A1 2 O 3 SETs. We have designed and fabricated a novel silicon SET architecture for a comparison of the noise characteristics of silicon and aluminium based devices. The silicon SET described here is designed for controllable and reproducible low temperature operation. It is fabricated using a novel dual gate structure on a silicon-on-insulator substrate. A silicon quantum wire is formed in a 100nm thick high-resistivity superficial silicon layer using reactive ion etching. Carriers are induced in the silicon wire by a back gate in the silicon substrate. The tunnel barriers are created electrostatically, using lithographically defined metallic electrodes (∼40nm width). These tunnel barriers surround the surface of the quantum wire, thus producing excellent electrostatic confinement. This architecture provides independent control of tunnel barrier height and island occupancy, thus promising better control of Coulomb blockade oscillations than in previously investigated silicon SETs. The use of a near intrinsic silicon substrate offers compatibility with Si:P qubits in the longer term

  15. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  16. Fabrication of High-Frequency pMUT Arrays on Silicon Substrates

    DEFF Research Database (Denmark)

    Pedersen, Thomas; Zawada, Tomasz; Hansen, Karsten

    2010-01-01

    A novel technique based on silicon micromachining for fabrication of linear arrays of high-frequency piezoelectric micromachined ultrasound transducers (pMUT) is presented. Piezoelectric elements are formed by deposition of lead zirconia titanate into etched features of a silicon substrate...

  17. Pulse shape discrimination based on fast signals from silicon photomultipliers

    Science.gov (United States)

    Yu, Junhao; Wei, Zhiyong; Fang, Meihua; Zhang, Zixia; Cheng, Can; Wang, Yi; Su, Huiwen; Ran, Youquan; Zhu, Qingwei; Zhang, He; Duan, Kai; Chen, Ming; Liu, Meng

    2018-06-01

    Recent developments in organic plastic scintillators capable of pulse shape discrimination (PSD) enable a breakthrough in discrimination between neutrons and gammas. Plastic scintillator detectors coupled with silicon photomultipliers (SiPMs) offer many advantages, such as lower power consumption, smaller volume, and especially insensitivity to magnetic fields, compared with conventional photomultiplier tubes (PMTs). A SensL SiPM has two outputs: a standard output and a fast output. It is known that the charge injected into the fast output electrode is typically approximately 2% of the total charge generated during the avalanche, whereas the charge injected into the standard output electrode is nearly 98% of the total. Fast signals from SiPMs exhibit better performance in terms of timing and time-correlated measurements compared with standard signals. The pulse duration of a standard signal is on the order of hundreds of nanoseconds, whereas the pulse duration of the main monopole waveform of a fast signal is a few tens of nanoseconds. Fast signals are traditionally thought to be suitable for photon counting at very high speeds but unsuitable for PSD due to the partial charge collection. Meanwhile, the standard outputs of SiPMs coupled with discriminating scintillators have yielded nice PSD performances, but there have been no reports on PSD using fast signals. Our analysis shows that fast signals can also provide discrimination if the rate of charge injection into the fast output electrode is fixed for each event, even though only a portion of the charge is collected. In this work, we achieved successful PSD using fast signals; meanwhile, using a coincidence timing window of less 3 nanoseconds between the readouts from both ends of the detector reduced the influence of the high SiPM dark current. We experimentally achieved good timing performance and PSD capability simultaneously.

  18. Glass frit bonding with controlled width and height using a two-step wet silicon etching procedure

    Science.gov (United States)

    Yifang, Liu; Daner, Chen; Liwei, Lin; Gaofeng, Zheng; Jianyi, Zheng; Lingyun, Wang; Daoheng, Sun

    2016-03-01

    A simple and versatile two-step silicon wet etching technique for the control of the width and height of the glass frit bonding layer has been developed to improve bonding strength and reliability in wafer-level microelectromechanical systems (MEMS) packaging processes. The height of the glass frit bonding layer is set by the design of a vertical reference wall which regulates the distance between the silicon wafer and the encapsulation capping substrate. On the other hand, the width of the bonding layer is constrained between two micro grooves which are used to accommodate the spillages of extra glass frit during the bonding process. An optimized thermal bonding process, including the formation of glass liquid, removal of gas bubbles under vacuum and the filling of voids under normal atmospheric condition has been developed to suppress the formation of the bubbles/voids. The stencil printing and pre-sintering processes for the glass frit have been characterized before the thermal bonding process under different magnitudes of bonding pressure. The bonding gap thickness is found to be equal to the height of the reference wall of 10 μm in the prototype design. The bubbles/voids are found to be suppressed effectively and the bonding strength increases from 10.2 to 19.1 MPa as compared with a conventional thermal annealing process in air. Experimentally, prototype samples are measured to have passed the high hermetic sealing leakage tests of 5  ×  10-8 atm cc s-1.

  19. Etching of semiconductors and metals by the photonic jet with shaped optical fiber tips

    Science.gov (United States)

    Pierron, Robin; Lecler, Sylvain; Zelgowski, Julien; Pfeiffer, Pierre; Mermet, Frédéric; Fontaine, Joël

    2017-10-01

    The etching of semiconductors and metals by a photonic jet (PJ) generated with a shaped optical fiber tip is studied. Etched marks with a diameter of 1 μm have been realized on silicon, stainless steel and titanium with a 35 kHz pulsed laser, emitting 100 ns pulses at 1064 nm. The selection criteria of the fiber and its tip are discussed. We show that a 100/140 silica fiber is a good compromise which takes into account the injection, the working distance and the energy coupled in the higher-order modes. The energy balance is performed on the basis of the known ablation threshold of the material. Finally, the dependence between the etching depth and the number of pulses is studied. Saturation is observed probably due to a redeposition of the etched material, showing that a higher pulse energy is required for deeper etchings.

  20. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    Science.gov (United States)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  1. Fabrication of functional structures on thin silicon nitride membranes

    NARCIS (Netherlands)

    Ekkels, P.; Tjerkstra, R.W.; Krijnen, Gijsbertus J.M.; Berenschot, Johan W.; Brugger, J.P.; Elwenspoek, Michael Curt

    A process to fabricate functional polysilicon structures above large (4×4 mm2) thin (200 nm), very flat LPCVD silicon rich nitride membranes was developed. Key features of this fabrication process are the use of low-stress LPCVD silicon nitride, sacrificial layer etching, and minimization of

  2. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  3. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  4. Silicon microfabricated beam expander

    International Nuclear Information System (INIS)

    Othman, A.; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A.; Ain, M. F.

    2015-01-01

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed

  5. Silicon microfabricated beam expander

    Energy Technology Data Exchange (ETDEWEB)

    Othman, A., E-mail: aliman@ppinang.uitm.edu.my; Ibrahim, M. N.; Hamzah, I. H.; Sulaiman, A. A. [Faculty of Electrical Engineering, Universiti Teknologi MARA Malaysia, 40450, Shah Alam, Selangor (Malaysia); Ain, M. F. [School of Electrical and Electronic Engineering, Engineering Campus, Universiti Sains Malaysia, Seri Ampangan, 14300,Nibong Tebal, Pulau Pinang (Malaysia)

    2015-03-30

    The feasibility design and development methods of silicon microfabricated beam expander are described. Silicon bulk micromachining fabrication technology is used in producing features of the structure. A high-precision complex 3-D shape of the expander can be formed by exploiting the predictable anisotropic wet etching characteristics of single-crystal silicon in aqueous Potassium-Hydroxide (KOH) solution. The beam-expander consist of two elements, a micromachined silicon reflector chamber and micro-Fresnel zone plate. The micro-Fresnel element is patterned using lithographic methods. The reflector chamber element has a depth of 40 µm, a diameter of 15 mm and gold-coated surfaces. The impact on the depth, diameter of the chamber and absorption for improved performance are discussed.

  6. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  7. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2010-01-01

    The effect of low power density of ∼5 μWcm - 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Tow peak PL red and green is resolved in PS samples etched under blue-green wavelength illumination; 480,533 and 580 nm. It is found that the weight of green PL has maxima for the sample illuminated with 533 nm wavelength whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit green PL band, but shows considerable enhancement in the red PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS. (author)

  8. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    International Nuclear Information System (INIS)

    Naddaf, M.; Hamadeh, H.

    2009-01-01

    The effect of low power density of ∼ 5 μW/cm 2 monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  9. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  10. Surface wave photonic device based on porous silicon multilayers

    International Nuclear Information System (INIS)

    Guillermain, E.; Lysenko, V.; Benyattou, T.

    2006-01-01

    Porous silicon is widely studied in the field of photonics due to its interesting optical properties. In this work, we present theoretical and first experimental studies of a new kind of porous silicon photonic device based on optical surface wave. A theoretical analysis of the device is presented using plane-wave approximation. The porous silicon multilayered structures are realized using electrochemical etching of p + -type silicon. Morphological and optical characterizations of the realized structures are reported

  11. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Yuang-Tung Cheng

    2010-01-01

    Full Text Available The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD. The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been discussed in this research. Using our optimal acid etching solution ratio, we are able to fabricate mc-Si solar cells of 16.34% conversion efficiency with double layers silicon nitride (Si3N4 coating. From our experiment, we find that depositing double layers silicon nitride coating on mc-Si solar cells can get the optimal performance parameters. Open circuit (Voc is 616 mV, short circuit current (Jsc is 34.1 mA/cm2, and minority carrier diffusion length is 474.16 μm. The isotropic texturing and silicon nitride layers coating approach contribute to lowering cost and achieving high efficiency in mass production.

  12. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  13. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  14. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  15. Morphological and optical properties of n-type porous silicon: effect ...

    Indian Academy of Sciences (India)

    Method of PS fabrication is by photo-assisted electrochemical etching with different etching current densities ... Observation of room temperature visible photoluminescence (PL) [4,5] in PS has ... are pre-treated with HF and ethanol in an ultrasonic bath for 5 min to remove any native oxide present on the silicon surface [16].

  16. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    Science.gov (United States)

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  17. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  18. Porous silicon nanoparticles for target drag delivery: structure and morphology

    International Nuclear Information System (INIS)

    Spivak, Yu M; Belorus, A O; Somov, P A; Bespalova, K A; Moshnikov, V A; Tulenin, S S

    2015-01-01

    Nanoparticles of porous silicon were obtained by electrochemical anodic etching. Morphology and structure of the particles was investigated by means dynamic light scattering and scanning electron microscopy. The influence of technological conditions of preparation on geometrical parameters of the porous silicon particles (particle size distribution, pore shape and size, the specific surface area of the porous silicon) is discussed. (paper)

  19. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  20. All-(111) surface silicon nanowire field effect transistor devices: Effects of surface preparations

    NARCIS (Netherlands)

    Masood, M.N.; Carlen, Edwin; van den Berg, Albert

    2014-01-01

    Etching/hydrogen termination of All-(111) surface silicon nanowire field effect (SiNW-FET) devices developed by conventional photolithography and plane dependent wet etchings is studied with X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM) and

  1. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  2. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  3. Analysis of etchants behavior on the electrochemical etching amplification of fast-neutron-induced recoil particle tracks in polycarbonate

    International Nuclear Information System (INIS)

    Masnadi Shirazi Nezhad, K.

    1979-08-01

    The composition, concentration, and temperature of etchant are important parameters controlling electrochemical etching (ECE) amplification of charged particle tracks in polymers. These parameters were further studied for sohralir polycarbonate neutron dosimeter (Sohrabi 1974), using potassium hydroxide (KOH) and sodium hydroxide (NaOH) solutions, and a mixture of potassium hydroxide, ethanol, and water (PEW solution), at different concentrations applying a field strength of 32KV/cm at 2KHz frequency using 250 μ thick polycarbonate exposed to fast neutrons. The recoal track density per rad of neutrons, in general, was found to increase by increasing the etchant concentration reaching a semi-platean after which it increases again. This increase is up to a concentration at which a track removing process occurs and no tracks have been amplified anymore. This track removing process occurred at about 11 normality in both KOH (50% by weight) and NaOH (30% by weight) solution at 25degC. The mean track diameter, in general, passed through a cyclic variation having a maximun and a minimum. For NaOH solution, the track removing process occurred at the minimum point. In the three regions of the track diameter curve the tracks appear in different shapes especially in KOH solution. The PEW solution at its optimum conditions was more effective in terms of both sensitivity, track diameter and a shorter period of etching. The chemical mechanism of etching process may be explained to be a ''saponification'' process. These studies further support the adequacy of Sohrabi dosimeter for routing health physics and radiation research applications. The above parameters are further discussed and the results as well as the advantages and disadvantages of the above etchants are given. (author)

  4. Magnetic Induction Machines Embedded in Fusion-Bonded Silicon

    National Research Council Canada - National Science Library

    Arnold, David P; Cros, Florent; Zana, Iulica; Allen, Mark G; Das, Sauparna; Lang, Jeffrey H

    2004-01-01

    ...) within etched and fusion-bonded silicon to form the machine structure. The induction machines were characterized in motoring mode using tethered rotors, and exhibited a maximum measured torque...

  5. Photoluminescence Enhancement of Silole-Capped Silicon Quantum Dots Based on Förster Resonance Energy Transfer.

    Science.gov (United States)

    Kim, Seongwoong; Kim, Sungsoo; Ko, Young Chun; Sohn, Honglae

    2015-07-01

    Photoluminescent porous silicon were prepared by an electrochemical etch of n-type silicon under the illumination with a 300 W tungsten filament bulb for the duration of etch. The red photoluminescence emitting at 650 nm with an excitation wavelength of 450 nm is due to the quantum confinement of silicon quantum dots in porous silicon. HO-terminated red luminescent PS was obtained by an electrochemical treatment of fresh PS with the current of 150 mA for 60 seconds in water and sodium chloride. As-prepared PS was sonicated, fractured, and centrifuged in toluene solution to obtain photoluminescence silicon quantum dots. Dichlorotetraphenylsilole exhibiting an emission band at 520 nm was reacted with HO-terminated silicon quantum dots to give a silole-capped silicon quantum dots. The optical characterization of silole-derivatized silicon quantum dots was investigated by UV-vis and fluorescence spectrometer. The fluorescence emission efficiency of silole-capped silicon quantum dots was increased by about 2.5 times due to F6rster resonance energy transfer from silole moiety to silicon quantum dots.

  6. Combined up conversion, down conversion and down shifting photo-luminescence of low cost erbium-ytterbium co-doped porous silicon produced by stain etching

    Energy Technology Data Exchange (ETDEWEB)

    Diaz-Herrera, B. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Linsun Power Technology (Quanzhou) Corp. Ltd. Co., Economic Development Zone, Jinjiang 362200, Fujian (China); Jimenez-Rodriguez, E. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Gonzalez-Diaz, B. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Instituto Tecnologico y de Energias Renovables, S.A. (ITER), Poligono Industrial de Granadilla, S/N, E38600, Granadilla de Abona (Spain); Montesdeoca-Santana, A. [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Velazquez, J.J. [Departamento de Fisica Fundamental y Experimental, Electronica y Sistemas, Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Guerrero-Lemus, R., E-mail: rglemus@ull.es [Departamento de Fisica Basica, Universidad de La Laguna (ULL), Avenida Astrofisico Francisco Sanchez, 2, 38206 La Laguna, S/C de Tenerife (Spain); Fundacion de Estudios de Economia Aplicada, Programa Focus-Abengoa de Energia y Cambio Climaticoi, Jorge Juan 46, 28001 Madrid (Spain)

    2011-07-01

    In this work, erbium and ytterbium have been incorporated into luminescent porous silicon (PS) layers by simple impregnation of the PS substrate with a saturated nitrate solution of erbium and ytterbium. The photoluminescence of the co-doped rare earth layers have been evaluated. The doping process has been designed for its potential in silicon-based solar cell production, with the aim to improve the Shockley-Queisser limit with a reasonable cost effective method for the industry, which implies a significant enhancement of the efficiency under non-concentrated sunlight irradiation. The temperature and annealing time of the doping process were selected according to industry standards in order to ease a trial adoption. The composition was analyzed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy in order to characterize the doping profile. Different up-conversion and down-conversion contributions from the rare earths in the visible and IR were detected, together with the down shifting effect of the stain etched PS. There is no evidence of energy transference between the PS matrix and the rare earths.

  7. Combined up conversion, down conversion and down shifting photo-luminescence of low cost erbium-ytterbium co-doped porous silicon produced by stain etching

    International Nuclear Information System (INIS)

    Diaz-Herrera, B.; Jimenez-Rodriguez, E.; Gonzalez-Diaz, B.; Montesdeoca-Santana, A.; Velazquez, J.J.; Guerrero-Lemus, R.

    2011-01-01

    In this work, erbium and ytterbium have been incorporated into luminescent porous silicon (PS) layers by simple impregnation of the PS substrate with a saturated nitrate solution of erbium and ytterbium. The photoluminescence of the co-doped rare earth layers have been evaluated. The doping process has been designed for its potential in silicon-based solar cell production, with the aim to improve the Shockley-Queisser limit with a reasonable cost effective method for the industry, which implies a significant enhancement of the efficiency under non-concentrated sunlight irradiation. The temperature and annealing time of the doping process were selected according to industry standards in order to ease a trial adoption. The composition was analyzed by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy in order to characterize the doping profile. Different up-conversion and down-conversion contributions from the rare earths in the visible and IR were detected, together with the down shifting effect of the stain etched PS. There is no evidence of energy transference between the PS matrix and the rare earths.

  8. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  9. Silicon nitride nanosieve membrane

    NARCIS (Netherlands)

    Tong, D.H.; Jansen, Henricus V.; Gadgil, V.J.; Bostan, C.G.; Berenschot, Johan W.; van Rijn, C.J.M.; Elwenspoek, Michael Curt

    2004-01-01

    An array of very uniform cylindrical nanopores with a pore diameter as small as 25 nm has been fabricated in an ultrathin micromachined silicon nitride membrane using focused ion beam (FIB) etching. The pore size of this nanosieve membrane was further reduced to below 10 nm by coating it with

  10. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  11. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  12. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  13. Effect of chlorhexidine on the shear bond strength of self-etch ...

    African Journals Online (AJOL)

    The aim of this study was to investigate the effect of chlorhexidine on shear bond strength of self-etch adhesives to dentin. The crowns of 60 sound human premolars were horizontally sectioned to expose the coronal dentin. Dentin surfaces were polished with 320 grit silicon carbide papers, and were randomly divided into 4 ...

  14. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  15. Porous silicon carbide (SIC) semiconductor device

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  16. A study of thermo-mechanical stress and its impact on through-silicon vias

    International Nuclear Information System (INIS)

    Ranganathan, N; Balasubramanian, N; Prasad, K; Pey, K L

    2008-01-01

    The BOSCH etch process, which is commonly used in microelectromechanical system fabrication, has been extensively investigated in this work for implementation in through-silicon via (TSV) technology for 3D-microsystems packaging. The present work focuses on thermo-mechanical stresses caused by thermal loading due to post-TSV processes and their impact on the electrical performance of through-silicon copper interconnects. A test vehicle with deep silicon copper-plated comb structure was designed to study and evaluate different deep silicon via etch processes and its effect on the electrical leakage characteristics under various electrical and thermal stress conditions. It has been shown that the leakage current between the comb interconnect structures increases with an increase in sidewall roughness and that it can be significantly lowered by smoothening the sidewalls. It was also shown that by tailoring a non-BOSCH etch process with the normal BOSCH process, a similar leakage current reduction can be achieved. It was also shown through thermo-mechanical simulation studies that there is a clear correlation between high leakage current behavior due to non-uniform Ta barrier deposition over the rough sidewalls and the thermo-mechanical stress induced by post-TSV processes

  17. High Aspect Ratio Sub-15 nm Silicon Trenches From Block Copolymer Templates

    Science.gov (United States)

    Gu, Xiaodan; Liu, Zuwei; Gunkel, Ilja; Olynick, Deirdre; Russell, Thomas; University of Massachusetts Amherst Collaboration; Oxford Instrument Collaboration; Lawrence Berkeley National Lab Collaboration

    2013-03-01

    High-aspect-ratio sub-15 nm silicon trenches are fabricated directly from plasma etching of a block copolymer (BCP) mask. Polystyrene-b-poly(2-vinyl pyridine) (PS-b-P2VP) 40k-b-18k was spin coated and solvent annealed to form cylindrical structures parallel to the silicon substrate. The BCP thin film was reconstructed by immersion in ethanol and then subjected to an oxygen and argon reactive ion etching to fabricate the polymer mask. A low temperature ion coupled plasma with sulfur hexafluoride and oxygen was used to pattern transfer block copolymer structure to silicon with high selectivity (8:1) and fidelity. The silicon pattern was characterized by scanning electron microscopy and grazing incidence x-ray scattering. We also demonstrated fabrication of silicon nano-holes using polystyrene-b-polyethylene oxide (PS-b-PEO) using same methodology described above for PS-b-P2VP. Finally, we show such silicon nano-strucutre serves as excellent nano-imprint master template to pattern various functional materials like poly 3-hexylthiophene (P3HT).

  18. One-step synthesis of lightly doped porous silicon nanowires in HF/AgNO3/H2O2 solution at room temperature

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Song, Dandan; Yu, Hang; Jiang, Bing; Li, Yingfeng

    2012-01-01

    One-step synthesis of lightly doped porous silicon nanowire arrays was achieved by etching the silicon wafer in HF/AgNO 3 /H 2 O 2 solution at room temperature. The lightly doped porous silicon nanowires (pNWs) have circular nanopores on the sidewall, which can emit strong green fluorescence. The surface morphologies of these nanowires could be controlled by simply adjusting the concentration of H 2 O 2 , which influences the distribution of silver nanoparticles (Ag NPs) along the nanowire axis. A mechanism based on Ag NPs-induced lateral etching of nanowires was proposed to explain the formation of pNWs. The controllable and widely applicable synthesis of pNWs will open their potential application to nanoscale photoluminescence devices. - Graphical abstract: The one-step synthesis of porous silicon nanowire arrays is achieved by chemical etching of the lightly doped p-type Si (100) wafer at room temperature. These nanowires exhibit strong green photoluminescence. SEM, TEM, HRTEM and photoluminescence images of pNWs. The scale bars of SEM, TEM HRTEM and photoluminescence are 10 μm, 20 nm, 10 nm, and 1 μm, respectively. Highlights: ► Simple one-step synthesis of lightly doped porous silicon nanowire arrays is achieved at RT. ► Etching process and mechanism are illustrated with etching model from a novel standpoint. ► As-prepared porous silicon nanowire emits strong green fluorescence, proving unique property.

  19. Synthesis of Novel Reactive Disperse Silicon-Containing Dyes and Their Coloring Properties on Silicone Rubbers

    Directory of Open Access Journals (Sweden)

    Ning Yu

    2018-01-01

    Full Text Available Novel red and purple reactive disperse silicon-containing dyes were designed and synthesized using p-nitroaniline and 6-bromo-2,4-dinitro-aniline as diazonium components, the first condensation product of cyanuric chloride and 3-(N,N-diethylamino-aniline as coupling component, and 3-aminopropylmethoxydimethylsilane, 3-aminopropylmethyldimethoxysilane, and 3-aminopropyltrimethoxysilane as silicone reactive agents. These dyes were characterized by UV-Vis, 1H-NMR, FT-IR, and MS. The obtained reactive disperse silicon-containing dyes were used to color silicone rubbers and the color fastness of the dyes were evaluated. The dry/wet rubbing and washing fastnesses of these dyes all reached 4–5 grade and the sublimation fastness was also above 4 grade, indicating outstanding performance in terms of color fastness. Such colored silicone rubbers showed bright and rich colors without affecting its static mechanical properties.

  20. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  1. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  2. Fast timing readout for silicon strip detectors

    International Nuclear Information System (INIS)

    Jhingan, A.; Saneesh, N.; Kumar, M.

    2016-01-01

    The development and performance of a 16 channel hybrid fast timing amplifier (FTA), for extracting timing information from silicon strip detectors (SSD), is described. The FTA will be used in a time of flight (TOF) measurement, in which one SSD is used to obtain the ion velocity (A) as well as the energy information of a scattered particle. The TOF information with a thin transmission SSD, acting as ΔE detector (Z) in a detector telescope, will provide a unique detection system for the identification of reaction products in the slowed down beam campaign of low energy branch (LEB) at NUSTAR-FAIR. Such a system will also provide large solid angle coverage with ~ 100% detection efficiency, and adequate segmentation for angular information. A good timing resolution (≤ 100 ps) enables to have shorter flight paths, thus a closely packed 4π array should be feasible. Preamplifiers for energy readout in SSD are easily available. A major constraint with SSDs is the missing high density multichannel preamplifiers which can provide both fast timing as well as energy. Provision of both timing and energy processing, generally makes circuit bulky, with higher power consumption, which may not be suitable in SSD arrays. In case of DSSSD, the problem was overcome by using timing from one side and energy from the other side. A custom designed 16 channel FTA has been developed for DSSSD design W from Micron Semiconductors, UK

  3. Size Control of Porous Silicon-Based Nanoparticles via Pore-Wall Thinning.

    Science.gov (United States)

    Secret, Emilie; Leonard, Camille; Kelly, Stefan J; Uhl, Amanda; Cozzan, Clayton; Andrew, Jennifer S

    2016-02-02

    Photoluminescent silicon nanocrystals are very attractive for biomedical and electronic applications. Here a new process is presented to synthesize photoluminescent silicon nanocrystals with diameters smaller than 6 nm from a porous silicon template. These nanoparticles are formed using a pore-wall thinning approach, where the as-etched porous silicon layer is partially oxidized to silica, which is dissolved by a hydrofluoric acid solution, decreasing the pore-wall thickness. This decrease in pore-wall thickness leads to a corresponding decrease in the size of the nanocrystals that make up the pore walls, resulting in the formation of smaller nanoparticles during sonication of the porous silicon. Particle diameters were measured using dynamic light scattering, and these values were compared with the nanocrystallite size within the pore wall as determined from X-ray diffraction. Additionally, an increase in the quantum confinement effect is observed for these particles through an increase in the photoluminescence intensity of the nanoparticles compared with the as-etched nanoparticles, without the need for a further activation step by oxidation after synthesis.

  4. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  5. Simulation of silicon microdosimetry spectra in fast neutron therapy using the GEANT4 Monte Carlo toolkit

    International Nuclear Information System (INIS)

    Cornelius, I.M.; Rosenfeld, A.B.

    2003-01-01

    Microdosimetry is used to predict the biological effects of the densely ionizing radiation environments of hadron therapy and space. The creation of a solid state microdosimeter to replace the conventional Tissue Equivalent Proportional Counter (TEPC) is a topic of ongoing research. The Centre for Medical Radiation Physics has been investigating a technique using microscopic arrays of reverse biased PN junctions. A prototype silicon-on-insulator (SOI) microdosimeter was developed and preliminary measurements have been conducted at several hadron therapy facilities. Several factors impede the application of silicon microdosimeters to hadron therapy. One of the major limitations is that of tissue equivalence, ideally the silicon microdosimeter should provide a microdosimetry distribution identical to that of a microscopic volume of tissue. For microdosimetry in neutron fields, such as Fast Neutron Therapy, it is important that products resulting from neutron interactions in the non tissue equivalent sensitive volume do not contribute significantly to the spectrum. Experimental measurements have been conducted at the Gershenson Radiation Oncology Center, Harper Hospital, Detroit by Bradley et al. The aim was to provide a comparison with measurements performed with a TEPC under identical experimental conditions. Monte Carlo based calculations of these measurements were made using the GEANT4 Monte Carlo toolkit. Agreement between experimental and theoretical results was observed. The model illustrated the importance of neutron interactions in the non tissue equivalent sensitive volume and showed this effect to decrease with sensitive volume size as expected. Simulations were also performed for 1 micron cubic silicon sensitive volumes embedded in tissue equivalent material to predict the best case scenario for silicon microdosimetry in Fast Neutron Therapy

  6. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    Science.gov (United States)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  7. Visible luminescence in photo-electrochemically etched p-type porous silicon: Effect of illumination wavelength

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Hamadeh, H., E-mail: scientific@aec.org.sy [Department of Physics, Atomic Energy Commission of Syria (AECS), P.O. Box 6091 Damascus (Syrian Arab Republic)

    2009-08-31

    The effect of low power density of {approx} 5 {mu}W/cm{sup 2} monochromatic light of different wavelengths on the visible photoluminescence (PL) properties of photo-electrochemically formed p-type porous silicon (PS) has been investigated. Two-peak PL 'red' and 'green' is resolved in PS samples etched under blue-green wavelength illumination; 480, 533 and 580 nm. It is found that the weight of 'green' PL has maxima for the sample illuminated with 533 nm wavelength. Whereas, PL spectra of PS prepared under the influence of red illumination or in dark does not exhibit 'green' PL band, but shows considerable enhancement in the 'red' PL peak intensity. Fourier transform infrared (FTIR) spectroscopic analysis reveals the relationship between the structures of chemical bonding in PS and the observed PL behavior. In particular, the PL efficiency is highly affected by the alteration of the relative content of hydride, oxide and hydroxyl species. Moreover, relative content of hydroxyl group with respect to oxide bonding is seen to have strong relationship to the blue PL. Although, the estimated energy gap value of PS samples shows a considerable enlargement with respect to that of bulk c-Si, the FTIR, low temperature PL and Raman measurements and analysis have inconsistency with quantum confinement of PS.

  8. Nanodiamond infiltration into porous silicon through etching of solid carbon produced at different graphitization temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, C. R. B., E-mail: claudia_rbm@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais-INPE, Centro de Ciencias do Sistema Terrestre-CCST, Centro de Ciencias do Sistema Terrestre-CCST (Brazil); Baldan, M. R.; Beloto, A. F.; Ferreira, N. G. [CTE/INPE, Centro de Tecnologias Espaciais (Brazil)

    2011-09-15

    Nanocrystalline diamond (NCD) was grown on the porous silicon (PS) substrate using Reticulated Vitreous Carbon (RVC) as an additional solid carbon source. RVC was produced at different heat treatment temperatures of 1300, 1500, and 2000 Degree-Sign C, resulting in samples with different turbostratic carbon organizations. The PS substrate was produced by an electrochemical method. NCD film was obtained by the chemical vapor infiltration/deposition process where a RVC piece was positioned just below the PS substrate. The PS and NCD samples were characterized by Field Emission Gun-Scanning Electron Microscopy (FEG-SEM). NCD films presented faceted nanograins with uniform surface texture covering all the pores resulting in an apparent micro honeycomb structure. Raman's spectra showed the D and G bands, as well as, the typical two shoulders at 1,150 and 1,490 cm{sup -1} attributed to NCD. X-ray diffraction analyses showed the predominant (111) diamond orientation as well as the (220) and (311) peaks. The structural organization and the heteroatom presence on the RVC surface, analyzed from X-ray photoelectron spectroscopy, showed their significant influence on the NCD growth process. The hydrogen etching released, from RVC surface, associated to carbon and/or oxygen/nitrogen amounts led to different contributions for NCD growth.

  9. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  10. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  11. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  12. Investigation of Low-Cost Surface Processing Techniques for Large-Size Multicrystalline Silicon Solar Cells

    OpenAIRE

    Cheng, Yuang-Tung; Ho, Jyh-Jier; Lee, William J.; Tsai, Song-Yeu; Lu, Yung-An; Liou, Jia-Jhe; Chang, Shun-Hsyung; Wang, Kang L.

    2010-01-01

    The subject of the present work is to develop a simple and effective method of enhancing conversion efficiency in large-size solar cells using multicrystalline silicon (mc-Si) wafer. In this work, industrial-type mc-Si solar cells with area of 125×125 mm2 were acid etched to produce simultaneously POCl3 emitters and silicon nitride deposition by plasma-enhanced chemical vapor deposited (PECVD). The study of surface morphology and reflectivity of different mc-Si etched surfaces has also been d...

  13. Optoelectronic enhancement of monocrystalline silicon solar cells by porous silicon-assisted mechanical grooving

    Energy Technology Data Exchange (ETDEWEB)

    Ben Rabha, Mohamed; Mohamed, Seifeddine Belhadj; Dimassi, Wissem; Gaidi, Mounir; Ezzaouia, Hatem; Bessais, Brahim [Laboratoire de Photovoltaique, Centre de Recherches et des Technologies de l' Energie, Technopole de Borj-Cedria, BP 95, 2050 Hammam-Lif (Tunisia)

    2011-03-15

    One of the most important factors influencing silicon solar cells performances is the front side reflectivity. Consequently, new methods for efficient reduction of this reflectivity are searched. This has always been done by creating a rough surface that enables incident light of being absorbed within the solar cell. Combination of texturization-porous silicon surface treatment was found to be an attractive technical solution for lowering the reflectivity of monocrystalline silicon (c-Si). The texturization of the monocrystalline silicon wafer was carried out by means of mechanical grooving. A specific etching procedure was then applied to form a thin porous silicon layer enabling to remove mechanical damages. This simple and low cost method reduces the total reflectivity from 29% to 7% in the 300 - 950 nm wavelength range and enhances the diffusion length of the minority carriers from 100 {mu}m to 790 {mu}m (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Silicon microphotonic waveguides

    International Nuclear Information System (INIS)

    Ta'eed, V.; Steel, M.J.; Grillet, C.; Eggleton, B.; Du, J.; Glasscock, J.; Savvides, N.

    2004-01-01

    Full text: Silicon microphotonic devices have been drawing increasing attention in the past few years. The high index-difference between silicon and its oxide (Δn = 2) suggests a potential for high-density integration of optical functions on to a photonic chip. Additionally, it has been shown that silicon exhibits strong Raman nonlinearity, a necessary property as light interaction can occur only by means of nonlinearities in the propagation medium. The small dimensions of silicon waveguides require the design of efficient tapers to couple light to them. We have used the beam propagation method (RSoft BeamPROP) to understand the principles and design of an inverse-taper mode-converter as implemented in several recent papers. We report on progress in the design and fabrication of silicon-based waveguides. Preliminary work has been conducted by patterning silicon-on-insulator (SOI) wafers using optical lithography and reactive ion etching. Thus far, only rib waveguides have been designed, as single-mode ridge-waveguides are beyond the capabilities of conventional optical lithography. We have recently moved to electron beam lithography as the higher resolutions permitted will provide the flexibility to begin fabricating sub-micron waveguides

  15. Fabrication and Characterization of Silicon Micro-Funnels and Tapered Micro-Channels for Stochastic Sensing Applications

    Directory of Open Access Journals (Sweden)

    Frances S. Ligler

    2008-06-01

    Full Text Available We present a simplified, highly reproducible process to fabricate arrays of tapered silicon micro-funnels and micro-channels using a single lithographic step with a silicon oxide (SiO2 hard mask on at a wafer scale. Two approaches were used for the fabrication. The first one involves a single wet anisotropic etch step in concentrated potassium hydroxide (KOH and the second one is a combined approach comprising Deep Reactive Ion Etch (DRIE followed by wet anisotropic etching. The etching is performed through a 500 mm thick silicon wafer, and the resulting structures are characterized by sharp tapered ends with a sub-micron cross-sectional area at the tip. We discuss the influence of various parameters involved in the fabrication such as the size and thickness variability of the substrate, dry and wet anisotropic etching conditions, the etchant composition, temperature, diffusion and micro-masking effects, the quality of the hard mask in the uniformity and reproducibility of the structures, and the importance of a complete removal of debris and precipitates. The presence of apertures at the tip of the structures is corroborated through current voltage measurements and by the translocation of DNA through the apertures. The relevance of the results obtained in this report is discussed in terms of the potential use of these structures for stochastic sensing.

  16. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  17. Fabrication and Modification of Nanoporous Silicon Particles

    Science.gov (United States)

    Ferrari, Mauro; Liu, Xuewu

    2010-01-01

    Silicon-based nanoporous particles as biodegradable drug carriers are advantageous in permeation, controlled release, and targeting. The use of biodegradable nanoporous silicon and silicon dioxide, with proper surface treatments, allows sustained drug release within the target site over a period of days, or even weeks, due to selective surface coating. A variety of surface treatment protocols are available for silicon-based particles to be stabilized, functionalized, or modified as required. Coated polyethylene glycol (PEG) chains showed the effective depression of both plasma protein adsorption and cell attachment to the modified surfaces, as well as the advantage of long circulating. Porous silicon particles are micromachined by lithography. Compared to the synthesis route of the nanomaterials, the advantages include: (1) the capability to make different shapes, not only spherical particles but also square, rectangular, or ellipse cross sections, etc.; (2) the capability for very precise dimension control; (3) the capacity for porosity and pore profile control; and (4) allowance of complex surface modification. The particle patterns as small as 60 nm can be fabricated using the state-of-the-art photolithography. The pores in silicon can be fabricated by exposing the silicon in an HF/ethanol solution and then subjecting the pores to an electrical current. The size and shape of the pores inside silicon can be adjusted by the doping of the silicon, electrical current application, the composition of the electrolyte solution, and etching time. The surface of the silicon particles can be modified by many means to provide targeted delivery and on-site permanence for extended release. Multiple active agents can be co-loaded into the particles. Because the surface modification of particles can be done on wafers before the mechanical release, asymmetrical surface modification is feasible. Starting from silicon wafers, a treatment, such as KOH dipping or reactive ion

  18. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  19. Growth of nanocrystalline silicon thin film with layer-by-layer technique for fast photo-detecting applications

    International Nuclear Information System (INIS)

    Lin, C.-Y.; Fang, Y.-K.; Chen, S.-F.; Lin, P.-C.; Lin, C.-S.; Chou, T.-H; Hwang, J.S.; Lin, K.I.

    2006-01-01

    High mobility nanocrystalline silicon (nc-Si) films with layer-by-layer technique for fast photo-detecting applications were studied. The structure and morphology of films were studied by means of XRD, micro-Raman scattering, SEM and AFM. The Hall mobility and absorption properties have been investigated and found they were seriously affected by the number of layers in growing, i.e., with increasing of layer number, Hall mobility increased but absorption coefficient decreased. The optimum layer number of nc-Si films for fast near-IR photo-detecting is 7 with film thickness of 1400 nm, while that for fast visible photo-detecting is 17 with film thickness of 3400 nm

  20. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  1. Ultra-low reflection porous silicon nanowires for solar cell applications

    KAUST Repository

    Najar, Adel

    2012-01-01

    High density vertically aligned Porous Silicon NanoWires (PSiNWs) were fabricated on silicon substrate using metal assisted chemical etching process. A linear dependency of nanowire length to the etching time was obtained and the change in the growth rate of PSiNWs by increasing etching durations was shown. A typical 2D bright-field TEM image used for volume reconstruction of the sample shows the pores size varying from 10 to 50 nm. Furthermore, reflectivity measurements show that the 35% reflectivity of the starting silicon wafer drops to 0.1% recorded for more than 10 μm long PSiNWs. Models based on cone shape of nanowires located in a circular and rectangular bases were used to calculate the reflectance employing the Transfert Matrix Formalism (TMF) of the PSiNWs layer. Using TMF, the Bruggeman model was used to calculate the refractive index of PSiNWs layer. The calculated reflectance using circular cone shape fits better the measured reflectance for PSiNWs. The remarkable decrease in optical reflectivity indicates that PSiNWs is a good antireflective layer and have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection. ©2012 Optical Society of America.

  2. Study of silicon photomultipliers fast amplifier and thermoregulation

    International Nuclear Information System (INIS)

    D'antone, I.; Fabbri, L.; Foschi, E.; Guandalini, C.; Laurenti, G.; Lax, I.; Levi, G.; Quadrani, L.; Sbarra, Ca.; Sbarra, Cr.; Villa, M.; Zoccoli, A.; Zuffa, M.

    2011-01-01

    The silicon photomultipliers (SiPM) are adopted in various physical applications, from medical physics to astrophysics, for their advantages in terms of cost and weight with respect to traditional photo detectors. Their low bias voltage supply (about 30 V), hardiness and resistance to magnetic field are ideal characteristics for space application. In the frame of INFN-Irst collaboration, some of them have been developed and produced at FBK (Trento-Italy), and have been characterized in the INFN laboratories of Bologna (DaSiPM2 collaboration). The SiPM can be used in conjunction with fibres and counters in high energy physics experiments. To exploit the SiPM time resolution, a fast amplifier has been studied. The SiPM gain depends critically on temperature and a thermal stabilization is also necessary. The use of a thermoelectric cooler module based on a Peltier cell has been investigated, and the results are shown.

  3. Electrochemical characteristics of bundle-type silicon nanorods as an anode material for lithium ion batteries

    International Nuclear Information System (INIS)

    Nguyen, Si Hieu; Lim, Jong Choo; Lee, Joong Kee

    2012-01-01

    Highlights: ► A metal-assisted chemical etching technique was performed on Si thin films. ► The etching process resulted in the formation of bundle-type Si nanorods. ► The morphology of Si electrodes closely relate to electrochemical characteristics. - Abstract: In order to prepare bundle-type silicon nanorods, a silver-assisted chemical etching technique was used to modify a 1.6 μm silicon thin film, which was deposited on Cu foil by Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition. The bundle-type silicon nanorods on Cu foil were employed as anodes for a lithium secondary battery, without further treatment. The electrochemical characteristics of the pristine silicon thin film anodes and the bundle-type silicon nanorod anodes are different from one another. The electrochemical performance of the bundle-type silicon nanorod anodes exceeded that of the pristine Si thin film anodes. The specific capacity of the bundle-type silicon nanorod anodes is much higher than 3000 mAh g −1 at the first charge (Li insertion) cycle. The coulombic efficiency of bundle-type silicon anodes was stable at more than 97%, and the charge capacity remained at 1420 mAh g −1 , even after 100 cycles of charging and discharging. The results from the differential voltage analysis showed a side reaction at around 0.44–0.5 V, and the specific potential of this side reaction decreased after each cycle. The apparent diffusion coefficients of the two anode types were in the range of 10 −13 –10 −16 cm 2 s −1 in the first cycle. In subsequent charge cycles, these values for the silicon thin film anodes and the silicon nanorod bundle anode were approximately 10 −12 –10 −14 and 10 −13 –10 −15 cm 2 s −1 , respectively.

  4. Porous silicon localization for implementation in matrix biosensors

    International Nuclear Information System (INIS)

    Benilov, A.; Cabrera, M.; Skryshevsky, V.; Martin, J.-R.

    2007-01-01

    The search of appropriate substrates and methods of surface DNA functionalisation is one of the important tasks of semiconductor biosensors. In this work we develop a method of light-assisted porous silicon etching in order to localize porous silicon spots on silicon substrate for matrix fluorophore-labeled DNA sensors implementation. The principal difference of porous spots localization proposed is considered for n- and p-type Si substrates under the condition of supplementary illumination. The tuning of the porous profile via applying of lateral electric field is proposed and experimentally proved

  5. Black silicon with black bus-bar strings

    DEFF Research Database (Denmark)

    Davidsen, Rasmus Schmidt; Tang, Peter Torben; Mizushima, Io

    2016-01-01

    We present the combination of black silicon texturing and blackened bus-bar strings as a potential method for obtaining all-black solar panels, while using conventional, front-contacted solar cells. Black silicon was realized by mask-less reactive ion etching resulting in total, average reflectance...... below 0.5% across a 156x156 mm2 silicon wafer. Black bus-bars were realized by oxidized copper resulting in reflectance below 3% in the entire visible wavelength range. The combination of these two technologies may result in aesthetic, all-black panels based on conventional, front-contacted solar cells...

  6. Fabrication of a high sensitivity and fast response self-powered photosensor based on a core-shell silicon nanowire homojunction

    Science.gov (United States)

    Abdul-Hameed, Assel A.; Mahdi, M. A.; Ali, Basil; Selman, Abbas M.; Al-Taay, H. F.; Jennings, P.; Lee, Wen-Jen

    2018-04-01

    Core-shell self-powered SiNWs homojunction photosensors have been fabricated. SiNWs are prepared by a metal assisted chemical etching method using different HF/H2O2 ratios and etching times. The length of the p-SiNWs increased as the H2O2 concentration and etching time increased. All the grown SiNWs show very low (∼0.7%) optical reflectance for the wavelength range of 200-1100 nm. Photoluminescence spectra of all prepared SiNWs show sharp and broad emission bands located in the red region of the light spectrum. Core-shell homojunction photosensors were fabricated by spin coating P2O2 onto the surface of the prepared p-SiNWs and annealed at 900 °C for 1 h. The fabricated devices exhibited photovoltaic behavior and high photosensitivity with fast response speed to the visible light. However, the sample that was fabricated using HF/H2O2 ratio of 1:1 showed the highest photosensitivity value of 3578% while the photosensor prepared using 2:1 ratio of HF/H2O2 gave the faster rise and decay time.

  7. Bond efficacy and interface morphology of self-etching adhesives to ground enamel.

    Science.gov (United States)

    Abdalla, Ali I; El Zohairy, Ahmed A; Abdel Mohsen, Mohamed M; Feilzer, Albert J

    2010-02-01

    This study compared the microshear bond strengths to ground enamel of three one-step self-etching adhesive systems, a self-etching primer system and an etch-and-rinse adhesive system. Three self-etching adhesives, Futurabond DC (Voco), Clearfil S Tri Bond (Kuraray) and Hybrid bond (Sun-Medical), a self-etching primer, Clearfil SE Bond (Kuraray), and an etch-and-rinse system, Admira Bond (Voco), were selected. Thirty human molars were used. The root of each tooth was removed and the crown was sectioned into halves. The convex enamel surfaces were reduced by polishing on silicone paper to prepare a flat surface. The bonding systems were applied on this surface. Prior to adhesive curing, a hollow cylinder (2.0 mm height/0.75 mm internal diameter) was placed on the treated surfaces. A resin composite was then inserted into the tube and cured. After water storage for 24 h, the tube was removed and shear bond strength was determined in a universal testing machine at a crosshead speed of 0.5 mm/min. The results were analyzed with ANOVA and the Tukey.-Kramer test at a 59 degrees confidence level. The enamel of five additional teeth was ground, and the etching component of each adhesive was applied and removed with absolute ethanol instead of being light cured. These teeth and selected fractured surfaces were examined by SEM. Adhesion to ground enamel of the Futurabond DC (25 +/- 3.5 MPa) and Clearfil SE Bond (23 +/- 2.9 MPa) self-etching systems was not significantly different from the etch-and-rinse system Admira Bond (27 +/- 2.3 MPa). The two self-etching adhesives Clearfil S Tri bond and Hybrid Bond demonstrated significantly lower bond strengths (14 +/- 1.4 MPa; 11 +/- 1.9 MPa) with no significant differences between them (p adhesive systems are dependent on the type of adhesive system. Some of the new adhesive systems showed bond strength values comparable to that of etch-and-rinse systems. There was no correlation between bond strength and morphological changes in

  8. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  9. Fabrication of Silicon nanostructures by UHV-STM lithography in Self-Assembled Monolayers

    International Nuclear Information System (INIS)

    Sundermann, M.; Brechling, A.; Rott, K.; Meyners, D.; Kleineberg, U.; Heinzmann, U.; Knueller, A.; Eck, W.; Goelzhueuser, A.; Grunze, M.

    2002-01-01

    Our approach utilizes UHV-STM writing in Self-Assembled Monolayers (SAM). SAMs form highly-ordered ultrathin (∼2-3 nm) monomolecular layers on top of pre-activated Si(100) or Si(111) surfaces. After patterning by UHV-STM writing in constant-current mode at different write parameters (gap voltage, electron dose) the modified Self-Assembled Monolayer serves as an etch mask for an anisotropic wet etch transfer (two-step etch process in aqueous solutions of 5 % HF and 1 M KOH), of the write structure into the silicon substrate. The corresponding silicon nano-structures have been analyzed afterwards by AFM or SEM to characterize the pattern accuracy. We have studied the suitability of three different types of SAMs on silicon single-crystals. Alkyl-chain-type SAMs like Octadecylsilane (ODS) monolayer have been formed by immersion of hydroxylated Si(100) in Octadecyltrichlorosilane (CH 3 (CH 27 SiCl 3 ) while SAMs with aromatic spacer groups such as Hydroxybiphenyl (HBP, (C 6 H 6 ) 2 OH) and Ethoxybiphenyl silane (EBP, (C 6 H 6 ) 2 O(CH 2 ) 3 Si(OCH 3 ) 3 ) are formed on Si(111). (Authors)

  10. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  11. Anisotropic multi-spot DBR porous silicon chip for the detection of human immunoglobin G.

    Science.gov (United States)

    Cho, Bomin; Um, Sungyong; Sohn, Honglae

    2014-07-01

    Asymmetric porous silicon multilayer (APSM)-based optical biosensor was developed to specify human Immunoglobin G (Ig G). APSM chip was generated by an electrochemical etching of silicon wafer using an asymmetric electrode configuration in aqueous ethanolic HF solution and constituted with nine arrayed porous silicon multilayer. APSM prepared from anisotropic etching conditions displayed a sharp reflection resonance in the reflectivity spectrum. Each spot displayed single reflection resonance at different wavelengths as a function of the lateral distance from the Pt counter electrode. The sensor system was consisted of the 3 x 3 spot array of APSM modified with protein A. The system was probed with an aqueous human Ig G. Molecular binding and specificity was monitored as a shift in wavelength of reflection resonance.

  12. A novel 2D silicon nano-mold fabrication technique for linear nanochannels over a 4 inch diameter substrate

    Science.gov (United States)

    Yin, Zhifu; Qi, Liping; Zou, Helin; Sun, Lei

    2016-01-01

    A novel low-cost 2D silicon nano-mold fabrication technique was developed based on Cu inclined-deposition and Ar+ (argon ion) etching. With this technique, sub-100 nm 2D (two dimensional) nano-channels can be etched economically over the whole area of a 4 inch n-type  silicon wafer. The fabricating process consists of only 4 steps, UV (Ultraviolet) lithography, inclined Cu deposition, Ar+ sputter etching, and photoresist & Cu removing. During this nano-mold fabrication process, we investigated the influence of the deposition angle on the width of the nano-channels and the effect of Ar+ etching time on their depth. Post-etching measurements showed the accuracy of the nanochannels over the whole area: the variation in width is 10%, in depth it is 11%. However, post-etching measurements also showed the accuracy of the nanochannels between chips: the variation in width is 2%, in depth it is 5%. With this newly developed technology, low-cost and large scale 2D nano-molds can be fabricated, which allows commercial manufacturing of nano-components over large areas. PMID:26752559

  13. Formation of cross-cutting structures with different porosity on thick silicon wafers

    Directory of Open Access Journals (Sweden)

    Vera A. Yuzova

    2017-06-01

    The second type pass-through structures include a macroporous silicon layer with a thickness of 250 μm which interlock in the depth of the silicon wafer to form a cavity with a size of 4–8 μm. For the formation of the second type structures we only used the first one of the abovementioned stages, the etching time being longer, i.e. 210 min. All the etching procedures were carried out in a cooling chamber at 5 °C. The developed technology will provided for easier and more reliable formation of the monolithic structures of membrane-electrode assembly micro fuel cells.

  14. Fabrication of open-top microchannel plate using deep X-ray exposure mask made with silicon on insulator substrate

    CERN Document Server

    Fujimura, T; Etoh, S I; Hattori, R; Kuroki, Y; Chang, S S

    2003-01-01

    We propose a high-aspect-ratio open-top microchannel plate structure. This type of microchannel plate has many advantages in electrophoresis. The plate was fabricated by deep X-ray lithography using synchrotron radiation (SR) light and the chemical wet etching process. A deep X-ray exposure mask was fabricated with a silicon on insulator (SOI) substrate. The patterned Si microstructure was micromachined into a thin Si membrane and a thick Au X-ray absorber was embedded in it by electroplating. A plastic material, polymethylmethacrylate (PMMA) was used for the plate substrate. For reduction of the exposure time and high-aspect-ratio fast wet development, the fabrication condition was optimized with respect to not the exposure dose but to the PMMA mean molecular weight (M.W.) changing after deep X-ray exposure as measured by gel permeation chromatography (GPC). Decrement of the PMMA M.W. and increment of the wet developer temperature accelerated the etching rate. Under optimized fabrication conditions, a microc...

  15. Investigation on the structural characterization of pulsed p-type porous silicon

    Science.gov (United States)

    Wahab, N. H. Abd; Rahim, A. F. Abd; Mahmood, A.; Yusof, Y.

    2017-08-01

    P-type Porous silicon (PS) was sucessfully formed by using an electrochemical pulse etching (PC) and conventional direct current (DC) etching techniques. The PS was etched in the Hydrofluoric (HF) based solution at a current density of J = 10 mA/cm2 for 30 minutes from a crystalline silicon wafer with (100) orientation. For the PC process, the current was supplied through a pulse generator with 14 ms cycle time (T) with 10 ms on time (Ton) and pause time (Toff) of 4 ms respectively. FESEM, EDX, AFM, and XRD have been used to characterize the morphological properties of the PS. FESEM images showed that pulse PS (PPC) sample produces more uniform circular structures with estimated average pore sizes of 42.14 nm compared to DC porous (PDC) sample with estimated average size of 16.37nm respectively. The EDX spectrum for both samples showed higher Si content with minimal presence of oxide.

  16. Correlation between porosity and roughness as obtained by porous silicon nano surface scattering spectrum

    Directory of Open Access Journals (Sweden)

    R Dariani

    2015-01-01

    Full Text Available Reflection spectra of four porous silicon samples under etching times of 2, 6, 10, and 14 min with current density of 10 mA/cm2 were measured. Reflection spectra behaviors for all samples were the same, but their intensities were different and decreased by increasing the etching time. The similar behavior of reflection spectra could be attributed to the electrolyte solution concentration which was the same during fabrication and reduction of reflection spectrum due to the reduction of particle size. Also, the region for the lowest intensity at reflection spectra was related to porous silicon energy gap which shows blue shift for porous silicon energy gap. Roughness study of porous silicon samples was done by scattering spectra measurements, Rayleigh criteria, and Davis-Bennet equation. Scattering spectra of the samples were measured at 10, 15, and 20 degrees by using spectrophotometer. Reflected light intensity reduced by increasing the scattering angle except for the normal scattering which agreed with Rayleigh criteria. Also, our results showed that by increasing the etching time, porosity (sizes and numbers of pores increases and therefore light absorption increases and scattering from surface reduces. But since scattering varies with the observation scale (wavelength, the relationship between scattering and porosity differs by varying the observation scale (wavelength

  17. Wavelength tuning of porous silicon microcavities

    International Nuclear Information System (INIS)

    Mulders, J.; Reece, P.; Zheng, W.H.; Lerondel, G.; Sun, B.; Gal, M.

    2002-01-01

    Full text: In the last decade much attention has been given to porous silicon (PS) for optoelectronic applications, which include efficient room temperature light emission as well as microcavity formation. Due to the large specific surface area, the use of porous silicon microcavities (PSMs) has been proposed for chemical sensing. Large wavelength shifts have indicated that the optical properties of PSMs are indeed strongly dependent on the environment. In this paper, we report the shifting of the resonance frequency of high quality PSMs, with the aim of tuning a future PS device to a certain required wavelength. The PSM samples were prepared by anodically etching p + -doped (5mΩcm) bulk silicon wafer in a solution (25%) of aqueous HF and ethanol. The device structure consisted of a PS layer sandwiched between 2 stacks of thin PS layers with alternating high and low effective refractive indices (RI), i.e. distributed Bragg mirrors (DBM). The layer thickness depends on the etch time while the porosity and hence refractive index is determined by the current density as the Si is etched. The position and the width of the stop-band can be fully controlled by the design of the DBMs, with the microcavity resonance mode sitting within the stop-band. We achieved tuning of the microcavity resonance by a number of methods, including temperature dependent tuning. The temperature induced wavelength shift was found to be of the order of 10 -15 nm. Computer modeling of these changes in the reflectivity spectra allowed us to quantify the changes of the effective refractive index and the respective layer thicknesses

  18. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  19. Method of fabricating porous silicon carbide (SiC)

    Science.gov (United States)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1995-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  20. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  1. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    Science.gov (United States)

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.

  2. Covalent biofunctionalization of silicon nitride surfaces

    NARCIS (Netherlands)

    Arafat, A.; Giesbers, M.; Rosso, M.; Sudhölter, E.J.R.; Schroën, C.G.P.H.; White, R.G.; Li Yang,; Linford, M.R.; Zuilhof, H.

    2007-01-01

    Covalently attached organic monolayers on etched silicon nitride (SixN4; x 3) surfaces were prepared by reaction of SixN4-coated wafers with neat or solutions of 1-alkenes and 1-alkynes in refluxing mesitylene. The surface modification was monitored by measurement of the static water contact angle,

  3. Porous silicon-based direct hydrogen sulphide fuel cells.

    Science.gov (United States)

    Dzhafarov, T D; Yuksel, S Aydin

    2011-10-01

    In this paper, the use of Au/porous silicon/Silicon Schottky type structure, as a direct hydrogen sulphide fuel cell is demonstrated. The porous silicon filled with hydrochlorid acid was developed as a proton conduction membrane. The Au/Porous Silicon/Silicon cells were fabricated by first creating the porous silicon layer in single-crystalline Si using the anodic etching under illumination and then deposition Au catalyst layer onto the porous silicon. Using 80 mM H2S solution as fuel the open circuit voltage of 0.4 V was obtained and maximum power density of 30 W/m2 at room temperature was achieved. These results demonstrate that the Au/Porous Silicon/Silicon direct hydrogen sulphide fuel cell which uses H2S:dH2O solution as fuel and operates at room temperature can be considered as the most promising type of low cost fuel cell for small power-supply units.

  4. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  5. Continuous deep reactive ion etching of tapered via holes for three-dimensional integration

    NARCIS (Netherlands)

    Li, R.; Lamy, Y.; Besling, W.F.A.; Roozeboom, F.; Sarro, P.M.

    2008-01-01

    A continuous SF6/O2 plasma process at room temperature has been used to etch tapered through-silicon vias using a DRIE-ICP tool. These features (10–100 µm in diameter) are aimed for applications in 3D integration and MEMS packaging. The effects of various process parameters such as O2 flow rate,

  6. Large-area 2D periodic crystalline silicon nanodome arrays on nanoimprinted glass exhibiting photonic band structure effects

    International Nuclear Information System (INIS)

    Becker, C; Lockau, D; Sontheimer, T; Rech, B; Schubert-Bischoff, P; Rudigier-Voigt, E; Bockmeyer, M; Schmidt, F

    2012-01-01

    Two-dimensional silicon nanodome arrays are prepared on large areas up to 50 cm 2 exhibiting photonic band structure effects in the near-infrared and visible wavelength region by downscaling a recently developed fabrication method based on nanoimprint-patterned glass, high-rate electron-beam evaporation of silicon, self-organized solid phase crystallization and wet-chemical etching. The silicon nanodomes, arranged in square lattice geometry with 300 nm lattice constant, are optically characterized by angular resolved reflection measurements, allowing the partial determination of the photonic band structure. This experimentally determined band structure agrees well with the outcome of three-dimensional optical finite-element simulations. A 16% photonic bandgap is predicted for an optimized geometry of the silicon nanodome arrays. By variation of the duration of the selective etching step, the geometry as well as the optical properties of the periodic silicon nanodome arrays can be controlled systematically. (paper)

  7. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    Science.gov (United States)

    Vega, M.; Granell, P.; Lasorsa, C.; Lerner, B.; Perez, M.

    2016-02-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production.

  8. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    International Nuclear Information System (INIS)

    Vega, M; Lasorsa, C; Lerner, B; Perez, M; Granell, P

    2016-01-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production. (paper)

  9. Selective hierarchical patterning of silicon nanostructures via soft nanostencil lithography.

    Science.gov (United States)

    Du, Ke; Ding, Junjun; Wathuthanthri, Ishan; Choi, Chang-Hwan

    2017-11-17

    It is challenging to hierarchically pattern high-aspect-ratio nanostructures on microstructures using conventional lithographic techniques, where photoresist (PR) film is not able to uniformly cover on the microstructures as the aspect ratio increases. Such non-uniformity causes poor definition of nanopatterns over the microstructures. Nanostencil lithography can provide an alternative means to hierarchically construct nanostructures on microstructures via direct deposition or plasma etching through a free-standing nanoporous membrane. In this work, we demonstrate the multiscale hierarchical fabrication of high-aspect-ratio nanostructures on microstructures of silicon using a free-standing nanostencil, which is a nanoporous membrane consisting of metal (Cr), PR, and anti-reflective coating. The nanostencil membrane is used as a deposition mask to define Cr nanodot patterns on the predefined silicon microstructures. Then, deep reactive ion etching is used to hierarchically create nanostructures on the microstructures using the Cr nanodots as an etch mask. With simple modification of the main fabrication processes, high-aspect-ratio nanopillars are selectively defined only on top of the microstructures, on bottom, or on both top and bottom.

  10. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  11. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  12. Micromachining of buried micro channels in silicon

    NARCIS (Netherlands)

    de Boer, Meint J.; Tjerkstra, R.W.; Berenschot, Johan W.; Jansen, Henricus V.; Burger, G.J.; Burger, G.J.; Gardeniers, Johannes G.E.; Elwenspoek, Michael Curt; van den Berg, Albert

    A new method for the fabrication of micro structures for fluidic applications, such as channels, cavities, and connector holes in the bulk of silicon wafers, called buried channel technology (BCT), is presented in this paper. The micro structures are constructed by trench etching, coating of the

  13. Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology

    NARCIS (Netherlands)

    Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt

    A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by

  14. Hydrogen-terminated mesoporous silicon monoliths with huge surface area as alternative Si-based visible light-active photocatalysts

    KAUST Repository

    Li, Ting; Li, Jun; Zhang, Qiang; Blazeby, Emma; Shang, Congxiao; Xu, Hualong; Zhang, Xixiang; Chao, Yimin

    2016-01-01

    Silicon-based nanostructures and their related composites have drawn tremendous research interest in solar energy storage and conversion. Mesoporous silicon with a huge surface area of 400-900 m2 g-1 developed by electrochemical etching exhibits

  15. A high-temperature silicon-on-insulator stress sensor

    International Nuclear Information System (INIS)

    Wang Zheyao; Tian Kuo; Zhou Youzheng; Pan Liyang; Liu Litian; Hu Chaohong

    2008-01-01

    A piezoresistive stress sensor is developed using silicon-on-insulator (SOI) wafers and calibrated for stress measurement for high-temperature applications. The stress sensor consists of 'silicon-island-like' piezoresistor rosettes that are etched on the SOI layer. This eliminates leakage current and enables excellent electrical insulation at high temperature. To compensate for the measurement errors caused by the misalignment of the piezoresistor rosettes with respect to the crystallographic axes, an anisotropic micromachining technique, tetramethylammonium hydroxide etching, is employed to alleviate the misalignment issue. To realize temperature-compensated stress measurement, a planar diode is fabricated as a temperature sensor to decouple the temperature information from the piezoresistors, which are sensitive to both stress and temperature. Design, fabrication and calibration of the piezoresistors are given. SOI-related characteristics such as piezoresistive coefficients and temperature coefficients as well as the influence of the buried oxide layer are discussed in detail

  16. Simple fabrication of antireflective silicon subwavelength structure with self-cleaning properties.

    Science.gov (United States)

    Kim, Bo-Soon; Ju, Won-Ki; Lee, Min-Woo; Lee, Cheon; Lee, Seung-Gol; Beom-Hoan, O

    2013-05-01

    A subwavelength structure (SWS) was formed via a simple chemical wet etching using a gold (Au) catalyst. Single nano-sized Au particles were fabricated by metallic self-aggregation. The deposition and thermal annealing of the thin metallic film were carried out. Thermal annealing of a thin metallic film enables the creation of metal nano particles by isolating them from each other by means of the self-aggregation of the metal. After annealing, the samples were soaked in an aqueous etching solution of hydrofluoric acid and hydrogen peroxide. When silicon (Si) was etched for 2 minutes using the Au nano particles, the reflectance was decreased almost 0% over the entire wavelength range from 300 to 1300 nm due to its deep and steeply double tapered structure. When given varying incident angle degrees from 30 degrees to 60 degrees, the reflectance was also maintained at less than 3%. Following this, the etched silicon was treated with a plasma-polymerized fluorocarbon (PPFC) film of about 5 nm using an ICP reactor for surface modification. The result of this surface treatment, the contact angle increased significantly from 27.5 degrees to 139.3 degrees. The surface modification was successful and maintained almost 0% reflectance because of the thin film deposition.

  17. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  18. Porosity-dependent fractal nature of the porous silicon surface

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N.; Dariani, R. S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of)

    2015-07-15

    Porous silicon films with porosity ranging from 42% to 77% were fabricated by electrochemical anodization under different current density. We used atomic force microscopy and dynamic scaling theory for deriving the surface roughness profile and processing the topography of the porous silicon layers, respectively. We first compared the topography of bare silicon surface with porous silicon and then studied the effect of the porosity of porous silicon films on their scaling behavior by using their self-affinity nature. Our work demonstrated that silicon compared to the porous silicon films has the highest Hurst parameter, indicating that the formation of porous layer due to the anodization etching of silicon surface leads to an increase of its roughness. Fractal analysis revealed that the evolution of the nanocrystallites’ fractal dimension along with porosity. Also, we found that both interface width and Hurst parameter are affected by the increase of porosity.

  19. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  20. Measurements of timing resolution of ultra-fast silicon detectors with the SAMPIC WTDC

    CERN Document Server

    Breton, Dominique

    2016-11-01

    The SAMpler for PICosecond time (SAMPIC) chip has been designed by a collaboration including CEA/IRFU/SEDI, Saclay and CNRS/LAL/SERDI, Orsay. It benefits from both the quick response of a time to digital converter (TDC) and the versatility of a waveform digitizer to perform accurate timing measurements. Thanks to the sampled signals, smart algorithms making best use of the pulse shape can be used to maximize time resolution. A software framework has been developed to analyse the SAMPIC output data and extract timing information by using either a constant fraction discriminator or a fast cross-correlation algorithm. SAMPIC timing capabilities together with the software framework have been tested using Gaussian signals generated by a signal generator or by silicon detectors pulsed with an infra-red laser. Under these ideal experimental conditions, the SAMPIC chip has proven to be capable of timing resolutions down to 4 (40) ps with synthesized (silicon detector) signals.

  1. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  2. Fabrication of luminescent porous silicon with stain etches and evidence that luminescence originates in amorphous layers

    Science.gov (United States)

    Fathauer, R. W.; George, T.; Ksendzov, A.; Lin, T. L.; Pike, W. T.; Vasquez, R. P.; Wu, Z.-C.

    1992-01-01

    Simple immersion of Si in stain etches of HF:HNO3:H2O or NaNO2 in aqueous HF was used to produce films exhibiting luminescence in the visible similar to that of anodically-etched porous Si. All of the luminescent samples consist of amorphous porous Si in at least the near surface region. No evidence was found for small crystalline regions within these amorphous layers.

  3. Silicon micromachining using a high-density plasma source

    International Nuclear Information System (INIS)

    McAuley, S.A.; Ashraf, H.; Atabo, L.; Chambers, A.; Hall, S.; Hopkins, J.; Nicholls, G.

    2001-01-01

    Dry etching of Si is critical in satisfying the demands of the micromachining industry. The micro-electro-mechanical systems (MEMS) community requires etches capable of high aspect ratios, vertical profiles, good feature size control and etch uniformity along with high throughput to satisfy production requirements. Surface technology systems' (STS's) high-density inductively coupled plasma (ICP) etch tool enables a wide range of applications to be realized whilst optimizing the above parameters. Components manufactured from Si using an STS ICP include accelerometers and gyroscopes for military, automotive and domestic applications. STS's advanced silicon etch (ASE TM ) has also allowed the first generation of MEMS-based optical switches and attenuators to reach the marketplace. In addition, a specialized application for fabricating the next generation photolithography exposure masks has been optimized for 200 mm diameter wafers, to depths of ∼750 μm. Where the profile is not critical, etch rates of greater than 8 μm min -1 have been realized to replace previous methods such as wet etching. This is also the case for printer applications. Specialized applications that require etching down to pyrex or oxide often result in the loss of feature size control at the interface; this is an industry wide problem. STS have developed a technique to address this. The rapid progression of the industry has led to development of the STS ICP etch tool, as well as the process. (author)

  4. Self-diffusion in single crystalline silicon nanowires

    Science.gov (United States)

    Südkamp, T.; Hamdana, G.; Descoins, M.; Mangelinck, D.; Wasisto, H. S.; Peiner, E.; Bracht, H.

    2018-04-01

    Self-diffusion experiments in single crystalline isotopically controlled silicon nanowires with diameters of 70 and 400 nm at 850 and 1000 °C are reported. The isotope structures were first epitaxially grown on top of silicon substrate wafers. Nanowires were subsequently fabricated using a nanosphere lithography process in combination with inductively coupled plasma dry reactive ion etching. Three-dimensional profiling of the nanosized structure before and after diffusion annealing was performed by means of atom probe tomography (APT). Self-diffusion profiles obtained from APT analyses are accurately described by Fick's law for self-diffusion. Data obtained for silicon self-diffusion in nanowires are equal to the results reported for bulk silicon crystals, i.e., finite size effects and high surface-to-volume ratios do not significantly affect silicon self-diffusion. This shows that the properties of native point defects determined from self-diffusion in bulk crystals also hold for nanosized silicon structures with diameters down to 70 nm.

  5. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  6. Monitoring of degradation of porous silicon photonic crystals using digital photography

    Science.gov (United States)

    2014-01-01

    We report the monitoring of porous silicon (pSi) degradation in aqueous solutions using a consumer-grade digital camera. To facilitate optical monitoring, the pSi samples were prepared as one-dimensional photonic crystals (rugate filters) by electrochemical etching of highly doped p-type Si wafers using a periodic etch waveform. Two pSi formulations, representing chemistries relevant for self-reporting drug delivery applications, were tested: freshly etched pSi (fpSi) and fpSi coated with the biodegradable polymer chitosan (pSi-ch). Accelerated degradation of the samples in an ethanol-containing pH 10 aqueous basic buffer was monitored in situ by digital imaging with a consumer-grade digital camera with simultaneous optical reflectance spectrophotometric point measurements. As the nanostructured porous silicon matrix dissolved, a hypsochromic shift in the wavelength of the rugate reflectance peak resulted in visible color changes from red to green. While the H coordinate in the hue, saturation, and value (HSV) color space calculated using the as-acquired photographs was a good monitor of degradation at short times (t  pSi-ch. PMID:25242902

  7. Reducing the porosity and reflection loss of silicon nanowires by a sticky tape

    International Nuclear Information System (INIS)

    Liu, Junjun; Huang, Zhifeng

    2015-01-01

    Engineering the porosity of silicon nanowires (SiNWs) is of fundamental importance, and this work introduces a new method for doing so. Metal-assisted chemical etching (MACE) of heavily doped Si(100) creates mesoporous silicon nanowires (mp-SiNWs). mp-SiNWs are transferred from the MACE-treated wafer to a sticky tape, leaving residues composed of broken mp-SiNWs and a mesoporous Si layer on the wafer. Then the taped wafer is re-treated by MACE, without changing the etching conditions. The second MACE treatment generates mp-SiNWs that are less porous and longer than those generated by the first MACE treatment, which can be attributed to the difference in the surface topography at the beginning of the etching process. Less porous mp-SiNWs reduce optical scattering from the porous Si skeletons, and vertically protrude on the wafer without aggregation to facilitate optical trapping. Consequently, less porous mp-SiNWs effectively reduce ultraviolet-visible reflection loss. (paper)

  8. Narrow-linewidth lasers on a silicon chip

    NARCIS (Netherlands)

    Bernhardi, Edward; Pollnau, Markus; Di Bartolo, Baldassare; Collins, John; Silvestri, Luciano

    2015-01-01

    Diode-pumped distributed-feedback (DFB) channel waveguide lasers were demonstrated in Er3+-doped and Yb3+-doped Al2O3 on standard thermally ox-idized silicon substrates. Uniform surface-relief Bragg gratings were patterned by laser-interference lithography and etched into the SiO2 top cladding. The

  9. Porous silicon in solar cell structures : a review of achievements and modern directions of further use

    NARCIS (Netherlands)

    Yerokhov, VY; Melnyk, [No Value

    1999-01-01

    Porous silicon, which is being obtained by electrochemical etching of silicon wafers in electrolytes on the base of hydrofluoric acid, recently attracted the attention of specialists in photovoltaics even more due to a number of its unique properties. However, at present, acceptable results are

  10. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  11. Novel approaches for low-cost through-silicon vias

    NARCIS (Netherlands)

    Bullema, J.E.; Bressers, P.; Oosterhuis, G.; Mueller, M.; Huis in 't veld, A.J.; Roozeboom, F.

    2011-01-01

    3D stacking of integrated circuits is an emerging packaging technology to enable a high degree of functional integration and miniaturization. Footprint reduction in 3D stacking can be achieved by use of Through Silicon Vias (TSV). Creation of TSVs with Deep Reactive Ion Etching (DRIE), laser

  12. Development of surface perturbation target and thin silicon foil target used to research Rayleigh-Taylor instability in inertial confinement fusion experiment

    International Nuclear Information System (INIS)

    Zhou Bin; Sun Qi; Huang Yaodong; Shen Jun; Wu Guangming; Wang Jue

    2004-01-01

    The developments of the surface perturbation target and the thin silicon foil target used to research Rayleigh-Taylor instability in the resolved experiments of Inertial Confinement Fusion (ICF) are carried out. Based on the laser interference process combined with the figure-transfer process, the surface perturbation target with sine modulated perturbation is gotten, the wavelength is in the range of 20-100 μm and the amplitude is several micrometers. The thin silicon foil within the thickness about 3-4 μm is prepared by semiconductor process together with heavy-doped self-stop etching. Combined with ion beam etching, the check or the stripe patterns are transferred to the surface of thin silicon foils, and then the silicon grating foil is obtained

  13. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  14. Texturization of diamond-wire-sawn multicrystalline silicon wafer using Cu, Ag, or Ag/Cu as a metal catalyst

    Science.gov (United States)

    Wang, Shing-Dar; Chen, Ting-Wei

    2018-06-01

    In this work, Cu, Ag, or Ag/Cu was used as a metal catalyst to study the surface texturization of diamond-wire-sawn (DWS) multi-crystalline silicon (mc-Si) wafer by a metal-assisted chemical etching (MACE) method. The DWS wafer was first etched by standard HF-HNO3 acidic etching, and it was labeled as AE-DWS wafer. The effects of ratios of Cu(NO3)2:HF, AgNO3:HF, and AgNO3:Cu(NO3)2 on the morphology of AE-DWS wafer were investigated. After the process of MACE, the wafer was treated with a NaF/H2O2 solution. In this process, H2O2 etched the nanostructure, and NaF removed the oxidation layer. The Si {1 1 1} plane was revealed by etching the wafer in a mixture of 0.03 M Cu(NO3)2 and 1 M HF at 55 °C for 2.5 min. These parallel Si {1 1 1} planes replaced some parallel saw marks on the surface of AE-DWS wafers without forming a positive pyramid or an inverted pyramid structure. The main topography of the wafer is comprised of silicon nanowires grown in direction when Ag or Ag/Cu was used as a metal catalyst. When silicon is etched in a mixed solution of Cu(NO3)2, AgNO3, HF and H2O2 at 55 °C with a concentration ratio of [Cu2+]/[Ag+] of 50 or at 65 °C with a concentration ratio of [Cu2+]/[Ag+] of 33, a quasi-inverted pyramid structure can be obtained. The reflectivity of the AE-DWS wafers treated with MACE is lower than that of the multiwire-slurry-sawn (MWSS) mc-Si wafers treated with traditional HF + HNO3 etching.

  15. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  16. The Regularized Fast Hartley Transform Optimal Formulation of Real-Data Fast Fourier Transform for Silicon-Based Implementation in Resource-Constrained Environments

    CERN Document Server

    Jones, Keith

    2010-01-01

    The Regularized Fast Hartley Transform provides the reader with the tools necessary to both understand the proposed new formulation and to implement simple design variations that offer clear implementational advantages, both practical and theoretical, over more conventional complex-data solutions to the problem. The highly-parallel formulation described is shown to lead to scalable and device-independent solutions to the latency-constrained version of the problem which are able to optimize the use of the available silicon resources, and thus to maximize the achievable computational density, th

  17. Fabrication of Metallic Microneedle by Electroplating and Sharpening of it by Electrochemical Etching

    Science.gov (United States)

    Huang, Chih-Hao; Tanaka, Takahiro; Takaoki, Yutaka; Izumi, Hayato; Takahashi, Tomokazu; Suzuki, Masato; Aoyagi, Seiji

    Aiming at the use in low-invasive medical treatments, this paper reports a fabrication of metallic microneedle, which has a three-dimensionally sharp tip. Compared to a silicon or polymer needle which we previously proposed, a metallic needle has toughness to evade breakage. Even if it is broken, it does not become small pieces thanks to its ductility, which increases the safety for a human body. A nickel needle was fabricated using electroplating, followed by sharpening it by electrochemical etching. A smooth tip surface is obtained due to electrochemical etching reactions. Sharpness and smoothness of the tip are effective for easy insertion in the viewpoint of large stress concentration and small friction, respectively. An experiment of inserting the fabricated needle into an artificial skin of silicone rubber was carried out. The resistance force during insertion was much reduced compared to that of commercial stainless needle (23 G: shank diameter 650 µm). Although a fabricated metallic needle was inserted and pulled-out for several times, it was not broken in any trial. By changing the angle between object surface and needle axis, the insertion experiments were carried out. Fabricated nickel needle was not broken for any angle, while silicon needle was broken in case the angle is small, i.e., the needle is much inclined from normal direction of the surface, which ensures the safety of metallic microneedle to human body in the viewpoint of breakage.

  18. Comparative Study on Electronic, Emission, Spontaneous Property of Porous Silicon in Different Solvents

    Directory of Open Access Journals (Sweden)

    M. Naziruddin Khan

    2014-01-01

    Full Text Available Luminescent porous silicon (Psi fabricated by simple chemical etching technique in different organic solvents was studied. By quantifying the silicon wafer piece, optical properties of the Psi in solutions were investigated. Observation shows that no photoluminescence light of Psi in all solvents is emitted. Morphology of Psi in different solvents indicates that the structure and distribution of Psi are differently observed. Particles are uniformly dispersive with the sizes around more or less 5–8 nm. The crystallographic plane and high crystalline nature of Psi is observed by selected area diffraction (SED and XRD. Electronic properties of Psi in solutions are influenced due to the variation of quantity of wafer and nature of solvent. Influence in band gaps of Psi calculated by Tauc’s method is obtained due to change of absorption edge of Psi in solvents. PL intensities are observed to be depending on quantity of silicon wafer, etched cross-section area on wafer surface. Effects on emission peaks and bands of Psi under temperature annealing are observed. The spontaneous signals of Psi measured under high power Pico second laser 355 nm source are significant, influenced by the nature of solvent, pumped energy, and quantity of Si wafer piece used in etching process.

  19. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  20. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  1. Beam test results of a 16 ps timing system based on ultra-fast silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Cartiglia, N., E-mail: cartiglia@to.infn.it [INFN, Torino (Italy); Staiano, A.; Sola, V. [INFN, Torino (Italy); Arcidiacono, R. [INFN, Torino (Italy); Università del Piemonte Orientale (Italy); Cirio, R.; Cenna, F.; Ferrero, M.; Monaco, V.; Mulargia, R.; Obertino, M.; Ravera, F.; Sacchi, R. [INFN, Torino (Italy); Università di Torino, Torino (Italy); Bellora, A.; Durando, S. [Università di Torino, Torino (Italy); Mandurrino, M. [Politecnico di Torino, Torino (Italy); Minafra, N. [University of Kansas, KS (United States); Fadeyev, V.; Freeman, P.; Galloway, Z.; Gkougkousis, E. [SCIPP, University of California Santa Cruz, CA 95064 (United States); and others

    2017-04-01

    In this paper we report on the timing resolution obtained in a beam test with pions of 180 GeV/c momentum at CERN for the first production of 45 µm thick Ultra-Fast Silicon Detectors (UFSD). UFSD are based on the Low-Gain Avalanche Detector (LGAD) design, employing n-on-p silicon sensors with internal charge multiplication due to the presence of a thin, low-resistivity diffusion layer below the junction. The UFSD used in this test had a pad area of 1.7 mm{sup 2}. The gain was measured to vary between 5 and 70 depending on the sensor bias voltage. The experimental setup included three UFSD and a fast trigger consisting of a quartz bar readout by a SiPM. The timing resolution was determined by doing Gaussian fits to the time-of-flight of the particles between one or more UFSD and the trigger counter. For a single UFSD the resolution was measured to be 34 ps for a bias voltage of 200 V, and 27 ps for a bias voltage of 230 V. For the combination of 3 UFSD the timing resolution was 20 ps for a bias voltage of 200 V, and 16 ps for a bias voltage of 230 V.

  2. Electrical, structural, and bonding changes induced in silicon by H, Ar, and Kr ion-beam etching

    International Nuclear Information System (INIS)

    Singh, R.; Fonash, S.J.; Ashok, S.; Caplan, P.; Shappirio, J.; Hage-Ali, M.; Ponpon, J.

    1983-01-01

    A study to elucidate the role of processing-induced changes in Si, subjected to ion-beam etching has been made. It is shown that these changes can be related to the primary ion beam used in ion-beam etching. Using ESR, trivalently bonded Si has been shown to be present. Fe and Cr have been found to be the main contaminants. An annealing study revealed that the damage can be annealed out at relatively high temperatures

  3. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  4. Influence of laser etching on enamel and dentin bond strength of Silorane System Adhesive.

    Science.gov (United States)

    Ustunkol, Ildem; Yazici, A Ruya; Gorucu, Jale; Dayangac, Berrin

    2015-02-01

    The aim of this in vitro study was to evaluate the shear bond strength (SBS) of Silorane System Adhesive to enamel and dentin surfaces that had been etched with different procedures. Ninety freshly extracted human third molars were used for the study. After the teeth were embedded with buccal surfaces facing up, they were randomly divided into two groups. In group I, specimens were polished with a 600-grit silicon carbide (SiC) paper to obtain flat exposed enamel. In group II, the overlying enamel layer was removed and exposed dentin surfaces were polished with a 600-grit SiC paper. Then, the teeth in each group were randomly divided into three subgroups according to etching procedures: etched with erbium, chromium:yttrium-scandium-gallium-garnet laser (a), etched with 35% phosphoric acid (b), and non-etched (c, control). Silorane System Adhesive was used to bond silorane restorative to both enamel and dentin. After 24-h storage in distilled water at room temperature, a SBS test was performed using a universal testing machine at a crosshead speed of 1 mm/min. The data were analyzed using two-way ANOVA and Bonferroni tests (p enamel and dentin (p > 0.05). The SBS of self-etch adhesive to dentin was not statistically different from enamel (p > 0.05). Phosphoric acid treatment seems the most promising surface treatment for increasing the enamel and dentin bond strength of Silorane System Adhesive.

  5. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  6. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  7. Waveguide silicon nitride grating coupler

    Science.gov (United States)

    Litvik, Jan; Dolnak, Ivan; Dado, Milan

    2016-12-01

    Grating couplers are one of the most used elements for coupling of light between optical fibers and photonic integrated components. Silicon-on-insulator platform provides strong confinement of light and allows high integration. In this work, using simulations we have designed a broadband silicon nitride surface grating coupler. The Fourier-eigenmode expansion and finite difference time domain methods are utilized in design optimization of grating coupler structure. The fully, single etch step grating coupler is based on a standard silicon-on-insulator wafer with 0.55 μm waveguide Si3N4 layer. The optimized structure at 1550 nm wavelength yields a peak coupling efficiency -2.6635 dB (54.16%) with a 1-dB bandwidth up to 80 nm. It is promising way for low-cost fabrication using complementary metal-oxide- semiconductor fabrication process.

  8. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  9. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  10. Effect of Current Density on Thermal and Optical Properties of p-Type Porous Silicon

    International Nuclear Information System (INIS)

    Kasra Behzad; Wan Mahmood Mat Yunus; Zainal Abidin Talib; Azmi Zakaria; Afarin Bahrami

    2011-01-01

    The different parameters of the porous silicon (PSi) can be tuned by changing some parameters in preparation process. We have chosen the anodization as formation method, so the related parameters should be changed. In this study the porous silicon (PSi) layers were formed on p-type Si wafer. The samples were anodized electrically in a fixed etching time under some different current densities. The structural and optical properties of porous silicon (PSi) on silicon (Si) substrates were investigated using photoluminescence (PL) and Photoacoustic Spectroscopy (PAS). (author)

  11. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  12. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel; Al-Jabr, Ahmad; Alsunaidi, Mohammad; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET

  13. Micropatterned arrays of porous silicon: toward sensory biointerfaces.

    Science.gov (United States)

    Flavel, Benjamin S; Sweetman, Martin J; Shearer, Cameron J; Shapter, Joseph G; Voelcker, Nicolas H

    2011-07-01

    We describe the fabrication of arrays of porous silicon spots by means of photolithography where a positive photoresist serves as a mask during the anodization process. In particular, photoluminescent arrays and porous silicon spots suitable for further chemical modification and the attachment of human cells were created. The produced arrays of porous silicon were chemically modified by means of a thermal hydrosilylation reaction that facilitated immobilization of the fluorescent dye lissamine, and alternatively, the cell adhesion peptide arginine-glycine-aspartic acid-serine. The latter modification enabled the selective attachment of human lens epithelial cells on the peptide functionalized regions of the patterns. This type of surface patterning, using etched porous silicon arrays functionalized with biological recognition elements, presents a new format of interfacing porous silicon with mammalian cells. Porous silicon arrays with photoluminescent properties produced by this patterning strategy also have potential applications as platforms for in situ monitoring of cell behavior.

  14. Self-organized nanostructures in silicon and glass for MEMS, MOEMS and BioMEMS

    International Nuclear Information System (INIS)

    Lilienthal, K.; Fischer, M.; Stubenrauch, M.; Schober, A.

    2010-01-01

    The utilization of self-organization in the process workflows for Micro-Electro-Mechanical-Systems (MEMS) and their derivatives is a smart way to get large areas of nanostructured surfaces for various applications. The generation of nano-masking spots by self-organizing residues in the plasma can lead to needle- or tube-like structures on the surface after (deep-) reactive ion etching. With lengths of 3 up to 25 μm and 150 up to 500 nm in diameter for silicon broad applications in the fields of micro fluidics with catalysts, micro-optical or mechanical mountings or carrier wafer bonding in microelectronics are possible. Now, we also developed dry etching processes for fused silica which shows analogue properties to 'Black Silicon' and investigated these glass nanostructures by a first parameter study to identify new usable structures and hybrids. This innovative starting point allows the transfer of 'Black Silicon' technologies and its applications to another important material class in micro- and nanotechnologies, fused silica.

  15. Self-organized nanostructures in silicon and glass for MEMS, MOEMS and BioMEMS

    Energy Technology Data Exchange (ETDEWEB)

    Lilienthal, K., E-mail: katharina.lilienthal@tu-ilmenau.de [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Fischer, M. [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Stubenrauch, M. [Department of Micromechanical Systems, Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany); Schober, A. [Research Group ' Micro fluidics and Biosensors' , Ilmenau University of Technology, Institute of Micro- and Nanotechnologies, D-98693 Ilmenau (Germany)

    2010-05-25

    The utilization of self-organization in the process workflows for Micro-Electro-Mechanical-Systems (MEMS) and their derivatives is a smart way to get large areas of nanostructured surfaces for various applications. The generation of nano-masking spots by self-organizing residues in the plasma can lead to needle- or tube-like structures on the surface after (deep-) reactive ion etching. With lengths of 3 up to 25 {mu}m and 150 up to 500 nm in diameter for silicon broad applications in the fields of micro fluidics with catalysts, micro-optical or mechanical mountings or carrier wafer bonding in microelectronics are possible. Now, we also developed dry etching processes for fused silica which shows analogue properties to 'Black Silicon' and investigated these glass nanostructures by a first parameter study to identify new usable structures and hybrids. This innovative starting point allows the transfer of 'Black Silicon' technologies and its applications to another important material class in micro- and nanotechnologies, fused silica.

  16. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  17. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  18. Modification of porous silicon rugate filters through thiol-yne photochemistry

    International Nuclear Information System (INIS)

    Soeriyadi, Alexander H.; Zhu, Ying; Gooding, J. Justin; Reece, Peter

    2014-01-01

    Porous silicon (PSi) has a considerable potential as biosensor platform. In particular, the ability to modify the surface chemistry of porous silicon is of interest. Here we present a generic method to modify the surface of porous silicon through thiol-yne photochemistry initiated by a radical initiator. Firstly, a freshly etched porous silicon substrate is modified through thermal hydrosilylation with 1,8-nonadiyne to passivate the surface and introduce alkyne functionalities. The alkyne functional surface could then be further reacted with thiol species in the presence of a radical initiator and UV light. Functionalization of the PSi rugate filter is followed with optical reflectivity measurements as well as high resolution X-ray photoelectron spectroscopy (XPS)

  19. New dynamic silicon photonic components enabled by MEMS technology

    Science.gov (United States)

    Errando-Herranz, Carlos; Edinger, Pierre; Colangelo, Marco; Björk, Joel; Ahmed, Samy; Stemme, Göran; Niklaus, Frank; Gylfason, Kristinn B.

    2018-02-01

    Silicon photonics is the study and application of integrated optical systems which use silicon as an optical medium, usually by confining light in optical waveguides etched into the surface of silicon-on-insulator (SOI) wafers. The term microelectromechanical systems (MEMS) refers to the technology of mechanics on the microscale actuated by electrostatic actuators. Due to the low power requirements of electrostatic actuation, MEMS components are very power efficient, making them well suited for dense integration and mobile operation. MEMS components are conventionally also implemented in silicon, and MEMS sensors such as accelerometers, gyros, and microphones are now standard in every smartphone. By combining these two successful technologies, new active photonic components with extremely low power consumption can be made. We discuss our recent experimental work on tunable filters, tunable fiber-to-chip couplers, and dynamic waveguide dispersion tuning, enabled by the marriage of silicon MEMS and silicon photonics.

  20. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.