WorldWideScience

Sample records for euv radiometric standard

  1. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  2. Broadband radiometric LED measurements

    Science.gov (United States)

    Eppeldauer, G. P.; Cooksey, C. C.; Yoon, H. W.; Hanssen, L. M.; Podobedov, V. B.; Vest, R. E.; Arp, U.; Miller, C. C.

    2016-09-01

    At present, broadband radiometric LED measurements with uniform and low-uncertainty results are not available. Currently, either complicated and expensive spectral radiometric measurements or broadband photometric LED measurements are used. The broadband photometric measurements are based on the CIE standardized V(λ) function, which cannot be used in the UV range and leads to large errors when blue or red LEDs are measured in its wings, where the realization is always poor. Reference irradiance meters with spectrally constant response and high-intensity LED irradiance sources were developed here to implement the previously suggested broadband radiometric LED measurement procedure [1, 2]. Using a detector with spectrally constant response, the broadband radiometric quantities of any LEDs or LED groups can be simply measured with low uncertainty without using any source standard. The spectral flatness of filtered-Si detectors and low-noise pyroelectric radiometers are compared. Examples are given for integrated irradiance measurement of UV and blue LED sources using the here introduced reference (standard) pyroelectric irradiance meters. For validation, the broadband measured integrated irradiance of several LED-365 sources were compared with the spectrally determined integrated irradiance derived from an FEL spectral irradiance lamp-standard. Integrated responsivity transfer from the reference irradiance meter to transfer standard and field UV irradiance meters is discussed.

  3. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  4. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  5. Exploring a Black Body Source as an Absolute Radiometric Calibration Standard and Comparison with a NIST Traced Lamp Standard

    Science.gov (United States)

    Green, Robert O.; Chrien, Thomas; Sarture, Chuck

    2001-01-01

    Radiometric calibration of the Airborne Visible/Infrared Imaging Spectrometer (AVIRIS) is required for the scientific research and application objectives pursued with the spectroscopic measurements. Specifically calibration is required for: inter-comparison of AVIRIS data measured at different locations and at different times; analysis of AVIRIS data with data measured by other instruments; and analysis of AVIRIS data in conjunction with computer models. The primary effect of radiometric calibration is conversion of AVIRIS instrument response values (digitized numbers, or DN) to units of absolute radiance. For example, a figure shows the instrument response spectrum measured by AVIRIS over a portion of Rogers Dry Lake, California, and another figure shows the same spectrum calibrated to radiance. Only the calibrated spectrum may be quantitatively analyzed for science research and application objectives. Since the initial development of the AVIRIS instrument-radiometric calibration has been based upon a 1000-W irradiance lamp with a calibration traced to the National Institute of Standards and Technology (NIST). There are several advantages to this irradiance-lamp calibration approach. First, the considerable effort of NIST backs up the calibration. Second, by changing the distance to the lamp, the output can closely span the radiance levels measured by AVIRIS. Third, this type of standard is widely used. Fourth, these calibrated lamps are comparatively inexpensive. Conversely, there are several disadvantages to this approach as well. First, the lamp is not a primary standard. Second, the lamp output characteristics may change in an unknown manner through time. Third, it is difficult to assess, constrain, or improve the calibration uncertainty delivered with the lamp. In an attempt to explore the effect and potentially address some of these disadvantages a set of analyses and measurements comparing an irradiance lamp with a black-body source have been completed

  6. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  7. Broadband Radiometric LED Measurements

    OpenAIRE

    Eppeldauer, G. P.; Cooksey, C. C.; Yoon, H. W.; Hanssen, L. M.; Podobedov, V. B.; Vest, R. E.; Arp, U.; Miller, C. C.

    2016-01-01

    At present, broadband radiometric measurements of LEDs with uniform and low-uncertainty results are not available. Currently, either complicated and expensive spectral radiometric measurements or broadband photometric LED measurements are used. The broadband photometric measurements are based on the CIE standardized V(��) function, which cannot be used in the UV range and leads to large errors when blue or red LEDs are measured in its wings, where the realization is always poor. Reference irr...

  8. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  9. RADIOMETRIC BLOCK ADJUSMENT AND DIGITAL RADIOMETRIC MODEL GENERATION

    Directory of Open Access Journals (Sweden)

    A. Pros

    2013-05-01

    Full Text Available In this paper we present a radiometric block adjustment method that is related to geometric block adjustment and to the concept of a terrain Digital Radiometric Model (DRM as a complement to the terrain digital elevation and surface models. A DRM, in our concept, is a function that for each ground point returns a reflectance value and a Bidirectional Reflectance Distribution Function (BRDF. In a similar way to the terrain geometric reconstruction procedure, given an image block of some terrain area, we split the DRM generation in two phases: radiometric block adjustment and DRM generation. In the paper we concentrate on the radiometric block adjustment step, but we also describe a preliminary DRM generator. In the block adjustment step, after a radiometric pre-calibraton step, local atmosphere radiative transfer parameters, and ground reflectances and BRDFs at the radiometric tie points are estimated. This radiometric block adjustment is based on atmospheric radiative transfer (ART models, pre-selected BRDF models and radiometric ground control points. The proposed concept is implemented and applied in an experimental campaign, and the obtained results are presented. The DRM and orthophoto mosaics are generated showing no radiometric differences at the seam lines.

  10. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  11. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  12. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  13. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  14. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  15. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  16. Radiometric assays for glycerol, glucose, and glycogen

    International Nuclear Information System (INIS)

    Bradley, D.C.; Kaslow, H.R.

    1989-01-01

    We have developed radiometric assays for small quantities of glycerol, glucose and glycogen, based on a technique described by Thorner and Paulus for the measurement of glycerokinase activity. In the glycerol assay, glycerol is phosphorylated with [32P]ATP and glycerokinase, residual [32P]ATP is hydrolyzed by heating in acid, and free [32P]phosphate is removed by precipitation with ammonium molybdate and triethylamine. Standard dose-response curves were linear from 50 to 3000 pmol glycerol with less than 3% SD in triplicate measurements. Of the substances tested for interference, only dihydroxyacetone gave a slight false positive signal at high concentration. When used to measure glycerol concentrations in serum and in media from incubated adipose tissue, the radiometric glycerol assay correlated well with a commonly used spectrophotometric assay. The radiometric glucose assay is similar to the glycerol assay, except that glucokinase is used instead of glycerokinase. Dose response was linear from 5 to 3000 pmol glucose with less than 3% SD in triplicate measurements. Glucosamine and N-acetylglucosamine gave false positive signals when equimolar to glucose. When glucose concentrations in serum were measured, the radiometric glucose assay agreed well with hexokinase/glucose-6-phosphate dehydrogenase (H/GDH)-based and glucose oxidase/H2O2-based glucose assays. The radiometric method for glycogen measurement incorporates previously described isolation and digestion techniques, followed by the radiometric assay of free glucose. When used to measure glycogen in mouse epididymal fat pads, the radiometric glycogen assay correlated well with the H/GDH-based glycogen assay. All three radiometric assays offer several practical advantages over spectral assays

  17. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  18. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  19. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  20. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  1. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  2. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  3. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  4. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  5. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode/EUV Imaging Spectrometer (EIS)

    Science.gov (United States)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Morita, Shigeru; Suzuki, Chihiro; Tamura, Naoki; Yamamoto, Norimasa; Nakamura, Nobuyuki

    2017-06-01

    Line intensities emerging from the Ne-sequence iron ion (Fe XVII) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe XVII λ 204.6/λ 254.8 are derived in the laboratory by unblending the contributions of the Fe XIII and XII line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  6. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Tetsuya; Hara, Hirohisa [National Astronomical Observatory, National Institutes of Natural Sciences 2-21-1 Osawa Mitaka Tokyo, 181-8588 (Japan); Murakami, Izumi; Kato, Daiji; Morita, Shigeru [SOKENDAI (Graduate University for Advanced Studies) Hayama, Miura-gun, Kanagawa, 240-0193 (Japan); Sakaue, Hiroyuki A.; Suzuki, Chihiro; Tamura, Naoki [National Institute for Fusion Science, National Institutes of Natural Sciences 322-6 Oroshi-cho, Toki Gifu, 509-5292 (Japan); Yamamoto, Norimasa [Chubu University 1200 Matsumoto-cho, Kasugai Aichi, 487-0027 (Japan); Nakamura, Nobuyuki, E-mail: watanabe@uvlab.mtk.nao.ac.jp [The University of Electro-Communications 1-5-1 Chofugaoka, Chofu Tokyo, 182-8585 (Japan)

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  7. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  8. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  9. Urease testing of mycobacteria with BACTEC radiometric instrumentation

    International Nuclear Information System (INIS)

    Damato, J.J.; Collins, M.T.; McClatchy, J.K.

    1982-01-01

    A total of 140 mycobacterial isolates from patients treated at Fitzsimons Army Medical Center or the National Jewish Hospital and Research Center and from animal specimens submitted to the National Veterinary Services Laboratory were tested by using a urease procedure modified for use with a BACTEC model 301. Mycobacterial suspensions were prepared by using Middlebrook 7H10 Tween broth. Of the 98 mycobacteria isolates which were urease positive utilizing standard methodology, all were positive using the radiometric procedures. Similarly, all 42 urease-negative isolates were also negative employing the new methodology. Although maximum radiometric readings were observed at 48 h, all positive strains were readily identified 24 h after inoculation without sacrificing either test sensitivity or specificity. Thus, urease testing of mycobacteria, using the modified BACTEC radiometric methodology, was as sensitive, as specific, and more rapid than conventional methods

  10. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  11. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  12. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  13. Substoichiometric method in the simple radiometric analysis

    International Nuclear Information System (INIS)

    Ikeda, N.; Noguchi, K.

    1979-01-01

    The substoichiometric method is applied to simple radiometric analysis. Two methods - the standard reagent method and the standard sample method - are proposed. The validity of the principle of the methods is verified experimentally in the determination of silver by the precipitation method, or of zinc by the ion-exchange or solvent-extraction method. The proposed methods are simple and rapid compared with the conventional superstoichiometric method. (author)

  14. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  15. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  16. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  17. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  18. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  19. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  20. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  1. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  2. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  3. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  4. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  5. Radiometric diagnosis of Mycobacterium tuberculosis

    International Nuclear Information System (INIS)

    Laszlo, A.

    1986-01-01

    The results of this study confirm that rapid radiometric diagnostic tests such as the NAP selective inhibition test for the M. tuberculosis complex followed by the radiometric drug susceptibility tests are extremely reliable and compare favourably with conventional methodologies. This study also shows that referred cultures growing on solid medium can be processed by radiometric procedures without prior subculture. This circumstance by itself shortens the time needed for reporting. (Auth.)

  6. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  7. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  8. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  9. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  10. Radiometric enrichment of nonradioactive ores

    International Nuclear Information System (INIS)

    Mokrousov, V.A.; Lileev, V.A.

    1979-01-01

    Considered are the methods of mineral enrichment based on the use of the radioation of various types. The physical essence of enrichment processes is presented, their classification is given. Described are the ore properties influencing the efficiency of radiometric enrichment, methods of the properties study and estimation of ore enrichment. New possibilities opened by radiometric enrichment in the technology of primary processing of mineral raw materials are elucidated. A considerable attention is paid to the main and auxiliary equipment for radiometric enrichment. The foundations of the safety engineering are presented in a brief form. Presented are also results of investigations and practical works in the field of enrichment of ores of non-ferrous, ferrous and non-metallic minerals with the help of radiometric methods

  11. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  12. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  13. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  14. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  15. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  16. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  17. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  18. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  19. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  20. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  1. Sterility test by radiometric technique

    International Nuclear Information System (INIS)

    Faruq, Muhammad

    1980-01-01

    Sterility test of pharmaceuticals can be carried out by the application of pharmacopoeia and radiometric technique. In Indonesia the application of pharmacopoeia technique is carried out through liquid germination for aerobacteria and for fungus and yeast. Radiometric technique is applied to autotrop and heterotrop bacteria. (SMN)

  2. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  3. Multielemental X-ray radiometric analysis of ferromanganese concretions

    International Nuclear Information System (INIS)

    Metelev, A.Yu.; Grigor'ev, A.I.; Rakita, K.A.; Mamaenko, M.V.; Ivanenko, V.V.

    1994-01-01

    Ferromanganese concretions are promising mineral resources of the ocean. Most often, they are analyzed by atomic-absorption, spectra, X-ray spectral, neutron-activation, and X-ray radiometric methods. Note that X-ray radiometric analysis (XRRA) allows the sufficiently rapid determination of a great number of elements in ferromanganese concretions. The possibility of using XRRA with saturated and thin layers was shown; however, the data on the precision and accuracy of the technique was not given. The purpose of this study is to evaluate the basic performance characteristics of the multielemental X-ray radiometric analysis of ferromanganese concretions (Pacific Ocean). Determinations for K,Ca and Ti content were made using a 55 Fe source and for Mn, Fe, Ni, Cu, Zn, Pb, Sr, Y, Zr, Nb, and Mo contents by using a 109 Cd source. The precision and accuracy of the method was investigated by using reference concretions; it was found the confidence intervals overlap for all of the elements except Ca and Pb. The relative standard deviation was 1-5%, indicating the high precision of the method. The described technique is successfully used on research vessels of the Far East Division of the Russian Academy of Sciences and in South Pacific Ocean geological expeditions of PO open-quotes Dal'morgeologiyaclose quotes

  4. New age radiometric ore sorting - the elegant solution

    International Nuclear Information System (INIS)

    Gordon, H.P.; Heuer, T.

    2000-01-01

    Radiometric ore sorting technology and application are described in two parts. Part I reviews the history of radiometric sorting in the minerals industry and describes the latest developments in radiometric sorting technology. Part II describes the history, feasibility study and approach used in the application of the new technology at Rossing Uranium Limited. There has been little progress in the field of radiometric sorting since the late 1970s. This has changed with the development of a high capacity radiometric sorter designed to operate on low-grade ore in the +75mm / -300mm size fraction. This has been designed specifically for an application at Rossing. Rossing has a long history in radiometric sorting dating back to 1968 when initial tests were conducted on the Rossing prospect. Past feasibility studies concluded that radiometric sorting would not conclusively reduce the unit cost of production unless sorting was used to increase production levels. The current feasibility study shows that the application of new radiometric sorter technology makes sorting viable without increasing production, and significantly more attractive with increased production. A pilot approach to confirm sorter performance is described. (author)

  5. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  6. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  7. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  8. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  9. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  10. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  11. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  12. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  13. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  14. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  15. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  16. The Pelindaba facility for calibrating radiometric field instruments

    International Nuclear Information System (INIS)

    Corner, B.; Toens, P.D.; Van As, D.; Vleggaar, C.M.; Richards, D.J.

    1979-04-01

    The tremendous upsurge in uranium exploration activity, experienced in recent years, has made the need for the standardisation and calibration of radiometric field instruments apparent. In order to fulfill this need, construction of a calibration facility at the National Nuclear Research Centre, Pelindaba, was commenced in 1972 and has since been extended according the the requirements of the mining industry. The facility currently comprises 11 surface standard sources suitable for the calibration, in terms of radio-element concentration, of portable scintillometers and spectrometers, and single uranium and thorium model-borehole sources which make possible the accurate calibration of borehole logging instruments both for gross-count and spectrometric surveys. Portable potassium, uranium and thorium sources are also available for the purposes of establishing airborne-spectrometer stripping ratios. The relevant physico-chemical properties of the standards are presented in this report and calibration procedures and data reduction techniques recommended. Examples are given of in situ measurements, both on surface and down-the-hole, which show that the derived calibration constants yield radiometric grades which are, on average, accurate to within 5% of the true radio-element concentrations. A secondary facility comprising single borehole- and surface-uranium sources has also been constructed in Beaufort West in the southern Karoo [af

  17. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  18. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  19. Radiometric weighing devices. Part 1 and 2

    International Nuclear Information System (INIS)

    Glaeser, M.

    1985-01-01

    Proceeding from the physical and mathematical fundamentals and from the types of radiometric weighing devices presently available, the radiation protection problems arising from the application of radiometric gages in industry and agriculture are discussed. Nuclear weighing devices have been found to be effective from economic point of view but in some cases gravimetric conveyor weighers are indispensable. Information and guidance is given especially for users of radiometric weighing devices. 91 refs., 69 figs., and 8 tabs

  20. Radiometric densimeter for measuring and automatic control of liquid density

    International Nuclear Information System (INIS)

    Wajs, J.

    1982-01-01

    A performance rule of the radiometric densimeter produced by ''POLON ''Works is presented. A simplified analysis of the correction of density indication changes due to liquid temperature variations is described. A method of replacing the measuring pipe carrying the liquid being measured by suitable standards is given. The method is for automatic systems control. (A.S.)

  1. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  2. Radiometric dating

    International Nuclear Information System (INIS)

    Das, N.R.

    2017-01-01

    Since the discovery of natural radioactivity in uranium, in the last decade of the nineteenth century, the nuclear property of radioactive decay of radionuclides at immutable rates has been effectively utilized in dating of varieties of naturally occurring geological matrices and the organisms which constantly replenish their "1"4C supply through respiration when alive on earth. During the period, applications of radiometric dating techniques have been extensively diversified and have enabled the geologists to indicate the absolute time scales of geological formations and the evolution of the solar system, the earth, meteorites, lunar rocks, etc. and the archaeologists to record the facts of history of several important events like dinosaur era, Iceman, the Shroud in Turin and many other ancient artefacts. In the development of dating methods, varieties of naturally occurring radio-isotopic systems with favorable half-lives ranging from about 10 years to over 100 billion years have been used as radiometric clocks. (author)

  3. Radiometric--microbiologic assay of vitamin B-6: application to food analysis

    International Nuclear Information System (INIS)

    Guilarte, T.R.; Shane, B.; McIntyre, P.A.

    1981-01-01

    A radiometric microbiologic assay for vitamin B-6 was applied to food analysis. The method was shown to be specific, reproducible and simpler than the standard turbidimetric microbiologic technique. The analysis of seven commercially available breakfast cereals was compared to a high performance liquid chromatography method. Three out of the seven cereals agreed when assayed with both methods (P greater than 0.1). Four cereals, however, differed in value considerably (P less than 0.05). Further studies are required to determine whether these differences were due to different extraction procedures used. The study showed that the new radiometric-microbiologic method can be used to measure total vitamin B-6 or, combined with a column separation procedure, to analyze for specific forms of the vitamin

  4. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  5. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  6. A new radiometric unit of measure to characterize SWIR illumination

    Science.gov (United States)

    Richards, A.; Hübner, M.

    2017-05-01

    We propose a new radiometric unit of measure we call the `swux' to unambiguously characterize scene illumination in the SWIR spectral band between 0.8μm-1.8μm, where most of the ever-increasing numbers of deployed SWIR cameras (based on standard InGaAs focal plane arrays) are sensitive. Both military and surveillance applications in the SWIR currently suffer from a lack of a standardized SWIR radiometric unit of measure that can be used to definitively compare or predict SWIR camera performance with respect to SNR and range metrics. We propose a unit comparable to the photometric illuminance lux unit; see Ref. [1]. The lack of a SWIR radiometric unit becomes even more critical if one uses lux levels to describe SWIR sensor performance at twilight or even low light condition, since in clear, no-moon conditions in rural areas, the naturally-occurring SWIR radiation from nightglow produces a much higher irradiance than visible starlight. Thus, even well-intentioned efforts to characterize a test site's ambient illumination levels in the SWIR band may fail based on photometric instruments that only measure visible light. A study of this by one of the authors in Ref. [2] showed that the correspondence between lux values and total SWIR irradiance in typical illumination conditions can vary by more than two orders of magnitude, depending on the spectrum of the ambient background. In analogy to the photometric lux definition, we propose the SWIR irradiance equivalent `swux' level, derived by integration over the scene SWIR spectral irradiance weighted by a spectral sensitivity function S(λ), a SWIR analog of the V(λ) photopic response function.

  7. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  8. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  9. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  10. ANALYSIS OF THE RADIOMETRIC RESPONSE OF ORANGE TREE CROWN IN HYPERSPECTRAL UAV IMAGES

    Directory of Open Access Journals (Sweden)

    N. N. Imai

    2017-10-01

    Full Text Available High spatial resolution remote sensing images acquired by drones are highly relevant data source in many applications. However, strong variations of radiometric values are difficult to correct in hyperspectral images. Honkavaara et al. (2013 presented a radiometric block adjustment method in which hyperspectral images taken from remotely piloted aerial systems – RPAS were processed both geometrically and radiometrically to produce a georeferenced mosaic in which the standard Reflectance Factor for the nadir is represented. The plants crowns in permanent cultivation show complex variations since the density of shadows and the irradiance of the surface vary due to the geometry of illumination and the geometry of the arrangement of branches and leaves. An evaluation of the radiometric quality of the mosaic of an orange plantation produced using images captured by a hyperspectral imager based on a tunable Fabry-Pérot interferometer and applying the radiometric block adjustment method, was performed. A high-resolution UAV based hyperspectral survey was carried out in an orange-producing farm located in Santa Cruz do Rio Pardo, state of São Paulo, Brazil. A set of 25 narrow spectral bands with 2.5 cm of GSD images were acquired. Trend analysis was applied to the values of a sample of transects extracted from plants appearing in the mosaic. The results of these trend analysis on the pixels distributed along transects on orange tree crown showed the reflectance factor presented a slightly trend, but the coefficients of the polynomials are very small, so the quality of mosaic is good enough for many applications.

  11. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  12. KERNEL MAD ALGORITHM FOR RELATIVE RADIOMETRIC NORMALIZATION

    Directory of Open Access Journals (Sweden)

    Y. Bai

    2016-06-01

    Full Text Available The multivariate alteration detection (MAD algorithm is commonly used in relative radiometric normalization. This algorithm is based on linear canonical correlation analysis (CCA which can analyze only linear relationships among bands. Therefore, we first introduce a new version of MAD in this study based on the established method known as kernel canonical correlation analysis (KCCA. The proposed method effectively extracts the non-linear and complex relationships among variables. We then conduct relative radiometric normalization experiments on both the linear CCA and KCCA version of the MAD algorithm with the use of Landsat-8 data of Beijing, China, and Gaofen-1(GF-1 data derived from South China. Finally, we analyze the difference between the two methods. Results show that the KCCA-based MAD can be satisfactorily applied to relative radiometric normalization, this algorithm can well describe the nonlinear relationship between multi-temporal images. This work is the first attempt to apply a KCCA-based MAD algorithm to relative radiometric normalization.

  13. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  14. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  15. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  16. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  17. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  18. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  19. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  20. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  1. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  2. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  3. RADIOMETRIC TECHNIQUES IN HEAVY MINERAL EXPLORATION AND EXPLOITATION

    NARCIS (Netherlands)

    DEMEIJER, RJ; TANCZOS, IC; STAPEL, C

    1994-01-01

    In recent years the Environmental Research Group of the KVI has been developing a number of radiometric techniques that may be employed in mineral sand exploration. These techniques involve: radiometric fingerprinting for assessing sand provenances and mineralogical composition; thermoluminescence

  4. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  5. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  6. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  7. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  8. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  9. Processing data collected from radiometric experiments by multivariate technique

    International Nuclear Information System (INIS)

    Urbanski, P.; Kowalska, E.; Machaj, B.; Jakowiuk, A.

    2005-01-01

    Multivariate techniques applied for processing data collected from radiometric experiments can provide more efficient extraction of the information contained in the spectra. Several techniques are considered: (i) multivariate calibration using Partial Least Square Regression and Artificial Neural Network, (ii) standardization of the spectra, (iii) smoothing of collected spectra were autocorrelation function and bootstrap were used for the assessment of the processed data, (iv) image processing using Principal Component Analysis. Application of these techniques is illustrated on examples of some industrial applications. (author)

  10. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  11. Tasmanian tin and tungsten granites - their radiometric characteristics

    International Nuclear Information System (INIS)

    Yeates, A.N.

    1982-01-01

    A radiometric survey of Tasmanian granites has shown, with one exception, that tin and tungsten-bearing granites have high radioactivity, largely owing to increased uranium. Many have a high uranium/thorium ratio as well. Radiometric measurements can also delineate different granite types within composite bodies

  12. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  13. Training course on radiometric prospecting techniques

    International Nuclear Information System (INIS)

    1979-01-01

    A training course on radiometric prospecting techniques was presented by the Atomic Energy Board in collaboration with the South African Geophysical Association and the Geological Society of South Africa. Various aspects related to uranium prospecting were discussed e.g. the uranium supply and demand position, the basic physics of radioactivity, uranium geochemistry, mineralogy and mobility, the instrumentation and techniques used in uranium exploration, for example, borehole logging, radon emanometry and airborne radiometric surveys and also data processing and interpretation methods

  14. RapidEye constellation relative radiometric accuracy measurement using lunar images

    Science.gov (United States)

    Steyn, Joe; Tyc, George; Beckett, Keith; Hashida, Yoshi

    2009-09-01

    The RapidEye constellation includes five identical satellites in Low Earth Orbit (LEO). Each satellite has a 5-band (blue, green, red, red-edge and near infrared (NIR)) multispectral imager at 6.5m GSD. A three-axes attitude control system allows pointing the imager of each satellite at the Moon during lunations. It is therefore possible to image the Moon from near identical viewing geometry within a span of 80 minutes with each one of the imagers. Comparing the radiometrically corrected images obtained from each band and each satellite allows a near instantaneous relative radiometric accuracy measurement and determination of relative gain changes between the five imagers. A more traditional terrestrial vicarious radiometric calibration program has also been completed by MDA on RapidEye. The two components of this program provide for spatial radiometric calibration ensuring that detector-to-detector response remains flat, while a temporal radiometric calibration approach has accumulated images of specific dry dessert calibration sites. These images are used to measure the constellation relative radiometric response and make on-ground gain and offset adjustments in order to maintain the relative accuracy of the constellation within +/-2.5%. A quantitative comparison between the gain changes measured by the lunar method and the terrestrial temporal radiometric calibration method is performed and will be presented.

  15. Radiometric Calibration of Osmi Imagery Using Solar Calibration

    Directory of Open Access Journals (Sweden)

    Dong-Han Lee

    2000-12-01

    Full Text Available OSMI (Ocean Scanning Multi-Spectral Imager raw image data (Level 0 were acquired and radiometrically corrected. We have applied two methods, using solar & dark calibration data from OSMI sensor and comparing with the SeaWiFS data, to the radiometric correction of OSMI raw image data. First, we could get the values of the gain and the offset for each pixel and each band from comparing the solar & dark calibration data with the solar input radiance values, calculated from the transmittance, BRDF (Bidirectional Reflectance Distribution Function and the solar incidence angle (¥â,¥è of OSMI sensor. Applying this calibration data to OSMI raw image data, we got the two odd results, the lower value of the radiometric corrected image data than the expected value, and the Venetian Blind Effect in the radiometric corrected image data. Second, we could get the reasonable results from comparing OSMI raw image data with the SeaWiFS data, and get a new problem of OSMI sensor.

  16. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  17. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  18. Determination of molybdenite leaching degree by x-ray radiometric analysis

    International Nuclear Information System (INIS)

    Bibinov, S.A.; Gladyshev, V.P.; Yarmolik, A.S.; Kim, A.Ch.; Sokur, N.P.

    1984-01-01

    A express chemical X-ray radiometric method for determination of leaching degree and analysis of molybdenite products is developed. The method comprises chemical preparation and the following X-ray radiometric determination of molyb bdenum. Total duration of the analysis is 1-1.5 h. The best reproductivity is btained at X-ray radiometric analysis as compared with the chemical one

  19. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  20. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  1. Data acquisition and processing - helicopter radiometric survey, Krageroe, 1998

    CERN Document Server

    Beard, L P

    2000-01-01

    On 07 October 1998 a helicopter radiometric survey was flown in the vicinity of Krageroe municipality. The purpose of the survey was to provide radiometric information to help assess radon hazard from radioactive rocks in the area. A total of 60 line-kilometres of radiometric data were acquired in a single flight, covering an area of approximately 3 square km with a 50-m line spacing. The data were collected by Geological Survey of Norway (NGU) personnel and processed at NGU. Radiometric data were reduced using the three-channel procedure recommended by the International Atomic Energy Association. All data were gridded using square cells with 30-m sides and geophysical maps were produced at a scale of 1:5000. This report covers aspects of data acquisition and processing (Author)

  2. Data acquisition and processing - helicopter radiometric survey, Krageroe, 1998

    Energy Technology Data Exchange (ETDEWEB)

    Beard, Les P.; Mogaard, John Olav

    2000-07-01

    On 07 October 1998 a helicopter radiometric survey was flown in the vicinity of Krageroe municipality. The purpose of the survey was to provide radiometric information to help assess radon hazard from radioactive rocks in the area. A total of 60 line-kilometres of radiometric data were acquired in a single flight, covering an area of approximately 3 square km with a 50-m line spacing. The data were collected by Geological Survey of Norway (NGU) personnel and processed at NGU. Radiometric data were reduced using the three-channel procedure recommended by the International Atomic Energy Association. All data were gridded using square cells with 30-m sides and geophysical maps were produced at a scale of 1:5000. This report covers aspects of data acquisition and processing (Author)

  3. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  4. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  5. Empirical Radiometric Normalization of Road Points from Terrestrial Mobile Lidar System

    Directory of Open Access Journals (Sweden)

    Tee-Ann Teo

    2015-05-01

    Full Text Available Lidar data provide both geometric and radiometric information. Radiometric information is influenced by sensor and target factors and should be calibrated to obtain consistent energy responses. The radiometric correction of airborne lidar system (ALS converts the amplitude into a backscatter cross-section with physical meaning value by applying a model-driven approach. The radiometric correction of terrestrial mobile lidar system (MLS is a challenging task because it does not completely follow the inverse square range function at near-range. This study proposed a radiometric normalization workflow for MLS using a data-driven approach. The scope of this study is to normalize amplitude of road points for road surface classification, assuming that road points from different scanners or strips should have similar responses in overlapped areas. The normalization parameters for range effect were obtained from crossroads. The experiment showed that the amplitude difference between scanners and strips decreased after radiometric normalization and improved the accuracy of road surface classification.

  6. Radiometric analyzer

    International Nuclear Information System (INIS)

    Arima, S.; Oda, M.; Miyashita, K.; Takada, M.

    1977-01-01

    A radiometric analyzer for measuring the characteristic values of a sample by radiation includes a humer of radiation measuring subsystems having different ratios of sensitivities to the elements of the sample and linearizing circuits having inverse function characteristics of calibration functions which correspond to the radiation measuring subsystems. A weighing adder operates a desirable linear combination of the outputs of the linearizing circuits. Operators for operating between two or more different linear combinations are included

  7. Ten years of radiometric monitoring in water samples in Uruguay potables plants

    International Nuclear Information System (INIS)

    Perruni, P.

    2000-01-01

    The work exposes the summary of having been radiometrics obtained during the last 10 years in several water treatment plants of the national territory, with the purpose of determining if in the total dose to the one that this exposed one naturally the population of the country, is important the contribution of polluting radioactives in the drinkable water, in function of the geographical area and the time of the year. The investigation is framed inside the Program of Control Radiometrics of Products of Fission in waters, floors, foods and aerosols of the Uruguay developed by the Radiochemistry Department, of the Nuclear Research Center, Montevideo (UY) The samples of water filter, they process and they analyze according to laboratory protocols, had duplicated by each plant, parallel with radio-active, white bottom measures and standards. The results net average obtained for each factory, gave below the one it limits of detection: 2 BQ/Kg for geometry Marinelli and 0.02 BQ/g for plane geometry, with 99,3% of dependability (standard 3 deviations), very below the maximum values admitted by International Organisms (WHO, FAO, ICRP) [es

  8. Radiometric well logging instruments

    International Nuclear Information System (INIS)

    Davydov, A.V.

    1975-01-01

    The technical properties of well instruments for radioactive logging used in the radiometric logging complexes PKS-1000-1 (''Sond-1'') and PRKS-2 (''Vitok-2'') are described. The main features of the electric circuit of the measuring channels are given

  9. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  10. The radiometric industries of the countries of the European Community

    International Nuclear Information System (INIS)

    Roeper, Burkhardt

    1975-01-01

    The economic development of the radiometric industries in the EEC and the USA since 1960 is studied on the basis of sales statistics. The study covers the supply and the use of radioisotopes, the application of radiometric techniques, the scope and the development of the foreign trade as well as the structure of the firms concerned. The future need for radiometric apparatus is estimated as regards radiation protection, laboratories, industry, nuclear power plants and medicine

  11. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  12. Radiometric measurements on the fabrication of non-destructive assay standards for WIPP-Performance Demonstration Program

    International Nuclear Information System (INIS)

    Wong, A.S.; Marshall, R.S.

    1997-04-01

    The Inorganic Elemental Analysis Group of LANL has prepared several different sets of working reference materials (WRMs). These WRMs are prepared by blending quantities of nuclear materials (plutonium, americium, and enriched uranium) with diatomaceous earth. The blends are encapsulated in stainless steel cylinders. These WRMs are being measured as blind controls in neutron and gamma based non-destructive assay (NDA) instruments. Radiometric measurements on the blending homogeneity and verification on a set of sixty three plutonium based WRMs are discussed in this paper

  13. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  14. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  15. Radiometric report for a blast furnace tracing with radioactive isotopes

    International Nuclear Information System (INIS)

    Tanase, G.; Tanase, M.

    1995-01-01

    One of the methods to monitor refractory wall of blast furnace is its tracing with radioactive isotopes. The tracer isotope can be detected by two ways: the external dosimetric measurement at the armour of the blast furnace and/or the radiometric measurement of the iron sample charge by charge. Any change in radiometric situation of tracer radioisotope is recorded in a radiometric report. This paper presents an original concept of radiometric report based upon PARADOX and CORELDRAW soft kits. Their advantage are: quick and easy changes, easy recording of current radioactivity of tracer isotope, short history of changes, visual mapping of the tracer isotope and others. In this way we monitored 6 blast furnaces and more than 180 radioactive sources

  16. Radiometric detection of yeasts in blood cultures of cancer patients

    International Nuclear Information System (INIS)

    Hopfer, R.L.; Orengo, A.; Chesnut, S.; Wenglar, M.

    1980-01-01

    During a 12-month period, 19,457 blood cultures were collected. Yeasts were isolated from 193 cultures derived from 76 cancer patients. Candida albicans or Candida tropicalis accounted for 79% of isolates. Of the three methods compared, the radiometric method required 2.9 days to become positive, blind subculture required 2.6 days, and Gram stains required 1 day. However, the radiometric method was clearly superior in detecting positive cultures, since 73% of all cultures were first detected radiometrically, 22% were detected by subculture, and only 5% were detected by Gram stain. Although 93% of the isolates were detected by aerobic culture, five (7%) isolates were obtained only from anaerobic cultures. Seven days of incubation appear to be sufficient for the radiometric detection of yeasts

  17. A Review of LIDAR Radiometric Processing: From Ad Hoc Intensity Correction to Rigorous Radiometric Calibration

    Directory of Open Access Journals (Sweden)

    Alireza G. Kashani

    2015-11-01

    Full Text Available In addition to precise 3D coordinates, most light detection and ranging (LIDAR systems also record “intensity”, loosely defined as the strength of the backscattered echo for each measured point. To date, LIDAR intensity data have proven beneficial in a wide range of applications because they are related to surface parameters, such as reflectance. While numerous procedures have been introduced in the scientific literature, and even commercial software, to enhance the utility of intensity data through a variety of “normalization”, “correction”, or “calibration” techniques, the current situation is complicated by a lack of standardization, as well as confusing, inconsistent use of terminology. In this paper, we first provide an overview of basic principles of LIDAR intensity measurements and applications utilizing intensity information from terrestrial, airborne topographic, and airborne bathymetric LIDAR. Next, we review effective parameters on intensity measurements, basic theory, and current intensity processing methods. We define terminology adopted from the most commonly-used conventions based on a review of current literature. Finally, we identify topics in need of further research. Ultimately, the presented information helps lay the foundation for future standards and specifications for LIDAR radiometric calibration.

  18. A Review of LIDAR Radiometric Processing: From Ad Hoc Intensity Correction to Rigorous Radiometric Calibration.

    Science.gov (United States)

    Kashani, Alireza G; Olsen, Michael J; Parrish, Christopher E; Wilson, Nicholas

    2015-11-06

    In addition to precise 3D coordinates, most light detection and ranging (LIDAR) systems also record "intensity", loosely defined as the strength of the backscattered echo for each measured point. To date, LIDAR intensity data have proven beneficial in a wide range of applications because they are related to surface parameters, such as reflectance. While numerous procedures have been introduced in the scientific literature, and even commercial software, to enhance the utility of intensity data through a variety of "normalization", "correction", or "calibration" techniques, the current situation is complicated by a lack of standardization, as well as confusing, inconsistent use of terminology. In this paper, we first provide an overview of basic principles of LIDAR intensity measurements and applications utilizing intensity information from terrestrial, airborne topographic, and airborne bathymetric LIDAR. Next, we review effective parameters on intensity measurements, basic theory, and current intensity processing methods. We define terminology adopted from the most commonly-used conventions based on a review of current literature. Finally, we identify topics in need of further research. Ultimately, the presented information helps lay the foundation for future standards and specifications for LIDAR radiometric calibration.

  19. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  20. RADIOMETRIC CALIBRATION OF AIRBORNE LASER SCANNING DATA

    OpenAIRE

    Pilarska Magdalena

    2016-01-01

    Airborne laser scanning (ALS) is widely used passive remote sensing technique. The radiometric calibration of ALS data is presented in this article. This process is a necessary element in data processing since it eliminates the influence of the external factors on the obtained values of radiometric features such as range and incidence angle. The datasets were captured with three different laser scanners; since each of these operates at a different wavelength (532, 106 4 and 1550 nm) th...

  1. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  2. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  3. Application of microcomputer to X-ray radiometric ore separation

    International Nuclear Information System (INIS)

    Neverov, A.D.; Aleksandrov, P.S.; Kotler, N.I.

    1988-01-01

    The practical use of microcomputers as universal means for converting information for solving applied problems of X-ray radiometric ore separation method is considered. Laboratory tests of two metals - tungsten and tin manifested high efficiency of the developed system. X-ray radiometric separator software is developed

  4. PLEIADES-HR INNOVATIVE TECHNIQUES FOR RADIOMETRIC IMAGE QUALITY COMMISSIONING

    Directory of Open Access Journals (Sweden)

    G. Blanchet

    2012-07-01

    Full Text Available The first Pleiades-HR satellite, part of a constellation of two, has been launched on December 17, 2011. This satellite produces high resolution optical images. In order to achieve good image quality, Pleiades-HR should first undergo an important 6 month commissioning phase period. This phase consists in calibrating and assessing the radiometric and geometric image quality to offer the best images to end users. This new satellite has benefited from technology improvements in various fields which make it stand out from other Earth observation satellites. In particular, its best-in-class agility performance enables new calibration and assessment techniques. This paper is dedicated to presenting these innovative techniques that have been tested for the first time for the Pleiades- HR radiometric commissioning. Radiometric activities concern compression, absolute calibration, detector normalization, and refocusing operations, MTF (Modulation Transfer Function assessment, signal-to-noise ratio (SNR estimation, and tuning of the ground processing parameters. The radiometric performances of each activity are summarized in this paper.

  5. Radiometric Correction of Close-Range Spectral Image Blocks Captured Using an Unmanned Aerial Vehicle with a Radiometric Block Adjustment

    Directory of Open Access Journals (Sweden)

    Eija Honkavaara

    2018-02-01

    Full Text Available Unmanned airborne vehicles (UAV equipped with novel, miniaturized, 2D frame format hyper- and multispectral cameras make it possible to conduct remote sensing measurements cost-efficiently, with greater accuracy and detail. In the mapping process, the area of interest is covered by multiple, overlapping, small-format 2D images, which provide redundant information about the object. Radiometric correction of spectral image data is important for eliminating any external disturbance from the captured data. Corrections should include sensor, atmosphere and view/illumination geometry (bidirectional reflectance distribution function—BRDF related disturbances. An additional complication is that UAV remote sensing campaigns are often carried out under difficult conditions, with varying illumination conditions and cloudiness. We have developed a global optimization approach for the radiometric correction of UAV image blocks, a radiometric block adjustment. The objective of this study was to implement and assess a combined adjustment approach, including comprehensive consideration of weighting of various observations. An empirical study was carried out using imagery captured using a hyperspectral 2D frame format camera of winter wheat crops. The dataset included four separate flights captured during a 2.5 h time period under sunny weather conditions. As outputs, we calculated orthophoto mosaics using the most nadir images and sampled multiple-view hyperspectral spectra for vegetation sample points utilizing multiple images in the dataset. The method provided an automated tool for radiometric correction, compensating for efficiently radiometric disturbances in the images. The global homogeneity factor improved from 12–16% to 4–6% with the corrections, and a reduction in disturbances could be observed in the spectra of the object points sampled from multiple overlapping images. Residuals in the grey and white reflectance panels were less than 5% of the

  6. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  7. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  8. Initial Radiometric Characteristics of KOMPSAT-3A Multispectral Imagery Using the 6S Radiative Transfer Model, Well-Known Radiometric Tarps, and MFRSR Measurements

    Directory of Open Access Journals (Sweden)

    Jong-Min Yeom

    2017-02-01

    Full Text Available On-orbit radiometric characterization of the multispectral (MS imagery of the Korea Aerospace Research Institute (KARI’s Korea Multi-Purpose Satellite-3A (KOMPSAT-3A, which was launched on 25 March 2015, was conducted to provide quantitative radiometric information about KOMPSAT-3A. During the in-orbit test (IOT, vicarious radiometric calibration of KOMPSAT-3A was performed using the Second Simulation of a Satellite Signal in the Solar Spectrum (6S radiative transfer model. The characteristics of radiometric tarps, the atmospheric optical depth from multi-filter rotating shadowband radiometer (MFRSR measurements, and sun–sensor–geometry were carefully considered, in order to calculate the exact top of atmosphere (TOA radiance received by KOMPSAT-3A MS bands. In addition, the bidirectional reflectance distribution function (BRDF behaviors of the radiometric tarps were measured in the laboratory with a two-dimensional hyperspectral gonioradiometer, to compensate for the geometry discrepancy between the satellite and the ASD FieldSpec® 3 spectroradiometer. The match-up datasets between the TOA radiance and the digital number (DN from KOMPSAT-3A were used to determine DN-to-radiance conversion factors, based on linear least squares fitting for two field campaigns. The final results showed that the R2 values between the observed and simulated radiances for the blue, green, red, and near-infrared (NIR bands, are greater than 0.998. An approximate error budget analysis for the vicarious calibration of KOMPSAT-3A showed an error of less than 6.8%. When applying the laboratory-based BRDF correction to the case of higher viewing zenith angle geometry, the gain ratio was improved, particularly for the blue (1.3% and green (1.2% bands, which exhibit high sensitivity to the BRDF of radiometric tarps during the backward-scattering phase. The calculated gain ratio between the first and second campaigns showed a less than 5% discrepancy, indicating that

  9. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  10. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  11. Panay carborne radiometric and geochemical surveys

    International Nuclear Information System (INIS)

    Santos, G. Jr.

    1981-09-01

    A carborne radiometric survey and stream sediments collection were conducted in Panay and Guimaras Islands. An area in Nabas, Aklan, situated in the northwestern tip of Panay (Buruanga Peninsula) which indicated 2 to 3 times above background radioactivity was delineated. Uranium content in the stream sediment samples collected from Buruanga Peninsula was generally higher than those obtained in other parts of the island. Radioactivity measurements and uranium content in stream sediments were found to be within background levels. It is recommended that follow-up radiometric and geochemical surveys be undertaken in Buruanga Peninsula and additional stream sediments samples be collected in Panay to achieve better sampling density and coverage. (author)

  12. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  13. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  14. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  15. A simple radiometric in vitro assay for acetylcholinesterase inhibitors

    International Nuclear Information System (INIS)

    Guilarte, T.R.; Burns, H.D.; Dannals, R.F.; Wagner, H.N. Jr.

    1983-01-01

    A radiometric method for screening acetylcholinesterase inhibitors has been described. The method is based on the production of [ 14 C]carbon dioxide from the hydrolysis of acetylcholine. The inhibitory concentration at 50% (IC50) values for several known acetylcholinesterase inhibitors were in agreement with literature values. The new radiometric method is simple, inexpensive, and has the potential for automation

  16. Automation of radiometric testing

    International Nuclear Information System (INIS)

    Chekalin, A.S.; Temnik, A.K.; Butakova, G.E.; Goncharov, V.I.

    1983-01-01

    The main prerequisites for creation of automatic systems of radiometric testing as the means to increase the testing objectivity and quality have been considered, principles of their design being developed. The operating system is described for testing complex configuration products using RD-10R gamma flow detector as a sensor of initial information

  17. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  18. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  19. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  20. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  1. Laboratory-Based BRDF Calibration of Radiometric Tarps

    Science.gov (United States)

    Georgiev, Georgi T.; Butler, James J.

    2007-01-01

    The current study provides the remote sensing community with important high accuracy laboratory-based BRDF calibration of radiometric tarps. The results illustrate the dependence of tarps' weft and warp threads orientation on BRDF. The study was done at incident angles of 0deg, 10deg, and 30deg; scatter zenith angles from 0deg to 60deg, and scatter azimuth angles of 0deg, 45deg, 90deg, 135deg, and 180deg. The wavelengths were 485nm, 550nm, 633nm and 800nm. The dependence is well defined at all measurement geometries and wavelengths. It can be as high as 8% at 0deg incident angle and 2% at 30deg incident angle. The fitted BRDF data show a very small discrepancy from the measured ones. New data on the forward and backscatter properties of radiometric tarps is reported. The backward scatter is well pronounced for the white samples. The black sample has well pronounced forward scatter. The BRDF characterization of radiometric tarps can be successfully extended to other structured surface fabric samples. The results are NIST traceable.

  2. PTB’s radiometric scales for UV and VUV source calibration based on synchrotron radiation

    Science.gov (United States)

    Klein, Roman; Kroth, Simone; Paustian, Wolfgang; Richter, Mathias; Thornagel, Reiner

    2018-06-01

    The radiant intensity of synchrotron radiation can be accurately calculated with classical electrodynamics. This primary realization of the spectral radiant intensity has been used by PTB at several electron storage rings which have been optimized to be operated as primary source standards for the calibration of transfer sources in the spectral range of UV and VUV for almost 30 years. The transfer sources are compared to the primary source standard by means of suitable wavelength-dispersive transfer stations. The spectral range covered by deuterium lamps, which represent transfer sources that are easy to handle, is of particular relevance in practice. Here, we report on developments in the realization and preservation of the radiometric scales for spectral radiant intensity and spectral radiance in the wavelength region from 116 nm to 400 nm, based on a set of deuterium reference lamps, over the last few decades. An inside view and recommendations on the operation of the D2 lamps used for the realization of the radiometric scale are presented. The data has been recently compiled to illustrate the chronological behaviour at various wavelengths. Moreover, an overview of the internal and external validation measurements and intercomparisons is given.

  3. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  4. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  5. Radiometric characterization of Landsat Collection 1 products

    Science.gov (United States)

    Micijevic, Esad; Haque, Md. Obaidul; Mishra, Nischal

    2017-09-01

    Landsat data in the U.S. Geological Survey (USGS) archive are being reprocessed to generate a tiered collection of consistently geolocated and radiometrically calibrated products that are suitable for time series analyses. With the implementation of the collection management, no major updates will be made to calibration of the Landsat sensors within a collection. Only calibration parameters needed to maintain the established calibration trends without an effect on derived environmental records will be regularly updated, while all other changes will be deferred to a new collection. This first collection, Collection 1, incorporates various radiometric calibration updates to all Landsat sensors including absolute and relative gains for Landsat 8 Operational Land Imager (OLI), stray light correction for Landsat 8 Thermal Infrared Sensor (TIRS), absolute gains for Landsat 4 and 5 Thematic Mappers (TM), recalibration of Landsat 1-5 Multispectral Scanners (MSS) to ensure radiometric consistency among different formats of archived MSS data, and a transfer of Landsat 8 OLI reflectance based calibration to all previous Landsat sensors. While all OLI/TIRS, ETM+ and majority of TM data have already been reprocessed to Collection 1, a completion of MSS and remaining TM data reprocessing is expected by the end of this year. It is important to note that, although still available for download from the USGS web pages, the products generated using the Pre-Collection processing do not benefit from the latest radiometric calibration updates. In this paper, we are assessing radiometry of solar reflective bands in Landsat Collection 1 products through analysis of trends in on-board calibrator and pseudo invariant site (PICS) responses.

  6. Radiometric measurement techniques in metallurgy and foundry technology

    International Nuclear Information System (INIS)

    1990-01-01

    The contributions contain informations concerning the present state and development of radiometric measurement techniques in metallurgy and foundry technology as well as their application to the solution of various problems. The development of isotope techniques is briefly described. Major applications of radiometric equipment in industrial measurement are presented together with the use of isotopes to monitor processes of industrial production. This is followed by a short description of numerous laboratory-scale applications. Another contribution deals with fundamental problems and methods of moisture measurement by neutrons. A complex moisture/density measurement device the practical applicability of which has been tested is described here. Possibilities for clay determination in used-up moulding materials are discussed in a further contribution. The clay content can be determined by real-time radiometric density measurement so that the necessary moisture or addition of fresh sand can be controlled. (orig.) With 20 figs., 9 tabs., 178 refs [de

  7. Transportable high sensitivity small sample radiometric calorimeter

    International Nuclear Information System (INIS)

    Wetzel, J.R.; Biddle, R.S.; Cordova, B.S.; Sampson, T.E.; Dye, H.R.; McDow, J.G.

    1998-01-01

    A new small-sample, high-sensitivity transportable radiometric calorimeter, which can be operated in different modes, contains an electrical calibration method, and can be used to develop secondary standards, will be described in this presentation. The data taken from preliminary tests will be presented to indicate the precision and accuracy of the instrument. The calorimeter and temperature-controlled bath, at present, require only a 30-in. by 20-in. tabletop area. The calorimeter is operated from a laptop computer system using unique measurement module capable of monitoring all necessary calorimeter signals. The calorimeter can be operated in the normal calorimeter equilibration mode, as a comparison instrument, using twin chambers and an external electrical calibration method. The sample chamber is 0.75 in (1.9 cm) in diameter by 2.5 in. (6.35 cm) long. This size will accommodate most 238 Pu heat standards manufactured in the past. The power range runs from 0.001 W to <20 W. The high end is only limited by sample size

  8. Complex optimization of radiometric control and measurement systems

    International Nuclear Information System (INIS)

    Onishchenko, A.M.

    1995-01-01

    Fundamentals of a new approach to increase in the accuracy of radiometric systems of control and measurements are presented in succession. Block diagram of the new concept of radiometric system optimization is provided. The approach involving radical increase in accuracy and envisages ascertaining of controlled parameter by the totality of two intelligence signals closely correlated with each other. The new concept makes use of system analysis as a unified one-piece object, permitting euristic synthesis of the system. 4 refs., 3 figs

  9. English/Russian terminology on radiometric calibration of space-borne optoelectronic sensors

    Science.gov (United States)

    Privalsky, V.; Zakharenkov, V.; Humpherys, T.; Sapritsky, V.; Datla, R.

    The efficient use of data acquired through exo-atmospheric observations of the Earth within the framework of existing and newly planned programs requires a unique understanding of respective terms and definitions. Yet, the last large-scale document on the subject - The International Electrotechnical Vocabulary - had been published 18 years ago. This lack of a proper document, which would reflect the changes that had occurred in the area since that time, is especially detrimental to the developing international efforts aimed at global observations of the Earth from space such as the Global Earth Observations Program proposed by the U.S.A. at the 2003 WMO Congress. To cover this gap at least partially, a bi-lingual explanatory dictionary of terms and definitions in the area of radiometric calibration of space-borne IR sensors is developed. The objectives are to produce a uniform terminology for the global space-borne observations of the Earth, establish a unique understanding of terms and definitions by the radiometric communities, including a correspondence between the Russian and American terms and definitions, and to develop a formal English/Russian reference dictionary for use by scientists and engineers involved in radiometric observations of the Earth from space. The dictionary includes close to 400 items covering basic concepts of geometric, wave and corpuscular optics, remote sensing technologies, and ground-based calibration as well as more detailed treatment of terms and definitions in the areas of radiometric quantities, symbols and units, optical phenomena and optical properties of objects and media, and radiometric systems and their properties. The dictionary contains six chapters: Basic Concepts, Quantities, Symbols, and Units, Optical phenomena, Optical characteristics of surfaces and media, Components of Radiometric Systems, Characteristics of radiometric system components, plus English/Russian and Russian/Inglish indices.

  10. Radiometric-microbiologic assay fo vitamin B-6: analysis of plasma samples

    International Nuclear Information System (INIS)

    Guilarte, T.R.; McIntyre, P.A.

    1981-01-01

    A radiometric microbiologic assay for the analysis of vitamin B-6 in plasma was developed. The method is based on the measurement of 14CO2 generated from the metabolism of DL-l-14C-valine (L-l-14C-valine) by Kloeckera brevis. The assay is specific for the biologically active forms of the vitamin, that is, pyridoxine, pyridoxal and pyridoxamine, and their respective phosphorylated forms. The biologically inert vitamin B-6 metabolite (4-pyridoxic acid) did not generate a response at concentrations tested. The radiometric technique was shown to be sensitive to the 1 nanogram level. Reproducibility and recovery studies gave good results. Fifteen plasma samples were assayed using the radiometric and turbidimetric techniques. The correlation coefficient was r . 0.98. Turbid material or precipitated debris did not interfere with the radiometric microbiologic assay, thus allowing for simplification of assay procedure

  11. Evaluation of relative radiometric correction techniques on Landsat 8 OLI sensor data

    Science.gov (United States)

    Novelli, Antonio; Caradonna, Grazia; Tarantino, Eufemia

    2016-08-01

    The quality of information derived from processed remotely sensed data may depend upon many factors, mostly related to the extent data acquisition is influenced by atmospheric conditions, topographic effects, sun angle and so on. The goal of radiometric corrections is to reduce such effects in order enhance the performance of change detection analysis. There are two approaches to radiometric correction: absolute and relative calibrations. Due to the large amount of free data products available, absolute radiometric calibration techniques may be time consuming and financially expensive because of the necessary inputs for absolute calibration models (often these data are not available and can be difficult to obtain). The relative approach to radiometric correction, known as relative radiometric normalization, is preferred with some research topics because no in situ ancillary data, at the time of satellite overpasses, are required. In this study we evaluated three well known relative radiometric correction techniques using two Landsat 8 - OLI scenes over a subset area of the Apulia Region (southern Italy): the IR-MAD (Iteratively Reweighted Multivariate Alteration Detection), the HM (Histogram Matching) and the DOS (Dark Object Subtraction). IR-MAD results were statistically assessed within a territory with an extremely heterogeneous landscape and all computations performed in a Matlab environment. The panchromatic and thermal bands were excluded from the comparisons.

  12. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  13. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  14. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  15. Extreme ultraviolet spectral irradiance measurements since 1946

    Science.gov (United States)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  16. Map of natural gamma radiation in Spain: radiometric characterization of different types of surfaces

    International Nuclear Information System (INIS)

    Suarez Mahou, E.; Fernandez Amigot, J.A.; Botas Medina, J.

    1997-01-01

    The gamma radioactivity flowing from ground and rocks is due to the presence in these of uranium, thorium and potassium-40. The method of radiometric characterization depends on the purpose of the undertaking. Radiometric characterization can be realized on big surfaces (tens or hundreds of square kilometres studied on a national scale), medium size surfaces (50 to 1000 square kilometres, for example, in epidemiological or biological studies in areas with a determined radiometric background) small surfaces of less than 50 square kilometres (industrial sites, pre-operational studies, etc.). This article considers aspects of radiometric characterization on surfaces of interest and describes the contribution of the MARNA (Natural Provisional Radiation Map of Spain) Project selection and radiometric characterization

  17. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  18. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  19. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  20. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  1. Radiometric study of creep in ingot rolling

    International Nuclear Information System (INIS)

    Kubicek, P.; Zamyslovsky, Z.; Uherek, J.

    The radiometric study of creep during ingot rolling performed in the rolling mill of the Vitkovice Iron and Steel Works and the first results are described. Selected sites in 3 to 8 ton ingots were labelled with 2 to 3.7x10 5 Bq of 60 Co and after rolling into blocks, the transposition of the labelled sites of the ingots was investigated. The results indicate creep during rolling, local extension in certain sites under study and help to determine the inevitable bottom crop incurred in the forming. Finally, the requirements put on the radiometric apparatus for the next stages of technological research are presented. (author)

  2. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  3. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  4. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  5. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  6. Assessing Radiometric Stability of the 17-Plus-Year TRMM Microwave Imager 1B11 Version-8 (GPM05 Brightness Temperature Product

    Directory of Open Access Journals (Sweden)

    Ruiyao Chen

    2017-12-01

    Full Text Available The NASA Tropical Rainfall Measuring Mission (TRMM Microwave Imager (TMI has produced a 17-plus-year time-series of calibrated microwave radiances that have remarkable value for investigating the effects of the Earth’s climate change over the tropics. Recently, the Global Precipitation Measurement (GPM Inter-Satellite Radiometric Calibration (XCAL Working Group have performed various calibration and corrections that yielded the legacy TMI 1B11 Version 8 (also called GPM05 brightness temperature product, which will be released in late 2017 by the NASA Precipitation Processing System. Since TMI served as the radiometric transfer standard for the TRMM constellation microwave radiometer sensors, it is important to document its accuracy. In this paper, the various improvements applied to TMI 1B11 V8 are summarized, and the radiometric calibration stability is evaluated by comparisons with a radiative transfer model and by XCAL evaluations with the Global Precipitation Measuring Microwave Imager during their 13-month overlap period. Evaluation methods will be described and results will be presented, which demonstrate that TMI has achieved a radiometric stability level of a few deciKelvin over almost two decades.

  7. Laboratory-based bidirectional reflectance distribution functions of radiometric tarps

    International Nuclear Information System (INIS)

    Georgiev, Georgi T.; Butler, James J.

    2008-01-01

    Laboratory-based bidirectional reflectance distribution functions (BRDFs) of radiometric tarp samples used in the vicarious calibration of Earth remote sensing satellite instruments are presented in this paper. The results illustrate the BRDF dependence on the orientation of the tarps' weft and warp threads. The study was performed using the GSFC scatterometer at incident zenith angles of 0 deg., 10 deg., and 30 deg.; scatter zenith angles from 0 deg. to 60 deg.; and scatter azimuth angles of 0 deg., 45 deg., 90 deg., 135 deg., and 180 deg.. The wavelengths were 485 nm, 550 nm, 633 nm, and 800 nm. The tarp's weft and warp dependence on BRDF is well defined at all measurement geometries and wavelengths. The BRDF difference can be as high as 8% at 0 deg. incident angle and 12% at 30 deg. incident angle. The fitted BRDF data show a very small discrepancy from the measured ones. New data on the forward and backscatter properties of radiometric tarps are reported. The backward scatter is well pronounced for the white samples. The black sample has well-pronounced forward scatter. The provided BRDF characterization of radiometric tarps is an excellent reference for anyone interested in using tarps for radiometric calibrations. The results are NIST traceable

  8. Laboratory-based bidirectional reflectance distribution functions of radiometric tarps.

    Science.gov (United States)

    Georgiev, Georgi T; Butler, James J

    2008-06-20

    Laboratory-based bidirectional reflectance distribution functions (BRDFs) of radiometric tarp samples used in the vicarious calibration of Earth remote sensing satellite instruments are presented in this paper. The results illustrate the BRDF dependence on the orientation of the tarps' weft and warp threads. The study was performed using the GSFC scatterometer at incident zenith angles of 0 degrees, 10 degrees, and 30 degrees; scatter zenith angles from 0 degrees to 60 degrees; and scatter azimuth angles of 0 degrees, 45 degrees, 90 degrees, 135 degrees, and 180 degrees. The wavelengths were 485 nm, 550 nm, 633 nm, and 800 nm. The tarp's weft and warp dependence on BRDF is well defined at all measurement geometries and wavelengths. The BRDF difference can be as high as 8% at 0 degrees incident angle and 12% at 30 degrees incident angle. The fitted BRDF data show a very small discrepancy from the measured ones. New data on the forward and backscatter properties of radiometric tarps are reported. The backward scatter is well pronounced for the white samples. The black sample has well-pronounced forward scatter. The provided BRDF characterization of radiometric tarps is an excellent reference for anyone interested in using tarps for radiometric calibrations. The results are NIST traceable.

  9. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  10. Field radiometric methods of prospecting and exploration for uranium ores

    International Nuclear Information System (INIS)

    Gorbushina, L.V.; Savenko, E.I.; Serdyukova, A.S.

    1978-01-01

    The textbook includes two main chapters which describe gamma- and emanation field radiometric methods. The textbook is intended for geology and geophysics students having training practice in field radiometric methods and is additional to the course of lectures. The textbook can be used in the''Radiometry'' course which is studied in appropriate geological and technical colleges

  11. Itinerant radiometric laboratory (IRL-76)

    International Nuclear Information System (INIS)

    Dolgirev, E.I.; Domaratskij, V.P.; Kostikov, Yu.I.

    1978-01-01

    A mobile radiometric laboratory for routine radiation monitoring of the environment, personnel, and population is described. As compared to the previous models, this one incorporates a number of new features and is more informative and versatile. The design and main technical and operating characteristics of the laboratory are detailed

  12. The use of radiometric ore sorting on South African gold mines

    International Nuclear Information System (INIS)

    Boehme, R.C.; Freer, J.S.

    1982-01-01

    This paper refers to the radiometric sorting tests reported during the 7th CMMI Congress, and then describes the photometric and radiometric sorter installations in operation and under construction in South Africa at present. As radiometric sorting of gold ores uses the radiation from the uranium content as a tracer, it is essential that the sortability of the ore should be reliably determined before sorting is adopted. The method of obtaining the important ore characteristics is described, with examples. The possible increase in gold production from a hypothetical plant as a result of sorting is shown

  13. Radiometric determination of monoethanolamine with 65ZnSo4

    International Nuclear Information System (INIS)

    Varadan, R.; Sriman Narayanan, S.; Rao, V.R.S.

    1984-01-01

    Determination of milligram amounts of monoethanolamine (MEA) with zinc(II) by radiometric titration is described. When MEA is added to a zinc(II) solution containing sulphate ions at 25 degC, a white solid complex is formed. The formation of this complex is employed for the radiometric determination of MEA with 65 Zn. The amount of MEA is directly proportional to the activity of the complex formed. The method is simple, rapid and accurate. (author)

  14. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  15. Ash content of lignites - radiometric analysis

    International Nuclear Information System (INIS)

    Leonhardt, J.; Thuemmel, H.W.

    1986-01-01

    The quality of lignites is governed by the ash content varying in dependence upon the geologic conditions. Setup and function of the radiometric devices being used for ash content analysis in the GDR are briefly described

  16. RADIOMETRIC NORMALIZATION OF LARGE AIRBORNE IMAGE DATA SETS ACQUIRED BY DIFFERENT SENSOR TYPES

    Directory of Open Access Journals (Sweden)

    S. Gehrke

    2016-06-01

    Full Text Available Generating seamless mosaics of aerial images is a particularly challenging task when the mosaic comprises a large number of im-ages, collected over longer periods of time and with different sensors under varying imaging conditions. Such large mosaics typically consist of very heterogeneous image data, both spatially (different terrain types and atmosphere and temporally (unstable atmo-spheric properties and even changes in land coverage. We present a new radiometric normalization or, respectively, radiometric aerial triangulation approach that takes advantage of our knowledge about each sensor’s properties. The current implementation supports medium and large format airborne imaging sensors of the Leica Geosystems family, namely the ADS line-scanner as well as DMC and RCD frame sensors. A hierarchical modelling – with parameters for the overall mosaic, the sensor type, different flight sessions, strips and individual images – allows for adaptation to each sensor’s geometric and radiometric properties. Additional parameters at different hierarchy levels can compensate radiome-tric differences of various origins to compensate for shortcomings of the preceding radiometric sensor calibration as well as BRDF and atmospheric corrections. The final, relative normalization is based on radiometric tie points in overlapping images, absolute radiometric control points and image statistics. It is computed in a global least squares adjustment for the entire mosaic by altering each image’s histogram using a location-dependent mathematical model. This model involves contrast and brightness corrections at radiometric fix points with bilinear interpolation for corrections in-between. The distribution of the radiometry fixes is adaptive to each image and generally increases with image size, hence enabling optimal local adaptation even for very long image strips as typi-cally captured by a line-scanner sensor. The normalization approach is implemented in

  17. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  18. Testing of X-ray radiometric enrichnment of polymetallic ores

    International Nuclear Information System (INIS)

    Eliseev, N.I.; Panova, N.I.; Kirbitova, N.V.; Shramm, E.O.; Efremov, Yu.G.

    1987-01-01

    Testing of X-ray radiometric method of sorting of polymetallic ores using the developed X-ray radiometric device was conducted. It was shown that introduction of preliminary concentration at the factory made the method of fragment separation to be the perspective one, enabling to elevate the factory production with respect to commercial ore and reduce the cost of ore processing. In the case of preliminary concentration, conducted at the mine, it is advisable to perform ore sorting in the flow formed to monolayer

  19. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  20. Results from the radiometric validation of Sentinel-3 optical sensors using natural targets

    Science.gov (United States)

    Fougnie, Bertrand; Desjardins, Camille; Besson, Bruno; Bruniquel, Véronique; Meskini, Naceur; Nieke, Jens; Bouvet, Marc

    2016-09-01

    The recently launched SENTINEL-3 mission measures sea surface topography, sea/land surface temperature, and ocean/land surface colour with high accuracy. The mission provides data continuity with the ENVISAT mission through acquisitions by multiple sensing instruments. Two of them, OLCI (Ocean and Land Colour Imager) and SLSTR (Sea and Land Surface Temperature Radiometer) are optical sensors designed to provide continuity with Envisat's MERIS and AATSR instruments. During the commissioning, in-orbit calibration and validation activities are conducted. Instruments are in-flight calibrated and characterized primarily using on-board devices which include diffusers and black body. Afterward, vicarious calibration methods are used in order to validate the OLCI and SLSTR radiometry for the reflective bands. The calibration can be checked over dedicated natural targets such as Rayleigh scattering, sunglint, desert sites, Antarctica, and tentatively deep convective clouds. Tools have been developed and/or adapted (S3ETRAC, MUSCLE) to extract and process Sentinel-3 data. Based on these matchups, it is possible to provide an accurate checking of many radiometric aspects such as the absolute and interband calibrations, the trending correction, the calibration consistency within the field-of-view, and more generally this will provide an evaluation of the radiometric consistency for various type of targets. Another important aspect will be the checking of cross-calibration between many other instruments such as MERIS and AATSR (bridge between ENVISAT and Sentinel-3), MODIS (bridge to the GSICS radiometric standard), as well as Sentinel-2 (bridge between Sentinel missions). The early results, based on the available OLCI and SLSTR data, will be presented and discussed.

  1. Standard operational radiation protection instructions for process instrumentation and control engineering applying radiometric equipment containing sealed sources

    International Nuclear Information System (INIS)

    1989-01-01

    According to article 16(3) of the Ordinance on the Implementation of Atomic Safety and Radiation Protection of 11 October 1984, operational radiation protection instructions have to be worked out for each type of nuclear energy application. Based on the valid legal provisions of the GDR and on experience and knowledge gained in practice, the most important operational instructions and procedures for the operation of radiometric equipment containing sealed sources were compiled. The example should enable the management to make the instructions directly applicable and, if necessary, to modify or supplement them

  2. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  3. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  4. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  5. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  6. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  7. Modeling response variation for radiometric calorimeters

    International Nuclear Information System (INIS)

    Mayer, R.L. II.

    1986-01-01

    Radiometric calorimeters are widely used in the DOE complex for accountability measurements of plutonium and tritium. Proper characterization of response variation for these instruments is, therefore, vital for accurate assessment of measurement control as well as for propagation of error calculations. This is not difficult for instruments used to measure items within a narrow range of power values; however, when a single instrument is used to measure items over a wide range of power values, improper estimates of uncertainty can result since traditional error models for radiometric calorimeters assume that uncertainty is not a function of sample power. This paper describes methods which can be used to accurately estimate random response variation for calorimeters used to measure items over a wide range of sample powers. The model is applicable to the two most common modes of calorimeter operation: heater replacement and servo control. 5 refs., 4 figs., 1 tab

  8. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  9. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  10. GEOMETRIC AND RADIOMETRIC EVALUATION OF RASAT IMAGES

    Directory of Open Access Journals (Sweden)

    A. Cam

    2016-06-01

    Full Text Available RASAT, the second remote sensing satellite of Turkey, was designed and assembled, and also is being operated by TÜBİTAK Uzay (Space Technologies Research Institute (Ankara. RASAT images in various levels are available free-of-charge via Gezgin portal for Turkish citizens. In this paper, the images in panchromatic (7.5 m GSD and RGB (15 m GSD bands in various levels were investigated with respect to its geometric and radiometric characteristics. The first geometric analysis is the estimation of the effective GSD as less than 1 pixel for radiometrically processed level (L1R of both panchromatic and RGB images. Secondly, 2D georeferencing accuracy is estimated by various non-physical transformation models (similarity, 2D affine, polynomial, affine projection, projective, DLT and GCP based RFM reaching sub-pixel accuracy using minimum 39 and maximum 52 GCPs. The radiometric characteristics are also investigated for 8 bits, estimating SNR between 21.8-42.2, and noise 0.0-3.5 for panchromatic and MS images for L1R when the sea is masked to obtain the results for land areas. The analysis show that RASAT images satisfies requirements for various applications. The research is carried out in Zonguldak test site which is mountainous and partly covered by dense forest and urban areas.

  11. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  12. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  13. X-ray radiometric separation of low-grade tin ores

    Energy Technology Data Exchange (ETDEWEB)

    Kotler, N.I.; Neverov, A.D.; Konovalov, V.M.; Mironov, I.I.; Zakharov, S.N.

    1984-10-01

    The investigations on evaluation of X-ray radiometric separation of off-grade tin ores of one of the deposits are carried out. The experiments have been performed at loboratory and pilot-commerical plants. /sup 241/Am has been used as a radiation source. In the course of facility commercial the ore has been separated by means of a device comprising a separator and gate separatin device. The results of X-ray radiometric separation have shown its high productive efficiency. Concentrates with higher tin content at high extraction from ores are obtained.

  14. X-ray radiometric separation of low-grade tin ores

    International Nuclear Information System (INIS)

    Kotler, N.I.; Neverov, A.D.; Konovalov, V.M.; Mironov, I.I.; Zakharov, S.N.

    1984-01-01

    The investigations on evaluation of X-ray radiometric separation of off-grade tin ores of one of the deposits are carried out. The experiments have been performed at loboratory and pilot-commerical plants. 241 Am has been used as a radiation source. In the course of facility commercial the ore has been separated by means of a device comprising a separator and gate separatin device. The results of X-ray radiometric separation have shown its high productive efficiency. Concentrates with higher tin content at high extraction from ores are obtained

  15. The Radiometric Bode's law and Extrasolar Planets

    National Research Council Canada - National Science Library

    Lazio, T. J; Farrell, W. M; Dietrick, Jill; Greenlees, Elizabeth; Hogan, Emily; Jones, Christopher; Hennig, L. A

    2004-01-01

    We predict the radio flux densities of the extrasolar planets in the current census, making use of an empirical relation the radiometric Bode's law determined from the five "magnetic" planets in the solar system...

  16. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  17. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  18. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  19. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  20. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  1. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  2. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  3. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  4. Ultra-sensitive radionuclide spectrometry. Radiometrics and mass spectrometry synergy

    International Nuclear Information System (INIS)

    Povinec, P.P.

    2005-01-01

    Recent developments in radiometrics and mass spectrometry techniques for ultra-sensitive analysis of radionuclides in the marine environment are reviewed. In the radiometrics sector the dominant development has been the utilization of large HPGe detectors in underground laboratories with anti-cosmic or anti-Compton shielding for the analysis of short and medium-lived radionuclides in the environment. In the mass spectrometry sector, applications of inductively coupled plasma mass spectrometry (ICP-MS) and accelerator mass spectrometry (AMS) for the analysis of long-lived radionuclides in the environment are the most important recent achievements. The recent developments do not only considerably decrease the detection limits for several radionuclides (up to several orders of magnitude), but they also enable to decrease sample volumes so that sampling, e.g., of the water column can be much easier and more effective. A comparison of radiometrics and mass spectrometry results for the analysis of radionuclides in the marine environment shows a reasonable agreement - within quoted uncertainties, for wide range of activities and different sample matrices analyzed. (author)

  5. Use of HPLC with flow-through radiometric detection for low level environmental analysis

    International Nuclear Information System (INIS)

    Mao, J.; Fackler, P.H.

    1992-01-01

    High Performance Liquid Chromatography with flow-through radiometric detection (HPLC-RAM) is increasingly becoming a standard analytical technique in pharmaceutical, agricultural and chemical industries for monitoring radiolabeled analytes. This paper focuses on the applications of this flow-through radiochromatographic technique for low level aquatic toxicology and environmental fate testing. Examples include parts per billion water, sediment/soil and fish tissue analyses using reverse phase as well as normal phase HPLC. The applications of both homogeneous (liquid) and heterogeneous (solid) flow cell scintillation counting are addressed. Compounds discussed are primarily pesticides and pharmaceuticals

  6. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  7. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  8. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  9. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  10. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  11. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  12. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  13. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  14. Radiometric determination in situ of the face grades in Witwatersrand gold and uranium mines

    International Nuclear Information System (INIS)

    Smit, C.J.B.

    1985-01-01

    A prototype collimated radiometric face scanner was tested in the Harmony Gold Mine. The results obtained during the pilot study indicate that in situ radiometric uranium assays are statistically indistinguishable from those obtained conventionally from channel chip samples. In addition, the study demonstrated that reasonably reliable gold estimates can be deduced from the radiometric measurements, by use of the ratio of gold to uranium within a mine. The instrumentation, calibration procedures, and background determination are described briefly

  15. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  16. Radiometric survey in sampling areas of Itataia mine ore and radiometric monitoring in Itataia project sites

    International Nuclear Information System (INIS)

    1982-07-01

    This radiometric survey was done by CDTN, in Itataia sites, on July/82 and it aimed fundamentally to evaluate local radiological conditions, as for aspect of occupational radiation protection. Besides of results obtained, this report has informations of general aspects that ought to serve as subsidies for elaboration of radiological protection program of local. (author) [pt

  17. A design of an on-orbit radiometric calibration device for high dynamic range infrared remote sensors

    Science.gov (United States)

    Sheng, Yicheng; Jin, Weiqi; Dun, Xiong; Zhou, Feng; Xiao, Si

    2017-10-01

    With the demand of quantitative remote sensing technology growing, high reliability as well as high accuracy radiometric calibration technology, especially the on-orbit radiometric calibration device has become an essential orientation in term of quantitative remote sensing technology. In recent years, global launches of remote sensing satellites are equipped with innovative on-orbit radiometric calibration devices. In order to meet the requirements of covering a very wide dynamic range and no-shielding radiometric calibration system, we designed a projection-type radiometric calibration device for high dynamic range sensors based on the Schmidt telescope system. In this internal radiometric calibration device, we select the EF-8530 light source as the calibration blackbody. EF-8530 is a high emittance Nichrome (Ni-Cr) reference source. It can operate in steady or pulsed state mode at a peak temperature of 973K. The irradiance from the source was projected to the IRFPA. The irradiance needs to ensure that the IRFPA can obtain different amplitude of the uniform irradiance through the narrow IR passbands and cover the very wide dynamic range. Combining the internal on-orbit radiometric calibration device with the specially designed adaptive radiometric calibration algorithms, an on-orbit dynamic non-uniformity correction can be accomplished without blocking the optical beam from outside the telescope. The design optimizes optics, source design, and power supply electronics for irradiance accuracy and uniformity. The internal on-orbit radiometric calibration device not only satisfies a series of indexes such as stability, accuracy, large dynamic range and uniformity of irradiance, but also has the advantages of short heating and cooling time, small volume, lightweight, low power consumption and many other features. It can realize the fast and efficient relative radiometric calibration without shielding the field of view. The device can applied to the design and

  18. A Preliminary Analysis of LANDSAT-4 Thematic Mapper Radiometric Performance

    Science.gov (United States)

    Justice, C.; Fusco, L.; Mehl, W.

    1985-01-01

    The NASA raw (BT) product, the radiometrically corrected (AT) product, and the radiometrically and geometrically corrected (PT) product of a TM scene were analyzed examine the frequency distribution of the digital data; the statistical correlation between the bands; and the variability between the detectors within a band. The analyses were performed on a series of image subsets from the full scence. Results are presented from one 1024 c 1024 pixel subset of Realfoot Lake, Tennessee which displayed a representative range of ground conditions and cover types occurring within the full frame image. From this cursory examination of one of the first seven channel TM data sets, it would appear that the radiometric performance of the system is most satisfactory and largely meets pre-launch specifications. Problems were noted with Band 5 Detector 3 and Band 2 Detector 4. Differences were observed between forward and reverse scan detector responses both for the BT and AT products. No systematic variations were observed between odd and even detectors.

  19. RADIOMETRIC CALIBRATION OF MARS HiRISE HIGH RESOLUTION IMAGERY BASED ON FPGA

    Directory of Open Access Journals (Sweden)

    Y. Hou

    2016-06-01

    Full Text Available Due to the large data amount of HiRISE imagery, traditional radiometric calibration method is not able to meet the fast processing requirements. To solve this problem, a radiometric calibration system of HiRISE imagery based on field program gate array (FPGA is designed. The montage gap between two channels caused by gray inconsistency is removed through histogram matching. The calibration system is composed of FPGA and DSP, which makes full use of the parallel processing ability of FPGA and fast computation as well as flexible control characteristic of DSP. Experimental results show that the designed system consumes less hardware resources and the real-time processing ability of radiometric calibration of HiRISE imagery is improved.

  20. The perspectives of development of radiometric techniques for welded joints testing

    International Nuclear Information System (INIS)

    Troitskij, V.A.; Dovzhenko, V.N.

    1987-01-01

    The perspectives of development of radiometric techniques in non-destructive testing are presented. The problems of computer tomography, radiometric introscopy, electrorentgenography (xeroradiography) are reviewed. The aspects of application of low-silver detectors are also analysed. The studies on the problems mentioned above will be continued in order to eliminate expensive radiographic films and having in mind making the testing process faster. The design of individual dosemeters is also demonstrated. (author)

  1. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  2. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  3. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  4. Modified and reverse radiometric flow injection analysis

    Energy Technology Data Exchange (ETDEWEB)

    Myint, U; Ba, H; Khin, M M; Aung, K; Thida, [Yangon Univ. (Myanmar). Dept. of Chemistry; Toelgyessy, J [Slovak Technical Univ., Bratislava (Slovakia). Dept. of Environmental Science

    1994-06-01

    Determination of [sup 137]Cs and [sup 60]Co by using modified and reverse radiometric flow injection analysis is described. Two component RFIA was also realized using [sup 60]Co and [sup 137]Cs radionuclides. (author) 2 refs.; 5 figs.

  5. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  6. Radiometric and dosimetric characteristics of HgI2 detectors

    International Nuclear Information System (INIS)

    Zaletin, V.M.; Krivozubov, O.V.; Torlin, M.A.; Fomin, V.I.

    1988-01-01

    The characteristics of HgI 2 detectors in x-ray and gamma detection in applications to radiometric and dosimetric monitoring and as portable instruments for such purposes was considered. Blocks with mosaic and sandwich structures were prepared and tested against each other and, for comparative purposes, against CdTe detectors for relative sensitivities at various gamma-quanta energies. Sensitivity dependencies on gamma radiation energy were plotted for the detector materials and structures as were current dependencies on the dose rate of x rays. Results indicated that the mercury iodide detectors could be used in radiometric and dosimetric measurements at gamma quantum energies up to and in excess of 1000 KeV

  7. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  8. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  9. Discrepancy between growth of Coccidioides immitis in bacterial blood culture media and a radiometric growth index

    International Nuclear Information System (INIS)

    Ampel, N.M.; Wieden, M.A.

    1988-01-01

    Spherules of Coccidioides immitis grew readily after inoculation in vented trypticase soy broth, biphasic brain heart infusion media, and aerobic tryptic soy broth bottles used in a radiometric system (BACTEC). However, visible growth was not accompanied by a significant radiometric growth index. Growth of C. immitis can be visually detected in routine bacterial blood culture media while the radiometric growth index remains negative

  10. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  11. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  12. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  13. Radiometric determination of monoethanolamine with /sup 65/ZnSo/sub 4/

    Energy Technology Data Exchange (ETDEWEB)

    Varadan, R; Sriman Narayanan, S; Rao, V R.S. [Indian Inst. of Tech., Bombay. Dept. of Chemistry

    1984-05-07

    Determination of milligram amounts of monoethanolamine (MEA) with zinc(II) by radiometric titration is described. When MEA is added to a zinc(II) solution containing sulphate ions at 25 degC, a white solid complex is formed. The formation of this complex is employed for the radiometric determination of MEA with /sup 65/Zn. The amount of MEA is directly proportional to the activity of the complex formed. The method is simple, rapid and accurate. 8 refs.

  14. Radiometric and signal-to-noise ratio properties of multiplex dispersive spectrometry

    International Nuclear Information System (INIS)

    Barducci, Alessandro; Guzzi, Donatella; Lastri, Cinzia; Nardino, Vanni; Marcoionni, Paolo; Pippi, Ivan

    2010-01-01

    Recent theoretical investigations have shown important radiometric disadvantages of interferential multiplexing in Fourier transform spectrometry that apparently can be applied even to coded aperture spectrometers. We have reexamined the methods of noninterferential multiplexing in order to assess their signal-to-noise ratio (SNR) performance, relying on a theoretical modeling of the multiplexed signals. We are able to show that quite similar SNR and radiometric disadvantages affect multiplex dispersive spectrometry. The effect of noise on spectral estimations is discussed.

  15. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  16. A superconducting wavelength shifter as primary radiometric source standard in the X-ray range

    Energy Technology Data Exchange (ETDEWEB)

    Klein, R. [Physikalisch-Technische Bundesanstalt, Abbestrasse 2-12, 10587 Berlin (Germany)], E-mail: roman.klein@ptb.de; Brandt, G.; Cibik, L.; Gerlach, M.; Krumrey, M.; Mueller, P.; Ulm, G. [Physikalisch-Technische Bundesanstalt, Abbestrasse 2-12, 10587 Berlin (Germany); Scheer, M. [BESSY GmbH, Albert-Einstein-Strasse 15, 12489 Berlin (Germany)

    2007-10-11

    For more than 20 years, the Physikalisch-Technische Bundesanstalt (PTB) has been using the calculable radiation of bending magnets from the BESSY I and BESSY II electron storage rings in the visible, UV, vacuum-UV (VUV) and X-ray spectral range for radiometry, especially for the calibration of radiation sources and energy-dispersive detectors. Due to its-compared to bending magnets-higher magnetic field, wavelength shifters (WLS) have the potential to extend the usable spectral range for these applications to higher photon energies. Thus, the characteristic energies of BESSY II bending magnet radiation and a 6 T WLS radiation are 2.5 and 11.5 keV, respectively. Within the scope of this work, the properties of the synchrotron radiation from the 6 T WLS have been investigated and compared to theoretical predictions for photon energies up to 150 keV. Good agreement within the experimental uncertainty of several percent was found. Further improvements for a future radiometric use of WLS radiation with low uncertainties will be discussed.

  17. A superconducting wavelength shifter as primary radiometric source standard in the X-ray range

    International Nuclear Information System (INIS)

    Klein, R.; Brandt, G.; Cibik, L.; Gerlach, M.; Krumrey, M.; Mueller, P.; Ulm, G.; Scheer, M.

    2007-01-01

    For more than 20 years, the Physikalisch-Technische Bundesanstalt (PTB) has been using the calculable radiation of bending magnets from the BESSY I and BESSY II electron storage rings in the visible, UV, vacuum-UV (VUV) and X-ray spectral range for radiometry, especially for the calibration of radiation sources and energy-dispersive detectors. Due to its-compared to bending magnets-higher magnetic field, wavelength shifters (WLS) have the potential to extend the usable spectral range for these applications to higher photon energies. Thus, the characteristic energies of BESSY II bending magnet radiation and a 6 T WLS radiation are 2.5 and 11.5 keV, respectively. Within the scope of this work, the properties of the synchrotron radiation from the 6 T WLS have been investigated and compared to theoretical predictions for photon energies up to 150 keV. Good agreement within the experimental uncertainty of several percent was found. Further improvements for a future radiometric use of WLS radiation with low uncertainties will be discussed

  18. A superconducting wavelength shifter as primary radiometric source standard in the X-ray range

    Science.gov (United States)

    Klein, R.; Brandt, G.; Cibik, L.; Gerlach, M.; Krumrey, M.; Müller, P.; Ulm, G.; Scheer, M.

    2007-10-01

    For more than 20 years, the Physikalisch-Technische Bundesanstalt (PTB) has been using the calculable radiation of bending magnets from the BESSY I and BESSY II electron storage rings in the visible, UV, vacuum-UV (VUV) and X-ray spectral range for radiometry, especially for the calibration of radiation sources and energy-dispersive detectors. Due to its—compared to bending magnets—higher magnetic field, wavelength shifters (WLS) have the potential to extend the usable spectral range for these applications to higher photon energies. Thus, the characteristic energies of BESSY II bending magnet radiation and a 6 T WLS radiation are 2.5 and 11.5 keV, respectively. Within the scope of this work, the properties of the synchrotron radiation from the 6 T WLS have been investigated and compared to theoretical predictions for photon energies up to 150 keV. Good agreement within the experimental uncertainty of several percent was found. Further improvements for a future radiometric use of WLS radiation with low uncertainties will be discussed.

  19. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  20. Use of Radiometric Survey Data for Environmental Study: The Case of Northern Sumatera

    International Nuclear Information System (INIS)

    Tjokrokardono, S; Ramadanus; Sustarman, H

    1998-01-01

    The convertion of old radiometric data obtained from former uranium exploration activities in northem sumatra into radiation exposure has been evaluated. The objective of the study is to find an alternative way for cheaper and faster compilation of radiation exposure database for environmental study purposes. The old radiometric data measure from the outcrops has been plotted 1;250.000, 1;100.000, and 1;50.000 map scales. The data are translated into 1;1000.000 map scale before they are converted into 1;1000.000 radiation exposure rate map using a graphic method. The radiation exposure rate in northem sumatera falls between 25 R/hour to 40 R/hour. The benefit offered using this method is that it provides a cheaper and faster production of radiation exposure rate map from old radiometric map. However, the problems arising from such a production is that the radiometric data provide unhomogeneous data distributions and densities among the area. As a conclusion it is recommended to recollect some of the data at designated area using well calibrated SPP2NF and gamma ray spectrometer instruments

  1. Radiometric measuring method for egg shells

    Energy Technology Data Exchange (ETDEWEB)

    Forberg, S; Svaerdstroem, K

    1973-02-01

    A description is given of a fast nondestructive radiometric method for registration of the thickness of egg shells of the tawny owl, hen, osprey, and Canada goose. Certain errors are discussed. Measurement of the thickness of egg shells (mineral content per cm/sup 2/) with an accuracy better than 1% is possible in less than one minute under field conditions. (auth)

  2. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  3. Radiometric microbiologic assay for the biologically active forms of niacin

    International Nuclear Information System (INIS)

    Kertcher, J.A.; Guilarte, T.R.; Chen, M.F.; Rider, A.A.; McIntyre, P.A.

    1979-01-01

    A radiometric microbiologic assay has been developed for the determination of niacin in biologic fluids. Lactobacillus plantarum produced 14 CO 2 from L-[U- 14 C] malic acid in quantities proportional to the amount of niacin present. The assay is specific for the biologically active forms of niacin in humans. Thirty normal hemolysates were analyzed and the values ranged from 13.0 to 17.8 μg niacin/ml RBC (mean = 15.27 +- 1.33 s.d.). Good recovery and reproducibility studies were obtained with this assay. On thirty blood samples, correlation was excellent between the radiometric and the conventional turbidimetric assays

  4. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  5. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  6. Radiometric titration of diethanolamine with 65ZnSO4 and determination of mono and diethanolamines in a mixture by a radiometric method

    International Nuclear Information System (INIS)

    Varadan, R.; Sriman Narayanan, S.; Rao, V.R.S.

    1984-01-01

    Radiometric titration of diethanolamine with 65 ZnSO 4 is reported. Determination of individual amounts of mono- and diethanolamines in a mixture is described. The procedure is simple, rapid and accurate. (author)

  7. THE EUROSDR PROJECT "RADIOMETRIC ASPECTS OF DIGITAL PHOTOGRAMMETRIC IMAGES" – RESULTS OF THE EMPIRICAL PHASE

    Directory of Open Access Journals (Sweden)

    E. Honkavaara

    2012-09-01

    Full Text Available This article presents the empirical research carried out in the context of the multi-site EuroSDR project "Radiometric aspects of digital photogrammetric images" and provides highlights of the results. The investigations have considered the vicarious radiometric and spatial resolution validation and calibration of the sensor system, radiometric processing of the image blocks either by performing relative radiometric block equalization or into absolutely reflectance calibrated products, and finally aspects of practical applications on NDVI layer generation and tree species classification. The data sets were provided by Leica Geosystems ADS40 and Intergraph DMC and the participants represented stakeholders in National Mapping Authorities, software development and research. The investigations proved the stability and quality of evaluated imaging systems with respect to radiometry and optical system. The first new-generation methods for reflectance calibration and equalization of photogrammetric image block data provided promising accuracy and were also functional from the productivity and usability points of view. The reflectance calibration methods provided up to 5% accuracy without any ground reference. Application oriented results indicated that automatic interpretation methods will benefit from the optimal use of radiometrically accurate multi-view photogrammetric imagery.

  8. Improved Thermal-Vacuum Compatible Flat Plate Radiometric Source For System-Level Testing Of Optical Sensors

    Science.gov (United States)

    Schwarz, Mark A.; Kent, Craig J.; Bousquet, Robert; Brown, Steven W.

    2016-01-01

    In this work, we describe an improved thermal-vacuum compatible flat plate radiometric source which has been developed and utilized for the characterization and calibration of remote optical sensors. This source is unique in that it can be used in situ, in both ambient and thermal-vacuum environments, allowing it to follow the sensor throughout its testing cycle. The performance of the original flat plate radiometric source was presented at the 2009 SPIE1. Following the original efforts, design upgrades were incorporated into the source to improve both radiometric throughput and uniformity. The pre-thermal-vacuum (pre-TVAC) testing results of a spacecraft-level optical sensor with the improved flat plate illumination source, both in ambient and vacuum environments, are presented. We also briefly discuss potential FPI configuration changes in order to improve its radiometric performance.

  9. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  10. Radiometric relations of a sugarcane crop

    International Nuclear Information System (INIS)

    Machado, E.C.; Pereira, A.R.; Camargo, M.B.P. de; Fahl, J.I.

    1985-01-01

    The radiometric relations of a sugarcane crop, cv. NA56-79, are studied during the period of maximum leaf area index. The coefficients of reflection, transmission and absorption of the incoming solar radiation were function of solar elevation and the waveband considered. The photosynthetically active radiation was always less reflected and transmitted but more absorved than the near infrared radiation. (M.A.C.) [pt

  11. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  12. Detecting payload performance based on relative radiometric characteristic: case of the optical sensors

    Science.gov (United States)

    Han, Jie; Li, Shengyang; Zhang, Tao; Qin, Bangyong

    2016-10-01

    In this paper, we propose a novel algorithm for accurately estimating the degree of radiometric non-uniformity in remote sensing images. The algorithm was tested on high-quality images and heavily striping images, and quantitative analyses were conducted to evaluate the performance for each band by measuring the radiometric non-uniformity of the images. The results demonstrated that the proposed algorithm exhibits high accuracy and stability compared with traditional algorithms. The radiometric performance of TianGong-1 short-wave infrared images was calculated using this new method, and it was highly correlated with the solar angle, pitch angle and refrigerator thermal according to the Apriori algorithm. Based on these results, we have proposed a strategy for restricting increases in striping.

  13. Radiometric calipers for borehole logging

    International Nuclear Information System (INIS)

    Charbucinski, J.; Wylie, A.W.; Jarrett, R.G.

    1976-01-01

    Two versions of a radiometric-type caliper for measuring borehole diameter are described. One, based on the bow-spring principle, is suitable for percussion (exploration) drill holes. The other, which utilizes hemispherical wall contactors actuated by springs, is suitable for blast holes. Both utilize low-power radioactive sources and employ a scintillation detector to measure the 'inverse-square law' response of the device to changes in borehole radius. The performance of the device is examined and examples of its use are illustrated. (author)

  14. Radiometric Calibration of a Dual-Wavelength, Full-Waveform Terrestrial Lidar.

    Science.gov (United States)

    Li, Zhan; Jupp, David L B; Strahler, Alan H; Schaaf, Crystal B; Howe, Glenn; Hewawasam, Kuravi; Douglas, Ewan S; Chakrabarti, Supriya; Cook, Timothy A; Paynter, Ian; Saenz, Edward J; Schaefer, Michael

    2016-03-02

    Radiometric calibration of the Dual-Wavelength Echidna(®) Lidar (DWEL), a full-waveform terrestrial laser scanner with two simultaneously-pulsing infrared lasers at 1064 nm and 1548 nm, provides accurate dual-wavelength apparent reflectance (ρ(app)), a physically-defined value that is related to the radiative and structural characteristics of scanned targets and independent of range and instrument optics and electronics. The errors of ρ(app) are 8.1% for 1064 nm and 6.4% for 1548 nm. A sensitivity analysis shows that ρ(app) error is dominated by range errors at near ranges, but by lidar intensity errors at far ranges. Our semi-empirical model for radiometric calibration combines a generalized logistic function to explicitly model telescopic effects due to defocusing of return signals at near range with a negative exponential function to model the fall-off of return intensity with range. Accurate values of ρ(app) from the radiometric calibration improve the quantification of vegetation structure, facilitate the comparison and coupling of lidar datasets from different instruments, campaigns or wavelengths and advance the utilization of bi- and multi-spectral information added to 3D scans by novel spectral lidars.

  15. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  16. Radiometric microbiologic assay for the biologically active forms of niacin

    Energy Technology Data Exchange (ETDEWEB)

    Kertcher, J.A.; Guilarte, T.R.; Chen, M.F.; Rider, A.A.; McIntyre, P.A.

    1979-05-01

    A radiometric microbiologic assay has been developed for the determination of niacin in biologic fluids. Lactobacillus plantarum produced /sup 14/CO/sub 2/ from L-(U-/sup 14/C) malic acid in quantities proportional to the amount of niacin present. The assay is specific for the biologically active forms of niacin in humans. Thirty normal hemolysates were analyzed and the values ranged from 13.0 to 17.8 ..mu..g niacin/ml RBC (mean = 15.27 +- 1.33 s.d.). Good recovery and reproducibility studies were obtained with this assay. On thirty blood samples, correlation was excellent between the radiometric and the conventional turbidimetric assays.

  17. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  18. Enhanced radiometric detection of Mycobacterium paratuberculosis by using filter-concentrated bovine fecal specimens

    International Nuclear Information System (INIS)

    Collins, M.T.; Kenefick, K.B.; Sockett, D.C.; Lambrecht, R.S.; McDonald, J.; Jorgensen, J.B.

    1990-01-01

    A commercial radiometric medium, BACTEC 12B, was modified by addition of mycobactin, egg yolk suspension, and antibiotics (vancomycin, amphotericin B, and nalidixic acid). Decontaminated bovine fecal specimens were filter concentrated by using 3-microns-pore-size, 13-mm-diameter polycarbonate filters, and the entire filter was placed into the radiometric broth. Comparison of the radiometric technique with conventional methods on 603 cattle from 9 Mycobacterium paratuberculosis-infected herds found that of 75 positive specimens, the radiometric technique detected 92% while conventional methods detected 60% (P less than 0.0005). Only 3.9% of radiometric cultures were contaminated. To measure the effect of filter concentration of specimens on the detection rate, 5 cattle with minimal and 5 with moderate ileum histopathology were sampled weekly for 3 weeks. M. paratuberculosis was detected in 33.3% of nonfiltered specimens and 76.7% of filtered specimens (P less than 0.005). Detection rates were directly correlated with the severity of disease, and the advantage of specimen concentration was greatest on fecal specimens from cattle with low-grade infections. Detection times were also correlated with infection severity: 13.4 +/- 5.9 days with smear-positive specimens, 27.9 +/- 8.7 days with feces from cows with typical subclinical infections, and 38.7 +/- 3.8 days with fecal specimens from cows with low-grade infections. Use of a cocktail of vancomycin, amphotericin B, and nalidixic acid for selective suppression of nonmycobacterial contaminants was better than the commercial product PANTA (Becton Dickinson Microbiologic Systems, Towson, Md.) only when specimens contained very low numbers of M. paratuberculosis

  19. Optical Imaging and Radiometric Modeling and Simulation

    Science.gov (United States)

    Ha, Kong Q.; Fitzmaurice, Michael W.; Moiser, Gary E.; Howard, Joseph M.; Le, Chi M.

    2010-01-01

    OPTOOL software is a general-purpose optical systems analysis tool that was developed to offer a solution to problems associated with computational programs written for the James Webb Space Telescope optical system. It integrates existing routines into coherent processes, and provides a structure with reusable capabilities that allow additional processes to be quickly developed and integrated. It has an extensive graphical user interface, which makes the tool more intuitive and friendly. OPTOOL is implemented using MATLAB with a Fourier optics-based approach for point spread function (PSF) calculations. It features parametric and Monte Carlo simulation capabilities, and uses a direct integration calculation to permit high spatial sampling of the PSF. Exit pupil optical path difference (OPD) maps can be generated using combinations of Zernike polynomials or shaped power spectral densities. The graphical user interface allows rapid creation of arbitrary pupil geometries, and entry of all other modeling parameters to support basic imaging and radiometric analyses. OPTOOL provides the capability to generate wavefront-error (WFE) maps for arbitrary grid sizes. These maps are 2D arrays containing digital sampled versions of functions ranging from Zernike polynomials to combination of sinusoidal wave functions in 2D, to functions generated from a spatial frequency power spectral distribution (PSD). It also can generate optical transfer functions (OTFs), which are incorporated into the PSF calculation. The user can specify radiometrics for the target and sky background, and key performance parameters for the instrument s focal plane array (FPA). This radiometric and detector model setup is fairly extensive, and includes parameters such as zodiacal background, thermal emission noise, read noise, and dark current. The setup also includes target spectral energy distribution as a function of wavelength for polychromatic sources, detector pixel size, and the FPA s charge

  20. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  1. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  2. Radiometric analyzer with plural radiation sources and detectors

    International Nuclear Information System (INIS)

    Arima, S.; Oda, M.; Miyashita, K.; Takada, M.

    1977-01-01

    A radiometric analyzer for measuring characteristics of a material by radiation comprises a plurality of systems in which each consists of a radiation source and a radiation detector which are the same in number as the number of elements of the molecule of the material and a linear calibration circuit having inverse response characteristics (calibration curve) of the respective systems of detectors, whereby the measurement is carried out by four fundamental rules by operation of the mutual outputs of said detector system obtained through said linear calibration circuit. One typical embodiment is a radiometric analyzer for hydrocarbons which measures the density of heavy oil, the sulfur content and the calorific value by three detector systems which include a γ-ray source (E/sub γ/ greater than 50 keV), a soft x-ray source (Ex approximately 20 keV), and a neutron ray source. 2 claims, 6 figures

  3. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  4. Radiometric flow injection analysis with an ASIA (Ismatec) analyzer

    Energy Technology Data Exchange (ETDEWEB)

    Myint, U; Win, N; San, K; Han, B; Myoe, K M [Yangon Univ. (Myanmar). Dept. of Chemistry; Toelgyessy, J [Slovak Technical Univ., Bratislava (Slovakia). Dept. of Environmental Science

    1994-07-01

    Radiometric Flow Injection Analysis of a radioactive ([sup 131]I) sample is described. For analysis an ASIA (Ismatec) analyzer with a NaI(Tl) scintillation detector was used. (author) 5 refs.; 3 figs.

  5. Improved detection of Mycobacterium avium complex with the Bactec radiometric system

    International Nuclear Information System (INIS)

    Hoffner, S.E.

    1988-01-01

    A reconsideration of the laboratory methods used for primary isolation of mycobacteria other than Mycobacterium tuberculosis is needed due to the increasingly recognized importance of such mycobacterial infections in immunocompromised patients. One example of this is the severe opportunistic infections caused by Mycobacterium avium complex among AIDS patients. In this study, the Bactec radiometric system was compared to conventional culture on solid medium for the detection of M. avium complex in 3,612 selected clinical specimens, mainly of extrapulmonary origin. Of a total number of 63 M. avium complex isolates, the Bactec system detected 58 (92%), compared to 37 (59%) for conventional culture. A much more rapid detection was attained with radiometric technique than with conventional culture. The mean detection time for the cultures positive with both methods was 7.1 and 28.3 days, respectively. The Bactec radiometric system achieves a rapid and significantly more sensitive detection and seems to be an excellent complement to conventional culture in the laboratory diagnosis of infections with the M. avium complex

  6. Merging airborne and carborne radiometric data for surveying the Deir Az-Zor area, Syria

    International Nuclear Information System (INIS)

    Jubeli, Y.M.; Aissa, M.; Al-Hent, R.

    1997-01-01

    To complete the radiometric map of Syria, and to estimate the natural background radiation levels for environmental monitoring, a carborne survey was undertaken over the Deir Az-Zor area. This area was not covered by a previous airborne survey, except for peripheral regions in the south and west. To complete the radiometric map, results of the carborne and airborne surveys had to be merged. This paper presents the merging procedure which was used after normalizing the two data sets to match each other. No anomalies suitable for radioelement exploration were found. However, the overall radiometric maps resulting from the present work were consistent with the maps of the areas covered by the airborne survey. (author)

  7. Radiometric surveys in underground environment

    Science.gov (United States)

    Bochiolo, Massimo; Chiozzi, Paolo; Verdoya, Massimo; Pasquale, Vincenzo

    2010-05-01

    Due to their ability to travel through the air for several metres, gamma-rays emitted from natural radioactive elements can be successfully used in surveys carried out both with airborne and ground equipments. Besides the concentration of the radio-elements contained in rocks and soils and the intrinsic characteristics of the gamma-ray detector, the detected count rate depends on the solid angle around the spectrometer. On a flat outcrop, ground spectrometry detects the radiation ideally produced by a cylindrical mass of rock of about two metres in diameter and thickness of about half a meter. Under these geometrical conditions, the natural radioactivity can be easily evaluated. With operating conditions different from the standard ones, such as at the edge of an escarpment, the count rate halves because of the missing material, whereas in the vicinity of a rock wall the count rate will increase. In underground environment, the recorded count rate may even double and the in situ assessment of the concentration of radio-elements may be rather difficult, even if the ratios between the different radio-elements may not be affected. We tested the applicability of gamma-ray spectrometry for rapid assessment of the potential hazard levels related to radon and radiation dose rate in underground environment. A mine shaft, located in a zone of uranium enrichment in Liguria (Italy), has been investigated. A preliminary ground radiometric survey was carried out to define the extent of the ore deposit. Then, the radiometric investigation was focussed on the mine shaft. Due to rock mass above the shaft vault, the background gamma radiation can be considered of negligible influence on measurements. In underground surveys, besides deviations from a flat geometry, factors controlling radon exhalation, emanation and stagnation, such as fractures, water leakage and the presence of ventilation, should be carefully examined. We attempted to evaluate these control factors and collected

  8. Microsomal aryl hydrocarbon hydroxylase comparison of the direct, indirect and radiometric assays

    International Nuclear Information System (INIS)

    Denison, M.S.; Murray, M.; Wilkinson, C.F.

    1983-01-01

    The direct fluorometric assay of aryl hydrocarbon hydroxlyase has been compared to the more commonly used indirect fluorometric and radiometric assays. Although rat hepatic microsomal activities measured by the direct assay were consistently higher than those obtained by the other assays, the relative changes in activity following enzyme induction and/or inhibition were similar. The direct assay provides an accurate and rapid measure of aryl hydrocarbon hydroxylase activity and avoids several problems inherent in the indirect and radiometric assays. 2 tables

  9. Rapid radiometric method for detection of Salmonella in foods

    International Nuclear Information System (INIS)

    Stewart, B.J.; Eyles, M.J.; Murrell, W.G.

    1980-01-01

    A radiometric method for the detection of Salmonella in foods has been developed which is based on Salmonella poly H agglutinating serum preventing Salmonella from producing 14CO2 from [14C] dulcitol. The method will detect the presence or absence of Salmonella in a product within 30 h compared to 4 to 5 days by routine culture methods. The method has been evaluated against a routine culture method using 58 samples of food. The overall agreement was 91%. Five samples negative for Salmonella by the routine method were positive by the radiometric method. These may have been false positives. However, the routine method may have failed to detect Salmonella due to the presence of large numbers of lactose-fermenting bacteria which hindered isolation of Salmonella colonies on the selective agar plates

  10. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  11. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  12. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  13. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  14. High speed radiometric measurements of IED detonation fireballs

    Science.gov (United States)

    Spidell, Matthew T.; Gordon, J. Motos; Pitz, Jeremey; Gross, Kevin C.; Perram, Glen P.

    2010-04-01

    Continuum emission is predominant in fireball spectral phenomena and in some demonstrated cases, fine detail in the temporal evolution of infrared spectral emissions can be used to estimate size and chemical composition of the device. Recent work indicates that a few narrow radiometric bands may reveal forensic information needed for the explosive discrimination and classification problem, representing an essential step in moving from "laboratory" measurements to a rugged, fieldable system. To explore phenomena not observable in previous experiments, a high speed (10μs resolution) radiometer with four channels spanning the infrared spectrum observed the detonation of nine home made explosive (HME) devices in the 0.98) using blast model functional forms, suggesting that energy release could be estimated from single-pixel radiometric detectors. Comparison of radiometer-derived fireball size with FLIR infrared imagery indicate the Planckian intensity size estimates are about a factor of two smaller than the physical extent of the fireball.

  15. Remote sensing, airborne radiometric survey and aeromagnetic survey data processing and analysis

    International Nuclear Information System (INIS)

    Dong Xiuzhen; Liu Dechang; Ye Fawang; Xuan Yanxiu

    2009-01-01

    Taking remote sensing data, airborne radiometric data and aero magnetic survey data as an example, the authors elaborate about basic thinking of remote sensing data processing methods, spectral feature analysis and adopted processing methods, also explore the remote sensing data combining with the processing of airborne radiometric survey and aero magnetic survey data, and analyze geological significance of processed image. It is not only useful for geological environment research and uranium prospecting in the study area, but also reference to applications in another area. (authors)

  16. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  17. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  18. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  19. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  20. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  1. Paleomagnetic, paleontologic and radiometric study of the Uquia Formation (Plio-Pleistocene) in Esquina Blanca (Jujuy)

    International Nuclear Information System (INIS)

    Walther, Ana M.; Orgeira, Maria J.; Vilas, Juan F.A.; Kelley, Shari; Jordan, Teresa

    1998-01-01

    A multidisciplinary study of the Uquia Formation has been performed. The results of the paleontologic, paleomagnetic, radiometric and stratigraphic analyses suggest that the superior levels of the formation are equivalent to the 'Marplatense Superior', while the basic ones should be considered older. Radiometric ages have been determined by fission tracks in zircons

  2. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  3. Radiometric titration of diethanolamine with /sup 65/ZnSO/sub 4/ and determination of mono and diethanolamines in a mixture by a radiometric method

    Energy Technology Data Exchange (ETDEWEB)

    Varadan, R.; Sriman Narayanan, S.; Rao, V.R.S. (Indian Inst. of Tech., Madras. Dept. of Chemistry)

    1984-08-16

    Radiometric titration of diethanolamine with /sup 65/ZnSO/sub 4/ is reported. Determination of individual amounts of mono- and diethanolamines in a mixture is described. The procedure is simple, rapid and accurate.

  4. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  5. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  6. kCCA Transformation-Based Radiometric Normalization of Multi-Temporal Satellite Images

    Directory of Open Access Journals (Sweden)

    Yang Bai

    2018-03-01

    Full Text Available Radiation normalization is an essential pre-processing step for generating high-quality satellite sequence images. However, most radiometric normalization methods are linear, and they cannot eliminate the regular nonlinear spectral differences. Here we introduce the well-established kernel canonical correlation analysis (kCCA into radiometric normalization for the first time to overcome this problem, which leads to a new kernel method. It can maximally reduce the image differences among multi-temporal images regardless of the imaging conditions and the reflectivity difference. It also perfectly eliminates the impact of nonlinear changes caused by seasonal variation of natural objects. Comparisons with the multivariate alteration detection (CCA-based normalization and the histogram matching, on Gaofen-1 (GF-1 data, indicate that the kCCA-based normalization can preserve more similarity and better correlation between an image-pair and effectively avoid the color error propagation. The proposed method not only builds the common scale or reference to make the radiometric consistency among GF-1 image sequences, but also highlights the interesting spectral changes while eliminates less interesting spectral changes. Our method enables the application of GF-1 data for change detection, land-use, land-cover change detection etc.

  7. Radiometric Correction of Multitemporal Hyperspectral Uas Image Mosaics of Seedling Stands

    Science.gov (United States)

    Markelin, L.; Honkavaara, E.; Näsi, R.; Viljanen, N.; Rosnell, T.; Hakala, T.; Vastaranta, M.; Koivisto, T.; Holopainen, M.

    2017-10-01

    Novel miniaturized multi- and hyperspectral imaging sensors on board of unmanned aerial vehicles have recently shown great potential in various environmental monitoring and measuring tasks such as precision agriculture and forest management. These systems can be used to collect dense 3D point clouds and spectral information over small areas such as single forest stands or sample plots. Accurate radiometric processing and atmospheric correction is required when data sets from different dates and sensors, collected in varying illumination conditions, are combined. Performance of novel radiometric block adjustment method, developed at Finnish Geospatial Research Institute, is evaluated with multitemporal hyperspectral data set of seedling stands collected during spring and summer 2016. Illumination conditions during campaigns varied from bright to overcast. We use two different methods to produce homogenous image mosaics and hyperspectral point clouds: image-wise relative correction and image-wise relative correction with BRDF. Radiometric datasets are converted to reflectance using reference panels and changes in reflectance spectra is analysed. Tested methods improved image mosaic homogeneity by 5 % to 25 %. Results show that the evaluated method can produce consistent reflectance mosaics and reflectance spectra shape between different areas and dates.

  8. RADIOMETRIC CORRECTION OF MULTITEMPORAL HYPERSPECTRAL UAS IMAGE MOSAICS OF SEEDLING STANDS

    Directory of Open Access Journals (Sweden)

    L. Markelin

    2017-10-01

    Full Text Available Novel miniaturized multi- and hyperspectral imaging sensors on board of unmanned aerial vehicles have recently shown great potential in various environmental monitoring and measuring tasks such as precision agriculture and forest management. These systems can be used to collect dense 3D point clouds and spectral information over small areas such as single forest stands or sample plots. Accurate radiometric processing and atmospheric correction is required when data sets from different dates and sensors, collected in varying illumination conditions, are combined. Performance of novel radiometric block adjustment method, developed at Finnish Geospatial Research Institute, is evaluated with multitemporal hyperspectral data set of seedling stands collected during spring and summer 2016. Illumination conditions during campaigns varied from bright to overcast. We use two different methods to produce homogenous image mosaics and hyperspectral point clouds: image-wise relative correction and image-wise relative correction with BRDF. Radiometric datasets are converted to reflectance using reference panels and changes in reflectance spectra is analysed. Tested methods improved image mosaic homogeneity by 5 % to 25 %. Results show that the evaluated method can produce consistent reflectance mosaics and reflectance spectra shape between different areas and dates.

  9. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  10. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  11. Radiometric dating by alpha spectrometry on uranium series nuclides

    NARCIS (Netherlands)

    Wijk, Albert van der

    1987-01-01

    De Engelse titel van dit proegschrift \\"Radiometric Dating by Alpha Spectometry on Uranium Series Nuclides\\" kan in het Nederlands wellicht het best worden weergegeven door \\"ouderdomsdbepalingen door stralingsmeting aan kernen uit de uraniumreeks met behulp van alfaspectometrie\\". In dit laatste

  12. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  13. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  14. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  15. Limulus test for pyrogens and radiometric sterility tests on radiopharmaceuticals. Part of a coordinated programme

    International Nuclear Information System (INIS)

    Gopal, N.G.S.

    1976-10-01

    Sterility testing of radiopharmaceuticals prepared at BARC were carried out using the radiometric technique (Radiometric detection of the metabolic product 14 Co 2 ). Batches of different radiopharmaceuticals were tested for pyrogen using the limulus lysate method and the results were compared with the rabbit method. The results of sterility test on 202 batches of 19 different radiopharmaceuticals show that the radiometric method can be used for sterility testing of radiopharmaceuticals labelled with 35 S, 51 Cr, 57 Co, 59 Fe, 82 Br, 86 Rb, sup(99m)Tc, sup(113m)In, 125 I and 169 Yb. The radiometric test proves to be more rapid than the conventional one for the sterility testing of such radiopharmaceuticals. Detection time is between 6-21 hours. In the case of 131 I-labelled radiopharmaceuticals and in the case of chlormerodrin-Hg-203, it was found an interference due to volatile species (sup(131m)Xe in the case of 131 I and some volatile mercury form in the case of chlormerodrin). In these cases it would be possible to carry out the radiometric sterility test after separation of the microorganisms from the radioactive material (by filtration). The limulus lysate method can be employed for control of various pyrogen-prone raw materials and radiopharmaceuticals. Such method is the only method at present available for detecting the low level pyrogen contamination in intrathecal injections. The limulus test is more rapid than the rabbit test

  16. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  17. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  18. Determination of degree of compacting and of moisture content by radiometric probes

    International Nuclear Information System (INIS)

    Martinec, J.; Paul, P.

    1977-01-01

    A survey is given of radiometric probes used for measuring bulk density and moisture content. Surface probes are used in depths of up to 20 cm with an accuracy of 10%, drive-in probes are used to depths of up to 50 cm with a 4% error, depth probes are used for measuring in depths of 30 to 50 cm with an accuracy of roughly 5% and bulk density in depths of 10 to 150 cm may be measured with an accuracy of 2% using a lysimeter. Changes in the bulk density and soil moisture of the subsoil of an airport runway were studied radiometrically in dependence on time and depth. The dependence is represented graphically. The results of radiometric measurements were compared with the conventional method using a lysimeter probe; the comparison showed that the results were lower by about 7% for the moisture content and higher by about 8% for the bulk density. Radiometric measurements for determining bulk density and soil moisture are advantageous in that they allow the measurement of a great number of sites without any major disturbance of the measured material and results are available immediately on measurement. The economic effect is significant in a large number of measurements carried out on a surface having the same chemical composition and similar grain size which does not necessitate calibration of the instruments to be made more than once a week. The NZK-201 probe by Tesla does not provide sufficiently accurate information on the moisture and density of the earths probed

  19. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  20. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  1. Evaluation of Two Absolute Radiometric Normalization Algorithms for Pre-processing of Landsat Imagery

    Institute of Scientific and Technical Information of China (English)

    Xu Hanqiu

    2006-01-01

    In order to evaluate radiometric normalization techniques, two image normalization algorithms for absolute radiometric correction of Landsat imagery were quantitatively compared in this paper, which are the Illumination Correction Model proposed by Markham and Irish and the Illumination and Atmospheric Correction Model developed by the Remote Sensing and GIS Laboratory of the Utah State University. Relative noise, correlation coefficient and slope value were used as the criteria for the evaluation and comparison, which were derived from pseudo-invariant features identified from multitemtween the normalized multitemporal images were significantly reduced when the seasons of multitemporal images were different. However, there was no significant difference between the normalized and unnormalized images with a similar seasonal condition. Furthermore, the correction results of two algorithms are similar when the images are relatively clear with a uniform atmospheric condition. Therefore, the radiometric normalization procedures should be carried out if the multitemporal images have a significant seasonal difference.

  2. ANALYZING SPECTRAL CHARACTERISTICS OF SHADOW AREA FROM ADS-40 HIGH RADIOMETRIC RESOLUTION AERIAL IMAGES

    Directory of Open Access Journals (Sweden)

    Y.-T. Hsieh

    2016-06-01

    Full Text Available The shadows in optical remote sensing images are regarded as image nuisances in numerous applications. The classification and interpretation of shadow area in a remote sensing image are a challenge, because of the reduction or total loss of spectral information in those areas. In recent years, airborne multispectral aerial image devices have been developed 12-bit or higher radiometric resolution data, including Leica ADS-40, Intergraph DMC. The increased radiometric resolution of digital imagery provides more radiometric details of potential use in classification or interpretation of land cover of shadow areas. Therefore, the objectives of this study are to analyze the spectral properties of the land cover in the shadow areas by ADS-40 high radiometric resolution aerial images, and to investigate the spectral and vegetation index differences between the various shadow and non-shadow land covers. According to research findings of spectral analysis of ADS-40 image: (i The DN values in shadow area are much lower than in nonshadow area; (ii DN values received from shadowed areas that will also be affected by different land cover, and it shows the possibility of land cover property retrieval as in nonshadow area; (iii The DN values received from shadowed regions decrease in the visible band from short to long wavelengths due to scattering; (iv The shadow area NIR of vegetation category also shows a strong reflection; (v Generally, vegetation indexes (NDVI still have utility to classify the vegetation and non-vegetation in shadow area. The spectral data of high radiometric resolution images (ADS-40 is potential for the extract land cover information of shadow areas.

  3. Vicarious absolute radiometric calibration of GF-2 PMS2 sensor using permanent artificial targets in China

    Science.gov (United States)

    Liu, Yaokai; Li, Chuanrong; Ma, Lingling; Wang, Ning; Qian, Yonggang; Tang, Lingli

    2016-10-01

    GF-2, launched on August 19 2014, is one of the high-resolution land resource observing satellite of the China GF series satellites plan. The radiometric performance evaluation of the onboard optical pan and multispectral (PMS2) sensor of GF-2 satellite is very important for the further application of the data. And, the vicarious absolute radiometric calibration approach is one of the most useful way to monitor the radiometric performance of the onboard optical sensors. In this study, the traditional reflectance-based method is used to vicarious radiometrically calibrate the onboard PMS2 sensor of GF-2 satellite using three black, gray and white reflected permanent artificial targets located in the AOE Baotou site in China. Vicarious field calibration campaign were carried out in the AOE-Baotou calibration site on 22 April 2016. And, the absolute radiometric calibration coefficients were determined with in situ measured atmospheric parameters and surface reflectance of the permanent artificial calibration targets. The predicted TOA radiance of a selected desert area with our determined calibrated coefficients were compared with the official distributed calibration coefficients. Comparison results show a good consistent and the mean relative difference of the multispectral channels is less than 5%. Uncertainty analysis was also carried out and a total uncertainty with 3.87% is determined of the TOA radiance.

  4. preliminary geological and radiometric studies of granitoids of zing

    African Journals Online (AJOL)

    DJFLEX

    laboratory of Geology Department, Federal University of. Technology, Yola where they were thin sectioned and petrographically studied using a high magnification polarising microscope. Canada balsam was used as the mounting medium. Radiometric survey was carried out using a McPhar model TC-33A portable gamma ...

  5. Study of a new radiometric sterility test in radiopharmaceuticals

    International Nuclear Information System (INIS)

    Sanchez P, A.R.

    1976-01-01

    A new radiometric method is studied for the determination of sterility. It is based on a culture marked with carbon-14 and the measurement by liquid scintillation of the radioactivity of the gaseous products released after a short period of incubation. The studied samples consisted in nonradioactive solutions and different radiopharmaceuticals, through a regulated current of nitrogen there is a transportation of gaseous and volatile products produced in each flask, which were received in a liquid scintillation vial. The experimental data permit to conclude that through the radiometric method the results can be obtained after 24 hours or less of incubation, instead of a period of several days which was necessary with the traditional process. Due to the sensitivity of the method it is possible to inoculate a minimum volume of sample, this is important in the case of the preparation of little parts for injection as it occurs generally with the pharmaceuticals. (author)

  6. Radiometric measurement independent of profile. Belt weighers

    International Nuclear Information System (INIS)

    Otto, J.

    1986-01-01

    Radiometric measuring techniques allow contactless determination of the material carried by belt conveyors. Data defining the material is obtained via attenuation of gamma rays passing through the material on the belt. The method applies the absorption law according to Lambert-Beer, which has to be corrected by a build-up factor because of the stray radiation induced by the Compton effect. The profile-dependent error observed with conventional radiometric belt weighers is caused by the non-linearity of the absorption law in connection with the simultaneous summation of the various partial rays in a detector. The scanning method allows separate evaluation of the partial rays' attenuation and thus yields the correct data of the material carried, regardless of the profile. The scanning method is applied on a finite number of scanning sections, and a residual error has to be taken into account. The stochastics of quantum emission and absorption leads to an error whose expectation value is to be taken into account in the scanning algorithm. As the conveyor belt is in motion during the process of measurements, only part of the material conveyed is irradiated. The resulting assessment error is investigated as a function of the autocorrelation function of the material on the belt. (orig./HP) [de

  7. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  8. Radiometric mass flow probes for belt weighers - the state of the art and possibilities of application

    International Nuclear Information System (INIS)

    Glaeser, M.

    1986-01-01

    The setup of radiometric belt weighers is described in principle and compared with conventional ones. Fields of application are mentioned and a list is given of equipment comercially available. The comparison shows that the radiometric systems are advantageous in general, but in special cases (measuring error lower than 1%, chemical composition varies several 10%) gravimetric systems are indispensable

  9. Assessment of Aero-radiometric Data of Southern Anambra Basin ...

    African Journals Online (AJOL)

    High-resolution aero-radiometric data from three radio-elements (Uranium, Potassium and Thorium) were used and processed independently to investigate the Southern Anambra basin for the prospect of producing radiogenic heat. The rock types in the study area were outlined while processing the elements in each rock ...

  10. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  11. Geometric Calibration and Radiometric Correction of the Maia Multispectral Camera

    Science.gov (United States)

    Nocerino, E.; Dubbini, M.; Menna, F.; Remondino, F.; Gattelli, M.; Covi, D.

    2017-10-01

    Multispectral imaging is a widely used remote sensing technique, whose applications range from agriculture to environmental monitoring, from food quality check to cultural heritage diagnostic. A variety of multispectral imaging sensors are available on the market, many of them designed to be mounted on different platform, especially small drones. This work focuses on the geometric and radiometric characterization of a brand-new, lightweight, low-cost multispectral camera, called MAIA. The MAIA camera is equipped with nine sensors, allowing for the acquisition of images in the visible and near infrared parts of the electromagnetic spectrum. Two versions are available, characterised by different set of band-pass filters, inspired by the sensors mounted on the WorlView-2 and Sentinel2 satellites, respectively. The camera details and the developed procedures for the geometric calibrations and radiometric correction are presented in the paper.

  12. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  13. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  14. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  15. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  16. MER 1 MARS NAVCAM 3 RADIOMETRIC RDR SCI V1.0

    Data.gov (United States)

    National Aeronautics and Space Administration — This data set contains radiometrically calibrated MER-1 Navcam data. The calibration has removed bias, dark current, and flatfield effects from the raw Navcam data,...

  17. Pukaki 1-01 : initial luminescence dating and radiometric measurements

    International Nuclear Information System (INIS)

    Rieser, U.

    2001-01-01

    Core from Pukaki 1-01 was sampled for luminescence dating and radiometric measurements on 14 March 2001 in the dark room laboratory at Victoria University. Seven samples were taken to get an overview of the crater history, and laboratory work was completed in August 2001. (author). 2 figs., 3 tabs

  18. Rapid radiometric methods to detect and differentiate Mycobacterium tuberculosis/M. bovis from other mycobacterial species

    International Nuclear Information System (INIS)

    Siddiqi, S.H.; Hwangbo, C.C.; Silcox, V.; Good, R.C.; Snider, D.E. Jr.; Middlebrook, G.

    1984-01-01

    Rapid methods for the differentiation of Mycobacterium tuberculosis/M. bovis (TB complex) from other mycobacteria (MOTT bacilli) were developed and evaluated in a three-phase study. In the first phase, techniques for identification of Mycobacterium species were developed by using radiometric technology and BACTEC Middlebrook 7H12 liquid medium. Based on 14 CO 2 evolution, characteristic growth patterns were established for 13 commonly encountered mycobacterial species. Mycobacteria belonging to the TB complex were differentiated from other mycobacteria by cellular morphology and rate of 14 CO 2 evolution. For further differentiation, radiometric tests for niacin production and inhibition by Q-nitro-alpha-acetyl amino-beta-hydroxy-propiophenone (NAP) were developed. In the second phase, 100 coded specimens on Lowenstein-Jensen medium were identified as members of the TB complex, MOTT bacilli, bacteria other than mycobacteria, or ''no viable organisms'' within 3 to 12 (average 6.4) days of receipt from the Centers for Disease Control. Isolation and identification of mycobacteria from 20 simulated sputum specimens were carried out in phase III. Out of 20 sputum specimens, 16 contained culturable mycobacteria, and all of the positives were detected by the BACTEC method in an average of 7.3 days. The positive mycobacterial cultures were isolated and identified as TB complex or MOTT bacilli in an average of 12.8 days. The radiometric NAP test was found to be highly sensitive and specific for a rapid identification of TB complex, whereas the radiometric niacin test was found to have some inherent problems. Radiometric BACTEC and conventional methodologies were in complete agreement in Phase II as well as in Phase III

  19. A new relative radiometric consistency processing method for change detection based on wavelet transform and a low-pass filter

    Institute of Scientific and Technical Information of China (English)

    2010-01-01

    The research purpose of this paper is to show the limitations of the existing radiometric normalization approaches and their disadvantages in change detection of artificial objects by comparing the existing approaches,on the basis of which a preprocessing approach to radiometric consistency,based on wavelet transform and a spatial low-pass filter,has been devised.This approach first separates the high frequency information and low frequency information by wavelet transform.Then,the processing of relative radiometric consistency based on a low-pass filter is conducted on the low frequency parts.After processing,an inverse wavelet transform is conducted to obtain the results image.The experimental results show that this approach can substantially reduce the influence on change detection of linear or nonlinear radiometric differences in multi-temporal images.

  20. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  1. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  2. Basing of principles and methods of operation of radiometric control and measurement systems

    International Nuclear Information System (INIS)

    Onishchenko, A.M.

    1995-01-01

    Six basic stages of optimization of radiometric systems, methods of defining the preset components of total error and the choice of principles and methods of measurement are described in succession. The possibility of simultaneous optimization of several stages, turning back to the already passed stages, is shown. It is suggested that components of the total error should be preset as identical ones for methodical, instrument, occasional and representativity errors and the greatest of the components should be decreased first of all. Comparative table for 64 radiometric methods of measurement by 11 indices of the methods quality is presented. 2 refs., 1 tab

  3. The construction of a radiometric calibration facility at Lanseria Airport, Republic of South Africa

    International Nuclear Information System (INIS)

    Corner, B.; Smit, C.J.B.

    1983-08-01

    The construction of standard sources suitable for the calibration of airborne and truck-mounted gamma-spectrometer systems is described. Four sources were built, three of which were doped with preselected quantities of uranium, thorium or potassium. A fourth source was left barren so as to provide a measure of the background radiation in the area. The sources are 8 m in diameter, 0,35 m thick and are recessed into the disused northern portion of runway 17 at Lanseria Airport, north of Johannesburg. Adopted concentrations of the major radioelements in the sources are: 6,10 % k 2 O in the potasssium source, 67,0 ppm U 3 O 8 in the uranium source (radiometric), 158 ppm ThO 2 in the thorium source

  4. Lack of clinical relevance in routine final subcultures of radiometrically negative BACTEC blood culture vials

    International Nuclear Information System (INIS)

    Plorde, J.J.; Carlson, L.G.; Dau, M.E.

    1982-01-01

    During a 38-month period, 10,106 blood specimens were received in the laboratory for culture. These were inoculated into 26,424 vials and processed using the BACTEC radiometric detection system. Of these vials, 1,914 were eventually found to be microbiologically positive. Isolates from 836 vials were judged to be contaminants. In the remaining 1,078 vials, growth was first detected visually or radiometrically in 1,062 and by final subculture in 16. Growth from these sixteen bottles represented 12 clinically significant bacteremic episodes in as many patients. In nine of these episodes, other culture vials from the same patient were positive radiometrically. Therefore, 358 of 361 (99.2%) bacteremic episodes were detected without the benefit of routine final subcultures. The three patients whose bacteremia was missed were diagnosed clinically and placed on appropriate therapy prior to the detection of the bacteremias by final subculture

  5. Signature modelling and radiometric rendering equations in infrared scene simulation systems

    CSIR Research Space (South Africa)

    Willers, CJ

    2011-09-01

    Full Text Available The development and optimisation of modern infrared systems necessitates the use of simulation systems to create radiometrically realistic representations (e.g. images) of infrared scenes. Such simulation systems are used in signature prediction...

  6. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  7. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  8. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  9. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey at Vanna, Karlsoey

    International Nuclear Information System (INIS)

    Rodionov, Alexei; Ofstad, Frode

    2012-01-01

    NGU conducted an airborne geophysical survey in Vanna area in June 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 1336 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 27 degrees NW and average speed 106 km/h. The average terrain clearance of the bird was 59 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both -automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for four frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000. (Author)

  10. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey at Vanna, Karlsoey

    Energy Technology Data Exchange (ETDEWEB)

    Rodionov, Alexei; Ofstad, Frode

    2012-07-01

    NGU conducted an airborne geophysical survey in Vanna area in June 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 1336 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 27 degrees NW and average speed 106 km/h. The average terrain clearance of the bird was 59 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both -automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for four frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000. (Author)

  11. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  12. An equivalent method of mixed dielectric constant in passive microwave/millimeter radiometric measurement

    Science.gov (United States)

    Su, Jinlong; Tian, Yan; Hu, Fei; Gui, Liangqi; Cheng, Yayun; Peng, Xiaohui

    2017-10-01

    Dielectric constant is an important role to describe the properties of matter. This paper proposes This paper proposes the concept of mixed dielectric constant(MDC) in passive microwave radiometric measurement. In addition, a MDC inversion method is come up, Ratio of Angle-Polarization Difference(RAPD) is utilized in this method. The MDC of several materials are investigated using RAPD. Brightness temperatures(TBs) which calculated by MDC and original dielectric constant are compared. Random errors are added to the simulation to test the robustness of the algorithm. Keywords: Passive detection, microwave/millimeter, radiometric measurement, ratio of angle-polarization difference (RAPD), mixed dielectric constant (MDC), brightness temperatures, remote sensing, target recognition.

  13. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  14. Choosing of mode and calculation of multiple regression equation parameters in X-ray radiometric analysis

    International Nuclear Information System (INIS)

    Mamikonyan, S.V.; Berezkin, V.V.; Lyubimova, S.V.; Svetajlo, Yu.N.; Shchekin, K.I.

    1978-01-01

    A method to derive multiple regression equations for X-ray radiometric analysis is described. Te method is realized in the form of the REGRA program in an algorithmic language. The subprograms included in the program are describe. In analyzing cement for Mg, Al, Si, Ca and Fe contents as an example, the obtainment of working equations in the course of calculations by the program is shown to simpliy the realization of computing devices in instruments for X-ray radiometric analysis

  15. Determination of reliability of express forecasting evaluation of radiometric enriching ability of non-ferrous ores

    International Nuclear Information System (INIS)

    Kirpishchikov, S.P.

    1991-01-01

    Use of the data of nuclear physical methods of sampling and logging enables to improve reliability of evaluation of radiometric enriching ability of ores, as well as to evaluate quantitatively this reliability. This problem may be solved by using some concepts of geostatistics. The presented results enable to conclude, that the data of nuclear-physical methods of sampling and logging can provide high reliability of evaluation of radiometric enriching ability of non-ferrous ores and their geometrization by technological types

  16. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  17. Radiometric geochronology of the Himalaya

    International Nuclear Information System (INIS)

    Saini, H.S.

    1982-01-01

    The radiometric age data obtained by different dating methods have been interpreted in terms of possible orogenic activities prevailing in the Himalaya. In general, the age data confirm four main events, the Precambrian, the Late Precambrian-Cambrian Assyntian (Caledonian), the Late Palaeozoic-Hercynian and the Late Cretaceous-Tertiary Himalayan orogeny. The mineral dates are particularly significant in delineating different phases of the last i.e. the Himalayan orogeny which indicates main activity of the young Himalayan metamorphism around 70 to 50 Ma and followed by a momentous phase of major uplift during 25 to 10 Ma, which was responsible for the rise of the deeper part of the Himalaya into great folds and thrust slices and the formation of nappe structures. (author)

  18. Study and characterization of porous germanium for radiometric measurements

    Energy Technology Data Exchange (ETDEWEB)

    Akkari, E.; Benachour, Z.; Touayar, O.; Benbrahim, J. [Activites de Recherche, Metrologie des Rayonnements, Institut National des Sciences Appliquees et de Technologie, INSAT, Tunis (Tunisia); Aouida, S.; Bessais, B. [Laboratoire de Nanomateriaux et des Systemes de l' Energie, LaNSE, Centre de Recherche et des Technologies de l' Energie, CRTEn, Hammam-Lif (Tunisia)

    2009-07-15

    The aim of this article is to study and realize a new detector based on a porous germanium (pGe) photodiode to be used as a standard for radiometric measurement in the wavelength region between 800 nm and 1700 nm. We present the development and characterization of a porous structure realized on a single-crystal substrate of p-type germanium (Ga doped) and of crystallographic orientation (100). The obtained structure allows, on the one hand, to trap the incident radiation, and on the other hand, to minimize the fluctuations of the front-face reflection coefficient of the photodiode. The first studies thus made show that it is possible to optimize, respectively, the electrical current density and the electrochemical operation time necessary for obtaining exploitable porous structures. The obtained results show that for 50 mA/cm{sup 2} and 5 min as operational parameters, we obtain a textured aspect of the porous samples that present a pyramidal form. The reflectivity study of the front surface shows a constant value of around 38% in a spectral range between 800 nm and 1700 nm approximately. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  20. A review of recent developments in radiometric calibration facilities

    International Nuclear Information System (INIS)

    Corner, B.

    1984-01-01

    Two new developments concerning radiometric calibration facilities are described in this presentation. The first is the result of the international programme for the monitoring and cross-reference of existing calibration facilities, sponsored by the International Atomic Energy Agency. The second development that is discussed concerns the accuracy of the stripping ratios derived at Pelindaba and has important implications for in situ assaying

  1. Radiometric titration of thallium(III) with EDTA

    International Nuclear Information System (INIS)

    Rao, V.R.S.; Pulla Rao, Ch.; Tataiah, G.

    1978-01-01

    Radioactive solutions containing very small amounts of thallium(III) can be determined by radiometric titration using ammonia as hydrolysing agent. Aqueous solution of thallium(I) (both inactive and radioactive) is treated with bromine water till the appearance of the brown colour of bromine, and the solution is warmed to 80 deg C to expel the excess bromine. By this procedure all thallium(I) is quantitatively oxidised to thallium(III). An aqueous solution of ammonia is added to precipitate thallium(III) as thallic oxide. It is then filtered, washed with water to free it from bromide and then dissolved in 2N HCl and the solution is then standardised. 2 ml of this solution is transferred to a 20 ml volumetric flask, 1 ml of radioactive thallium(III) solution to be standardised is added as well as incremental amounts of EDTA solution and mixed thoroughly. Uncomplexed thallium(III) is then precipitated by the addition of an ammonia solution and diluted to 20 ml. Required amount of this mixture is centrifuged. The beta activity of the supernatant aliquot is measured using a GM counter. Quantitative determination of Tl(III) in the range of 1-10 μM can be carried out. The interference of cations such as Au(III), iron(III), Ga(III) can be eliminated by pretreatment of the Tl(III) solution before carrying out radiometric titration. The results obtained are reproducible and accurate to +-3%. (T.I.)

  2. JPSS-1 VIIRS Pre-Launch Radiometric Performance

    Science.gov (United States)

    Oudrari, Hassan; McIntire, Jeff; Xiong, Xiaoxiong; Butler, James; Efremova, Boryana; Ji, Jack; Lee, Shihyan; Schwarting, Tom

    2015-01-01

    The Visible Infrared Imaging Radiometer Suite (VIIRS) on-board the first Joint Polar Satellite System (JPSS) completed its sensor level testing on December 2014. The JPSS-1 (J1) mission is scheduled to launch in December 2016, and will be very similar to the Suomi-National Polar-orbiting Partnership (SNPP) mission. VIIRS instrument was designed to provide measurements of the globe twice daily. It is a wide-swath (3,040 kilometers) cross-track scanning radiometer with spatial resolutions of 370 and 740 meters at nadir for imaging and moderate bands, respectively. It covers the wavelength spectrum from reflective to long-wave infrared through 22 spectral bands [0.412 microns to 12.01 microns]. VIIRS observations are used to generate 22 environmental data products (EDRs). This paper will briefly describe J1 VIIRS characterization and calibration performance and methodologies executed during the pre-launch testing phases by the independent government team, to generate the at-launch baseline radiometric performance, and the metrics needed to populate the sensor data record (SDR) Look-Up-Tables (LUTs). This paper will also provide an assessment of the sensor pre-launch radiometric performance, such as the sensor signal to noise ratios (SNRs), dynamic range, reflective and emissive bands calibration performance, polarization sensitivity, bands spectral performance, response-vs-scan (RVS), near field and stray light responses. A set of performance metrics generated during the pre-launch testing program will be compared to the SNPP VIIRS pre-launch performance.

  3. Radiometric survey in mammography: problems and challenges; Levantamento radiometrico em mamografia: problemas e desafios

    Energy Technology Data Exchange (ETDEWEB)

    Navarro, M.V.T.; Navarro, V.C.C.; Garcia, I.F.M.; Ferreira, M.J.; Macedo, E.M., E-mail: navarro@ifba.edu.br [Instituto Federal da Bahia (LABPROSAUD/IFBA), Salvador, BA (Brazil). Laboratorio de Produtos para a Saude

    2015-07-01

    In addition to being mandatory, the radiometric survey is a necessity, especially in the Brazilian reality with the construction of smaller and smaller rooms. However, calibration conditions, the instrumentation and its use, can produce underestimated factors. Measures made at Labprosaud/IFBA, with five different instruments and the ISO N 25 radiation quality, show the possibility of the values presented in the radiometric surveys are underestimated by up to 10 times. The results indicate the need for meters to be calibrated in ISO N qualities, in mammography energy range, in integrated dose mode and exposure times shorter or equal to 1 s. (author)

  4. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  5. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  6. Mississippi exploration field trials using microbial, radiometrics, free soil gas, and other techniques

    Energy Technology Data Exchange (ETDEWEB)

    Moody, J.S.; Brown, L.R.; Thieling, S.C.

    1995-12-31

    The Mississippi Office of Geology has conducted field trials using the surface exploration techniques of geomicrobial, radiometrics, and free soil gas. The objective of these trials is to determine if Mississippi oil and gas fields have surface hydrocarbon expression resulting from vertical microseepage migration. Six fields have been surveyed ranging in depth from 3,330 ft to 18,500 ft. The fields differ in trapping styles and hydrocarbon type. The results so far indicate that these fields do have a surface expression and that geomicrobial analysis as well as radiometrics and free soil gas can detect hydrocarbon microseepage from pressurized reservoirs. All three exploration techniques located the reservoirs independent of depth, hydrocarbon type, or trapping style.

  7. Blood culture cross contamination associated with a radiometric analyzer

    International Nuclear Information System (INIS)

    Griffin, M.R.; Miller, A.D.; Davis, A.C.

    1982-01-01

    During a 9-day period in August 1980 in a New Jersey hospital, three pairs of consecutively numbered blood cultures from different patients were identified as positive for the same organism, for each pair, both cultures were positive in the same atmosphere, both organisms had the same sensitivities, and the second of each pair grew at least 2 days after the first and was the only positive blood culture obtained from the patient. When the hospital laboratory discontinued use of its radiometric culture analyzer for 15 days, no more consecutive pairs of positive cultures occurred. Subsequent use of the machine for 9 days with a new power unit but the original circuit boards resulted in one more similar consecutive pair (Staphylococcus epidermidis). After replacement of the entire power unit, there were no further such pairs. Examination of the machine by the manufacturer revealed a defective circuit board which resulted in inadequate needle sterilization. Laboratories which utilize radiometric analyzers should be aware of the potential for cross contamination. Recognition of such events requires alert microbiologists and infection control practitioners and a record system in the bacteriology laboratory designed to identify such clusters

  8. Space Object Radiometric Modeling for Hardbody Optical Signature Database Generation

    Science.gov (United States)

    2009-09-01

    Introduction This presentation summarizes recent activity in monitoring spacecraft health status using passive remote optical nonimaging ...Approved for public release; distribution is unlimited. Space Object Radiometric Modeling for Hardbody Optical Signature Database Generation...It is beneficial to the observer/analyst to understand the fundamental optical signature variability associated with these detection and

  9. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  10. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  11. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  12. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  13. Radiometric Evaluation of SNPP VIIRS Band M11 via Sub-Kilometer Intercomparison with Aqua MODIS Band 7 over Snowy Scenes

    Directory of Open Access Journals (Sweden)

    Mike Chu

    2018-03-01

    Full Text Available A refined intersensor comparison study is carried out to evaluate the radiometric stability of the 2257 nm channel (M11 of the first Visible Infrared Imaging Radiometer Suite (VIIRS aboard the Suomi National Polar-orbiting Partnership (SNPP satellite. This study is initiated as part of the examination into the performance of key shortwave infrared (SWIR bands for SNPP VIIRS ocean color data processing and applications, with Band M11 playing key role over turbid and inland waters. The evaluation utilizes simultaneous nadir overpasses (SNOs to compare SNPP VIIRS Band M11 against Band 7 of the MODerate-resolution Imaging Spectroradiometer (MODIS in the Aqua satellite over concurrently observed scenes. The standard result of the radiance comparison is a seemingly uncontrolled and inconsistent time series unsuitable for further analyses, in great contrast to other matching band-pairs whose radiometric comparisons are typically stable around 1.0 within 1% variation. The mismatching relative spectral response (RSR between the two respective bands, with SNPP VIIRS M11 at 2225 to 2275 nm and Aqua MODIS B7 at 2125 to 2175 nm, is demonstrated to be the cause of the large variation because of the different dependence of the spectral responses of the two bands over identical scenes. A consistent radiometric comparison time series, however, can be extracted from SNO events that occur over snowy surfaces. A customized selection and analysis procedure successfully identifies the snowy scenes within the SNO events and builds a stable comparison time series. Particularly instrumental for the success of the comparison is the use of the half-kilometer spatial resolution data of Aqua MODIS B7 that significantly enhances the statistics. The final refined time series of Aqua MODIS B7 radiance over the SNPP VIIRS M11 radiance is stable at around 0.39 within 2.5% showing no evidence of drift. The radiometric ratio near 0.39 suggests the strong presence of medium

  14. Analysis of Properties of Reflectance Reference Targets for Permanent Radiometric Test Sites of High Resolution Airborne Imaging Systems

    Directory of Open Access Journals (Sweden)

    Eero Ahokas

    2010-08-01

    Full Text Available Reliable and optimal exploitation of rapidly developing airborne imaging methods requires geometric and radiometric quality assurance of production systems in operational conditions. Permanent test sites are the most promising approach for cost-efficient performance assessment. Optimal construction of permanent radiometric test sites for high resolution airborne imaging systems is an unresolved issue. The objective of this study was to assess the performance of commercially available gravels and painted and unpainted concrete targets for permanent, open-air radiometric test sites under sub-optimal climate conditions in Southern Finland. The reflectance spectrum and reflectance anisotropy and their stability were characterized during the summer of 2009. The management of reflectance anisotropy and stability were shown to be the key issues for better than 5% reflectance accuracy.

  15. A COMPARISON OF LIDAR REFLECTANCE AND RADIOMETRICALLY CALIBRATED HYPERSPECTRAL IMAGERY

    Directory of Open Access Journals (Sweden)

    A. Roncat

    2016-06-01

    Full Text Available In order to retrieve results comparable under different flight parameters and among different flight campaigns, passive remote sensing data such as hyperspectral imagery need to undergo a radiometric calibration. While this calibration, aiming at the derivation of physically meaningful surface attributes such as a reflectance value, is quite cumbersome for passively sensed data and relies on a number of external parameters, the situation is by far less complicated for active remote sensing techniques such as lidar. This fact motivates the investigation of the suitability of full-waveform lidar as a “single-wavelength reflectometer” to support radiometric calibration of hyperspectral imagery. In this paper, this suitability was investigated by means of an airborne hyperspectral imagery campaign and an airborne lidar campaign recorded over the same area. Criteria are given to assess diffuse reflectance behaviour; the distribution of reflectance derived by the two techniques were found comparable in four test areas where these criteria were met. This is a promising result especially in the context of current developments of multi-spectral lidar systems.

  16. Off-line radiometric analysis of Planck-LFI data

    Energy Technology Data Exchange (ETDEWEB)

    Tomasi, M; Mennella, A; Bersanelli, M [Dipartimento di Fisica, Universita degli Studi di Milano, Via Celoria 16, 20133 Milano (Italy); Galeotta, S; Maris, M [LFI-DPC INAF-OATs, Via Tiepolo 11, 34131 Trieste (Italy); Lowe, S R [Jodrell Bank Centre for Astrophysics, The University of Manchester, Manchester, M13 9PL (United Kingdom); Mendes, L [Planck Science Office, European Space Agency, ESAC, P.O. box 78, 28691 Villanueva de la Canada, Madrid (Spain); Leonardi, R; Meinhold, P [Department of Physics, University of California, Santa Barbara, CA 93106-9530 (United States); Villa, F; Sandri, M; Cuttaia, F; Terenzi, L; Valenziano, L; Butler, R C [INAF-IASF Bologna, Via Gobetti, 101, 40129, Bologna (Italy); Cappellini, B [INAF-IASF Milano, Via E. Bassini 15, 20133 Milano (Italy); Gregorio, A [Department of Physics, University of Trieste, Via Valerio, 2 Trieste I-34127 (Italy); Salmon, M J [Departamento de IngenierIa de Comunicaciones, Universidad de Cantabria, Avenida de los Castros s/n. 39005 Santander (Spain); Binko, P [ISDC Data Centre for Astrophysics, University of Geneva, ch. d' Ecogia 16, 1290 Versoix (Switzerland); D' Arcangelo, O, E-mail: tomasi@lambrate.inaf.i [IFP-CNR, Via Cozzi 53, Milano (Italy)

    2009-12-15

    The Planck Low Frequency Instrument (LFI) is an array of 22 pseudo-correlation radiometers on-board the Planck satellite to measure temperature and polarization anisotropies in the Cosmic Microwave Background (CMB) in three frequency bands (30, 44 and 70 GHz). To calibrate and verify the performances of the LFI, a software suite named LIFE has been developed. Its aims are to provide a common platform to use for analyzing the results of the tests performed on the single components of the instrument (RCAs, Radiometric Chain Assemblies) and on the integrated Radiometric Array Assembly (RAA). Moreover, its analysis tools are designed to be used during the flight as well to produce periodic reports on the status of the instrument. The LIFE suite has been developed using a multi-layered, cross-platform approach. It implements a number of analysis modules written in RSI IDL, each accessing the data through a portable and heavily optimized library of functions written in C and C++. One of the most important features of LIFE is its ability to run the same data analysis codes both using ground test data and real flight data as input. The LIFE software suite has been successfully used during the RCA/RAA tests and the Planck Integrated System Tests. Moreover, the software has also passed the verification for its in-flight use during the System Operations Verification Tests, held in October 2008.

  17. Off-line radiometric analysis of Planck-LFI data

    International Nuclear Information System (INIS)

    Tomasi, M; Mennella, A; Bersanelli, M; Galeotta, S; Maris, M; Lowe, S R; Mendes, L; Leonardi, R; Meinhold, P; Villa, F; Sandri, M; Cuttaia, F; Terenzi, L; Valenziano, L; Butler, R C; Cappellini, B; Gregorio, A; Salmon, M J; Binko, P; D'Arcangelo, O

    2009-01-01

    The Planck Low Frequency Instrument (LFI) is an array of 22 pseudo-correlation radiometers on-board the Planck satellite to measure temperature and polarization anisotropies in the Cosmic Microwave Background (CMB) in three frequency bands (30, 44 and 70 GHz). To calibrate and verify the performances of the LFI, a software suite named LIFE has been developed. Its aims are to provide a common platform to use for analyzing the results of the tests performed on the single components of the instrument (RCAs, Radiometric Chain Assemblies) and on the integrated Radiometric Array Assembly (RAA). Moreover, its analysis tools are designed to be used during the flight as well to produce periodic reports on the status of the instrument. The LIFE suite has been developed using a multi-layered, cross-platform approach. It implements a number of analysis modules written in RSI IDL, each accessing the data through a portable and heavily optimized library of functions written in C and C++. One of the most important features of LIFE is its ability to run the same data analysis codes both using ground test data and real flight data as input. The LIFE software suite has been successfully used during the RCA/RAA tests and the Planck Integrated System Tests. Moreover, the software has also passed the verification for its in-flight use during the System Operations Verification Tests, held in October 2008.

  18. Reduction of Radiometric Miscalibration—Applications to Pushbroom Sensors

    Directory of Open Access Journals (Sweden)

    Sigrid Roessner

    2011-06-01

    Full Text Available The analysis of hyperspectral images is an important task in Remote Sensing. Foregoing radiometric calibration results in the assignment of incident electromagnetic radiation to digital numbers and reduces the striping caused by slightly different responses of the pixel detectors. However, due to uncertainties in the calibration some striping remains. This publication presents a new reduction framework that efficiently reduces linear and nonlinear miscalibrations by an image-driven, radiometric recalibration and rescaling. The proposed framework—Reduction Of Miscalibration Effects (ROME—considering spectral and spatial probability distributions, is constrained by specific minimisation and maximisation principles and incorporates image processing techniques such as Minkowski metrics and convolution. To objectively evaluate the performance of the new approach, the technique was applied to a variety of commonly used image examples and to one simulated and miscalibrated EnMAP (Environmental Mapping and Analysis Program scene. Other examples consist of miscalibrated AISA/Eagle VNIR (Visible and Near Infrared and Hawk SWIR (Short Wave Infrared scenes of rural areas of the region Fichtwald in Germany and Hyperion scenes of the Jalal-Abad district in Southern Kyrgyzstan. Recovery rates of approximately 97% for linear and approximately 94% for nonlinear miscalibrated data were achieved, clearly demonstrating the benefits of the new approach and its potential for broad applicability to miscalibrated pushbroom sensor data.

  19. Radiometric calibration of digital cameras using neural networks

    Science.gov (United States)

    Grunwald, Michael; Laube, Pascal; Schall, Martin; Umlauf, Georg; Franz, Matthias O.

    2017-08-01

    Digital cameras are used in a large variety of scientific and industrial applications. For most applications, the acquired data should represent the real light intensity per pixel as accurately as possible. However, digital cameras are subject to physical, electronic and optical effects that lead to errors and noise in the raw image. Temperature- dependent dark current, read noise, optical vignetting or different sensitivities of individual pixels are examples of such effects. The purpose of radiometric calibration is to improve the quality of the resulting images by reducing the influence of the various types of errors on the measured data and thus improving the quality of the overall application. In this context, we present a specialized neural network architecture for radiometric calibration of digital cameras. Neural networks are used to learn a temperature- and exposure-dependent mapping from observed gray-scale values to true light intensities for each pixel. In contrast to classical at-fielding, neural networks have the potential to model nonlinear mappings which allows for accurately capturing the temperature dependence of the dark current and for modeling cameras with nonlinear sensitivities. Both scenarios are highly relevant in industrial applications. The experimental comparison of our network approach to classical at-fielding shows a consistently higher reconstruction quality, also for linear cameras. In addition, the calibration is faster than previous machine learning approaches based on Gaussian processes.

  20. Reduction of Radiometric Miscalibration—Applications to Pushbroom Sensors

    Science.gov (United States)

    Rogaß, Christian; Spengler, Daniel; Bochow, Mathias; Segl, Karl; Lausch, Angela; Doktor, Daniel; Roessner, Sigrid; Behling, Robert; Wetzel, Hans-Ulrich; Kaufmann, Hermann

    2011-01-01

    The analysis of hyperspectral images is an important task in Remote Sensing. Foregoing radiometric calibration results in the assignment of incident electromagnetic radiation to digital numbers and reduces the striping caused by slightly different responses of the pixel detectors. However, due to uncertainties in the calibration some striping remains. This publication presents a new reduction framework that efficiently reduces linear and nonlinear miscalibrations by an image-driven, radiometric recalibration and rescaling. The proposed framework—Reduction Of Miscalibration Effects (ROME)—considering spectral and spatial probability distributions, is constrained by specific minimisation and maximisation principles and incorporates image processing techniques such as Minkowski metrics and convolution. To objectively evaluate the performance of the new approach, the technique was applied to a variety of commonly used image examples and to one simulated and miscalibrated EnMAP (Environmental Mapping and Analysis Program) scene. Other examples consist of miscalibrated AISA/Eagle VNIR (Visible and Near Infrared) and Hawk SWIR (Short Wave Infrared) scenes of rural areas of the region Fichtwald in Germany and Hyperion scenes of the Jalal-Abad district in Southern Kyrgyzstan. Recovery rates of approximately 97% for linear and approximately 94% for nonlinear miscalibrated data were achieved, clearly demonstrating the benefits of the new approach and its potential for broad applicability to miscalibrated pushbroom sensor data. PMID:22163960

  1. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Mauken, Maalselv, Troms

    International Nuclear Information System (INIS)

    Rodionov, Alexei; Koziel, Janusz; Lynum, Rolf

    2012-01-01

    NGU conducted an airborne geophysical survey in Mauken area in June 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 3680 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 33 degrees NE and average speed 88km/h. The average terrain clearance of the bird was 45m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both -automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000. (Author)

  2. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Mauken, Maalselv, Troms

    Energy Technology Data Exchange (ETDEWEB)

    Rodionov, Alexei; Koziel, Janusz; Lynum, Rolf

    2012-07-01

    NGU conducted an airborne geophysical survey in Mauken area in June 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 3680 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 33 degrees NE and average speed 88km/h. The average terrain clearance of the bird was 45m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both -automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000. (Author)

  3. Radiometric installations for automatic control of industrial processes and some possibilities of the specialized computers application

    International Nuclear Information System (INIS)

    Kuzino, S.; Shandru, P.

    1979-01-01

    It is noted that application of radioisotope devices in circuits for automation of some industrial processes permits to obtain the on-line information about some parameters of these processes. This information being passed to a computer, controlling the process, permits to obtain and maintain some optimum technological perameters of this process. Some elements of the automation stem projecting are given from the poin of wiev of the radiometric devices tuning, calibration of the radiometric devices with the purpose to get a digital answer in the on-line regime with the preset accuracy and thrustworthyness levels for supplying them to the controlling computer; determination of the system's reaction on the base of the preset statistical criteria; development, on the base of the data obtained from the computer, of an algorithm for the functional checking of radiometric devices' characteristics, - stability and reproductibility of readings in the operation regime as well as determination of the value threshold of an answer, depending on the measured parameter [ru

  4. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  5. Determining total sulfur content in coal by MSC radiometric sulfur meter

    Energy Technology Data Exchange (ETDEWEB)

    Czerw, B; Sikora, T; Golebiowski, W

    1976-01-01

    The MSC radiometric sulfur meter is used to determine total sulfur content in brown and black coals. Sulfur content is determined by measuring intensity of radiation beam which has travelled through a coal sample with the optimum constant surface mass. Construction of the MSC, consisting of a measuring head and the electronic measuring system, is shown in a scheme. AM-241 (with activity of 50 mCi) is the source of radiation. Energy of 25.3 keV (tin disc) is selected as the optimum. The SSU-70 probe with NaJ/Tl crystal is the radiation detector. The black coal sample weighs 10 g and the brown coal sample weighs 18 g. Duration of sulfur determination is 10 min. Error of sulfur determination ranges from plus or minus 0.2% to 0.3%. The results of operational tests of MSC radiometric sulfur meters in black and brown coal mines are discussed. Accuracy of measurement is shown in 5 tables. (8 refs.)

  6. Geologic and radiometric study in the Picacho, Arizpe's Municipality, Sorora (Mexico) area

    International Nuclear Information System (INIS)

    Garcia y Barragan, J.C.

    1975-01-01

    This research work was aimed chiefly at studying the geology and radiometry of the El Picacho area in order to establish its uranium mineralization potential. Another purpose was to ascertain the factors favouring deposition of radioactive material in areas bordering on the Sierra del Manzanal, where the work was carried out. Detailed geological-radiometric surveys were made, both inside the El Picacho mine and at the surface. The geological surveys were carried out by means of compass bearings and stadia, while scintillometers and spectrometers were used for the radiometric studies. The work was supported by a general geological exploration of the central part of the Serra del Manzanal. To ascertain the radiometric anomalies, the distribution of the population of values was determined by statistical methods, the frequency, cumulative frequency and frequency percentage being evaluated for that purpose. The geological survey at the El Picacho mine revealed a group of fractures enclosing the following minerals: torbernite, iriginite and autunite. These fractures are no thicker than 5 cm and tend to wedge out after 3 meters. Primary uraniferous ore is likely to be found in this zone, so surveys based on (a) radon gas emanometry and (b) sediment geochemistry in the Siera del Manzanal are recommended. The basic data relating to this area could be supplemented by mineragraphic and X-ray studies, which would provide a fuller picture of the class of mineralogical species and of the paragenesis of radioactive material presnent in the zone. (author)

  7. Relative Radiometric Normalization and Atmospheric Correction of a SPOT 5 Time Series

    Directory of Open Access Journals (Sweden)

    Matthieu Rumeau

    2008-04-01

    Full Text Available Multi-temporal images acquired at high spatial and temporal resolution are an important tool for detecting change and analyzing trends, especially in agricultural applications. However, to insure a reliable use of this kind of data, a rigorous radiometric normalization step is required. Normalization can be addressed by performing an atmospheric correction of each image in the time series. The main problem is the difficulty of obtaining an atmospheric characterization at a given acquisition date. In this paper, we investigate whether relative radiometric normalization can substitute for atmospheric correction. We develop an automatic method for relative radiometric normalization based on calculating linear regressions between unnormalized and reference images. Regressions are obtained using the reflectances of automatically selected invariant targets. We compare this method with an atmospheric correction method that uses the 6S model. The performances of both methods are compared using 18 images from of a SPOT 5 time series acquired over Reunion Island. Results obtained for a set of manually selected invariant targets show excellent agreement between the two methods in all spectral bands: values of the coefficient of determination (r² exceed 0.960, and bias magnitude values are less than 2.65. There is also a strong correlation between normalized NDVI values of sugarcane fields (r² = 0.959. Despite a relative error of 12.66% between values, very comparable NDVI patterns are observed.

  8. Spectrometric devices of itinerant radiometric laboratory

    International Nuclear Information System (INIS)

    Dolgirev, E.I.; Moroz, G.L.; Shchedrin, D.A.

    1978-01-01

    Scintillation gamma-spectrometer is described designed for mobile radiometric laboratory for individual monitoring of internal γ-radiation of members of the general population and service personnel as well as for analysis of the isotopic composition of radiocontaminants in the environment. Description and technical features of device are given. The detection unit consists of detectors made on the basis of NaI(Tl) monocrystals 63 x 63 mm in size. The unit permits measurement of various levels of gamma-emitting nuclides throughout the body and in the thyroid and lungs of man. Provision is made for measuring samples with high specific activities (more than 10 -5 Cu/g) and for examining individuals having high levels of incorporated radionuclides

  9. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  10. Detection and recovery of mycobacteria by a radiometric procedure

    International Nuclear Information System (INIS)

    Takahashi, H.; Foster, V.

    1983-01-01

    During a 6-month period, 5,375 clinical specimens were cultured on Middlebrook-Cohn 7H10 medium, on Lowenstein-Jensen medium, and in Middlebrook 7H12 medium containing [ 14 C]palmitic acid. More mycobacteria were recovered when all three media were used than when either the conventional method with 7H10 agar and Lowenstein-Jensen slants or the radiometric method with 7H12 broth was used alone

  11. A practical intercomparison of non-radiometric methods for the determination of low levels of radionuclides

    International Nuclear Information System (INIS)

    McMahon, A.W.

    1991-01-01

    Non-Radiometric, methods of elemental analysis have been assessed as alternatives to radiometric methods for the determination of low levels of radionuclides. The methods have been assessed in a practical intercomparison, using a set of samples containing known, low levels of analytes in a variety of matrices. It was found that all of the methods considered have a role to play and are to some extent complementary in terms of cost, rate of sample throughput, elemental coverage, imaging capability sensitivity and quantitative capabilities. Techniques based on mass spectrometry have most to offer in this application, allowing sensitive isotope specific determinations. (author)

  12. Evaluation of a radiometric method for studying bacterial activity in the presence of antimicrobial agents

    Energy Technology Data Exchange (ETDEWEB)

    Cutler, R.R.; Wilson, P.; Clarke, F.V. (Newham District Microbiology Labs., St. Andrews Hospital, London (UK))

    1989-06-01

    In a study involving 2760 tests, the BACTEC semi-automatic radiometric method which measures bacterial metabolic activity and produces a BACTEC growth index, was compared with two conventional methods commonly used for determining growth, absorbance and viable counts. In 92% of radiometry tests the suppression of growth was inversely related to the antibiotic concentration. This compared with 83% for absorbance and 63% for viable counts. The radiometric method was found to be more rapid, easier to use and more reproducible in determining the effect of antibiotics on the activity of bacteria than viable counting or absorbance methods. (author).

  13. Evaluation of a radiometric method for studying bacterial activity in the presence of antimicrobial agents

    International Nuclear Information System (INIS)

    Cutler, R.R.; Wilson, P.; Clarke, F.V.

    1989-01-01

    In a study involving 2760 tests, the BACTEC semi-automatic radiometric method which measures bacterial metabolic activity and produces a BACTEC growth index, was compared with two conventional methods commonly used for determining growth, absorbance and viable counts. In 92% of radiometry tests the suppression of growth was inversely related to the antibiotic concentration. This compared with 83% for absorbance and 63% for viable counts. The radiometric method was found to be more rapid, easier to use and more reproducible in determining the effect of antibiotics on the activity of bacteria than viable counting or absorbance methods. (author)

  14. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  15. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  16. Rain attenuation studies from radiometric and rain DSD measurements at two tropical locations

    Science.gov (United States)

    Halder, Tuhina; Adhikari, Arpita; Maitra, Animesh

    2018-05-01

    Efficient use of satellite communication in tropical regions demands proper characterization of rain attenuation, particularly, in view of the available popular propagation models which are mostly based on temperate climatic data. Thus rain attenuations at frequencies 22.234, 23.834 and 31.4/30 GHz over two tropical locations Kolkata (22.57°N, 88.36°E, India) and Belem (1.45°S, 48.49° W, Brazil), have been estimated for the year 2010 and 2011, respectively. The estimation has been done utilizing ground-based disdrometer observations and radiometric measurements over Earth-space path. The results show that rain attenuation estimations from radiometric data are reliable only at low rain rates (measurements show good agreement with the ITU-R model, even at high rain rates (upto100 mm/h). Despite having significant variability in terms of drop size distribution (DSD), the attenuation values calculated from DSD data (disdrometer measurements) at Kolkata and Belem differ a little for the rain rates below 30 mm/h. However, the attenuation values, obtained from radiometric measurements at the two places, show significant deviations ranging from 0.54 dB to 3.2 dB up to a rain rate of 30 mm/h, on account of different rain heights, mean atmospheric temperatures and climatology of the two locations.

  17. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  18. MTG infrared sounder detection chain: first radiometric test results

    Science.gov (United States)

    Dumestier, D.; Pistone, F.; Dartois, T.; Blazquez, E.

    2017-11-01

    Europe's next fleet of geostationary meteorological satellites, MeteoSat Third Generation, will introduce new functions in addition to continuity of high-resolution meteorological data. The atmosphere Infrared Sounder (IRS), as high -end instrument, is part of this challenging program. IRS principle is a Fourier Transform Interferometer, which allows recomposing atmospheric spectrum after infrared photons detection. Transmission spectrums will be used to support numerical weather prediction. IRS instrument is able to offer full disk coverage in one hour, an on-ground resolution of 4 by 4 km, in two spectral bands (MWIR: 1600 to 2175cm-1 and LWIR: 700 to 1210cm-1) with a spectral resolution of 0.6cm-1. Among critical technologies and processes, IRS detection chain shall offer outstanding characteristics in terms of radiometric performance like Signal to Noise Ratio (SNR), dynamic range and linearity. Selected detectors are HgCdTe two-dimensions arrays, cooled at 55 Kelvins, hybridized on snapshot silicon read-out circuit at 160x160 format. Video electronics present 16 bits resolution, and the whole detection chain (Detectors and electronics) permits to reach SNR between 2 000 and 10 000 as requested by the application. Radiometric onground test results performed on design representative detection chains are presented and are confirming the challenging phase A design choices.

  19. LFI Radiometric Chain Assembly (RCA) data handling ``Rachel''

    Science.gov (United States)

    Malaspina, M.; Franceschi, E.; Battaglia, P.; Binko, P.; Butler, R. C.; D'Arcangelo, O.; Fogliani, S.; Frailis, M.; Franceschet, C.; Galeotta, S.; Gasparo, F.; Gregorio, A.; Lapolla, M.; Leonardi, R.; Maggio, G.; Mandolesi, N.; Manzato, P.; Maris, M.; Meharga, M.; Meinhold, P.; Morisset, N.; Pasian, F.; Perrotta, F.; Rohlfs, R.; Sandri, M.; Tomasi, M.; Türler, M.; Zacchei, A.; Zonca, A.

    2009-12-01

    Planck's Low Frequency Instrument is an array of 22 pseudo-correlation radiometers at 30, 44, and 70 GHz. Before integrating the overall array assembly, a first set of tests has been performed for each radiometer chain assembly (RCA), consisting of two radiometers. In this paper, we describe Rachel, a software application which has been purposely developed and used during the RCA test campaign to carry out both near-realtime on-line data analysis and data storage (in FITS format) of the raw output from the radiometric chains.

  20. LFI Radiometric Chain Assembly (RCA) data handling 'Rachel'

    International Nuclear Information System (INIS)

    Malaspina, M; Franceschi, E; Butler, R C; Mandolesi, N; Battaglia, P; Franceschet, C; Lapolla, M; Binko, P; Meharga, M; D'Arcangelo, O; Fogliani, S; Frailis, M; Galeotta, S; Gasparo, F; Maggio, G; Manzato, P; Maris, M; Gregorio, A; Leonardi, R; Meinhold, P

    2009-01-01

    Planck's Low Frequency Instrument is an array of 22 pseudo-correlation radiometers at 30, 44, and 70 GHz. Before integrating the overall array assembly, a first set of tests has been performed for each radiometer chain assembly (RCA), consisting of two radiometers. In this paper, we describe Rachel, a software application which has been purposely developed and used during the RCA test campaign to carry out both near-realtime on-line data analysis and data storage (in FITS format) of the raw output from the radiometric chains.

  1. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  2. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  3. Evaluation of element migration from food plastic packagings into simulated solutions using radiometric method

    International Nuclear Information System (INIS)

    Soares, Eufemia Paez; Saiki, Mitiko; Wiebeck, Helio

    2005-01-01

    In the present study a radiometric method was established to determine the migration of elements from food plastic packagings to a simulated acetic acid solution. This radiometric method consisted of irradiating plastic samples with neutrons at IEA-R1 nuclear reactor for a period of 16 hours under a neutron flux of 10 12 n cm -2 s -1 and, then to expose them to the element migration into a simulated solution. The radioactivity of the activated elements transferred to the solutions was measured to evaluate the migration. The experimental conditions were: time of exposure of 10 days at 40 deg C and 3% acetic acid solution was used as simulated solution, according to the procedure established by the National Agency of Sanitary Monitoring (ANVISA). The migration study was applied for plastic samples from soft drink and juice packagings. The results obtained indicated the migration of elements Co, Cr and Sb. The advantage of this methodology was no need to analyse the blank of simulantes, as well as the use of high purity simulated solutions. Besides, the method allows to evaluate the migration of the elements into the food content instead of simulated solution. The detention limits indicated high sensitivity of the radiometric method. (author)

  4. Radiometric, SEM and XRD investigation of the Chituc black sands, southern Danube Delta, Romania

    International Nuclear Information System (INIS)

    Margineanu, R.M.; Blebea-Apostu, Ana-Maria; Celarel, Aurelia; Gomoiu, Claudia-Mariana; Costea, C.; Dumitras, Delia; Ion, Adriana; Duliu, O.G.

    2014-01-01

    The black sand of the Chituc marine sand bank, northern of the city of Navodari (Romania), presents anomalous high radioactivity. Field measurements recorded in some places dose rate up to 200 nSv/h, significantly overpassing the average value of 44 ± 20 nSv/h along the entire Southern sector of Romanian Black Sea shore. Gamma ray spectrometry performed on both Slanic-Prahova Underground Low Background Laboratory and Geological Institute of Romania Radiometric Facilities showed with clarity the dominance of 228 Ac radioisotope in the 50 microns fraction together with the 226 Ra and traces of 40 K. No significant amount of anthropogenic 137 Cs was identified. Based on radiometric as well as on SEM–EDAX and XRD determinations we come to the conclusion that the evidenced radioactivity could be attributed to both uranium and thorium series in the zircon and monazite fractions and to a lesser extent to potassium in the feldspars. - Highlights: • High background radioactivity (up to 200 nSv/h) evidenced. • Radiometric measurements showed a maximum radioactivity of U and Th confined to 50–100 μm fraction. • XRD and SEM–EDAX data revealed the presence of k-feldspars, ilmenite, zircone, monazite and garnets

  5. Geologic and radiometric study of the Santa Rosalia area, Arizpe Department, Sonora Mexico

    International Nuclear Information System (INIS)

    Sanchez M, C.A.

    1977-01-01

    The importance of the radiometrical anomaly, discovered in the Santa Rosalia area, Arizpe Department, Sonota, is defined in this study through topographical and geological surveying of the area by the outline and systematical survey of rocks for sampling and registration of the radioactivity. Two radioactive anomalies were defined and called IIIA and IIIB, identifying the existence of secondary uranium minerals (Torbernite) in the IIIB anomaly. According to the results of the work which was carried out we can't deduce that both localities present signs of the existence of important uranium concentrations. We can conclude that the presence of uranium minerals obliges us to realize a more detailed exploration, suggesting the opening of little excavations and ditches and stretching out of the geochemical, geological and radiometrical exploration to adjacent areas. (author)

  6. Geometric Calibration and Radiometric Correction of LiDAR Data and Their Impact on the Quality of Derived Products

    Directory of Open Access Journals (Sweden)

    Wai-Yeung Yan

    2011-09-01

    Full Text Available LiDAR (Light Detection And Ranging systems are capable of providing 3D positional and spectral information (in the utilized spectrum range of the mapped surface. Due to systematic errors in the system parameters and measurements, LiDAR systems require geometric calibration and radiometric correction of the intensity data in order to maximize the benefit from the collected positional and spectral information. This paper presents a practical approach for the geometric calibration of LiDAR systems and radiometric correction of collected intensity data while investigating their impact on the quality of the derived products. The proposed approach includes the use of a quasi-rigorous geometric calibration and the radar equation for the radiometric correction of intensity data. The proposed quasi-rigorous calibration procedure requires time-tagged point cloud and trajectory position data, which are available to most of the data users. The paper presents a methodology for evaluating the impact of the geometric calibration on the relative and absolute accuracy of the LiDAR point cloud. Furthermore, the impact of the geometric calibration and radiometric correction on land cover classification accuracy is investigated. The feasibility of the proposed methods and their impact on the derived products are demonstrated through experimental results using real data.

  7. The use of radiometric-logging techniques to determine uranium grade in certain mineralised Karoo boreholes

    International Nuclear Information System (INIS)

    Corner, B.; De Beer, G.P.

    1976-05-01

    During the period September-October 1975, 22 mineralised boreholes in nine different Karoo uranium occurrences were logged radiometrically with the aim of determining to what accuracy the actual uranium grade could be predicted from the gamma logs. The true uranium grades of the mineralised zones logged were known from existing chemical analyses. The results showed that the uranium grades could be predicted to an accuracy of better than 10% through the use of gamma-logging equipment calibrated at Pelindaba, provided that the ore was in equilibrium and that little or no thorium was present. Disequilibrium is, however, prevalent in the Karoo, and in the holes logged it occurred by depletion of uranium relative to its gamma-emitting daughter products. Such effects were mostly confined to the zone above the water table, and it is concluded that for Karoo-type occurrences, the high radiometric background levels observed over extended distances in some boreholes were indicative of radon-gas buildup, and hence of disequilibrium. It is further concluded that radiometric borehole logging can largely replace chemical analyses in the determination of uranium grade for ore-reserve calculations, although chemical checks for disequilibrium would always be necessary [af

  8. Radiometric calibration of the in-flight blackbody calibration system of the GLORIA interferometer

    Directory of Open Access Journals (Sweden)

    C. Monte

    2014-01-01

    Atmosphere is an airborne, imaging, infrared Fourier transform spectrometer that applies the limb-imaging technique to perform trace gas and temperature measurements in the Earth's atmosphere with three-dimensional resolution. To ensure the traceability of these measurements to the International Temperature Scale and thereby to an absolute radiance scale, GLORIA carries an on-board calibration system. Basically, it consists of two identical large-area and high-emissivity infrared radiators, which can be continuously and independently operated at two adjustable temperatures in a range from −50 °C to 0 °C during flight. Here we describe the radiometric and thermometric characterization and calibration of the in-flight calibration system at the Reduced Background Calibration Facility of the Physikalisch-Technische Bundesanstalt. This was performed with a standard uncertainty of less than 110 mK. Extensive investigations of the system concerning its absolute radiation temperature and spectral radiance, its temperature homogeneity and its short- and long-term stability are discussed. The traceability chain of these measurements is presented.

  9. Synoptic view of the different domains of application of airborne radiometric and spectrometric surveys in egypt

    International Nuclear Information System (INIS)

    Fouad, K.M.

    1998-01-01

    Airborne radiometric survey has been applied for more than three decades in egypt. Experience gained from the acquired data over different geological environments has revealed the importance of this geophysical tool in: 1. disclosure of anomalies of potential uranium deposits, 2. geological mapping, 3. environmental monitoring of natural radiometric background around nuclear facilities, as well as the detection of nuclear fallout resulting from local or foreign nuclear activities. The advent of recording of the discriminated gamma ray energies in the airborne 256-channel spectrometer has eventually resulted in the quantitative detection of uranium, thorium, and potassium and their elemental ratios in the rocks. This has greatly widened the scope of geophysical and geochemical application. When this type is coupled with airborne magnetometry, the geological and structural configuration is appreciably revealed in three dimensions. The important role played by the statistical method of analysis is also shown. Case histories from the eastern desert, and sinai peninsula, are exhibited to help manifest the wide variety of applications of radiometric and spectrometric surveys

  10. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Finnsnes area, Lenvik, Troms

    International Nuclear Information System (INIS)

    Rodionov, Alexei; Ofstad, Frode; Tassis, Georgios

    2012-01-01

    NGU conducted an airborne geophysical survey in Finnsnes area in July - August 2012. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 2715 line km. The Geotech Ltd. Hummingbird frequency domain EM system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 120 degrees NW-SE with the average speed 89 km/h. The average terrain clearance of the bird was 55 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was levelled and filtered. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000.(Author)

  11. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Finnsnes area, Lenvik, Troms

    Energy Technology Data Exchange (ETDEWEB)

    Rodionov, Alexei; Ofstad, Frode; Tassis, Georgios

    2012-07-01

    NGU conducted an airborne geophysical survey in Finnsnes area in July - August 2012. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 2715 line km. The Geotech Ltd. Hummingbird frequency domain EM system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 120 degrees NW-SE with the average speed 89 km/h. The average terrain clearance of the bird was 55 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was levelled and filtered. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association. All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000.(Author)

  12. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Rombaken area, Narvik, Nordland

    Energy Technology Data Exchange (ETDEWEB)

    Rodionov, Alexei; Ofstad, Frode; Koziel, Janusz

    2012-07-01

    NGU conducted an airborne geophysical survey in Rombaken area in August - September 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 5218 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 90 degrees East West and average speed 98 km/h. The average terrain clearance of the bird was 64 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association.All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000.(Author)

  13. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Rombaken area, Narvik, Nordland

    International Nuclear Information System (INIS)

    Rodionov, Alexei; Ofstad, Frode; Koziel, Janusz

    2012-01-01

    NGU conducted an airborne geophysical survey in Rombaken area in August - September 2011 as a part of MINN project. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 5218 line km. The modified Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 200 m line spacing, line direction of 90 degrees East West and average speed 98 km/h. The average terrain clearance of the bird was 64 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was filtered and levelled. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association.All data were gridded with the cell size of 50 m and presented as a shaded relief maps at the scale of 1:50 000.(Author)

  14. Effects of agrochemicals, ultra violet stabilisers and solar radiation on the radiometric properties of greenhouse films

    Directory of Open Access Journals (Sweden)

    Giuliano Vox

    2013-10-01

    Full Text Available Agrochemicals, based on iron, sulphur and chlorine, generate by products that lead to a degradation of greenhouse films together with a decrease in their mechanical and physical properties. The degradation due to agrochemicals depends on their active principles, method and frequency of application, and greenhouse ventilation. The aim of the research was to evaluate how agrochemical contamination and solar radiation influence the radiometric properties of ethylene-vinyl acetate copolymer greenhouse films by means of laboratory and field tests. The films, manufactured on purpose with the addition of different light stabiliser systems, were exposed to natural outdoor weathering at the experimental farm of the University of Bari (Italy; 41° 05’ N in the period from 2006 to 2008. Each film was tested for two low tunnels: one low tunnel was sprayed from inside with the agrochemicals containing iron, chlorine and sulphur while the other one was not sprayed and served as control. Radiometric laboratory tests were carried out on the new films and on samples taken at the end of the trials. The experimental tests showed that both the natural weathering together with the agrochemicals did not modify significantly the radiometric properties of the films in the solar and in the photosynthetically active radiation wavelength range. Within six months of experimental field tests the variations in these radiometric characteristics were at most 10%. Significant variations, up to 70% of the initial value, were recorded for the stabilised films in the long-wave infrared radiation wavelength range.

  15. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  16. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  17. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  18. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  19. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  20. Evaluation of rapid radiometric method for drug susceptibility testing of Mycobacterium tuberculosis

    International Nuclear Information System (INIS)

    Siddiqi, S.H.; Libonati, J.P.; Middlebrook, G.

    1981-01-01

    A total of 106 isolates of Mycobacterium tuberculosis were tested for drug susceptibility by the conventional 7H11 plate method and by a new rapid radiometric method using special 7H12 liquid medium with 14 C-labeled substrate. Results obtained by the two methods were compared for rapidity, sensitivity, and specificity of the new test method. There was 98% overall agreement between the results obtained by the two methods. Of a total of 424 drug tests, only 8 drug results did not agree, mostly in the case of streptomycin. This new procedure was found to be rapid, with 87% of the tests results reportable within 4 days and 98% reportable within 5 days as compared to the usual 3 weeks required with the conventional indirect susceptibility test method. The results of this preliminary study indicate that the rapid radiometric method seems to have the potential for routine laboratory use and merits further investigations

  1. Multipurpose radiometric equipment provided with a microcontroller for use in industrial applications (e.g., measurements of level, density, thickness, etc.)

    International Nuclear Information System (INIS)

    Kluger, A.; Popescu, C.; Patrascu, S.

    1998-01-01

    The goal of this research was to modernize the radiometric equipment used for the control and automation of technological processes. A microcontroller-equipped electronic block was designed and realized, capable of performing all the tasks of a radiometric system, regardless of the application range (i.e. measurement of density, thickness, level, composition, etc.) or the detector type employed. In this work, the experimental model for the multipurpose radiometric equipment was devised. The electronic unit was designed using a high performance controller 80C552 and was provided with low-power transceivers for RS-232 and RS-485 communication with a PC. The results of the measured parameters are displayed using a graphic liquid crystal display, LCD G 242 C, that allows both graphics and character display. (authors)

  2. Evaluation of a radiometric method for pyrazinamide susceptibility testing of Mycobacterium tuberculosis

    International Nuclear Information System (INIS)

    Tarrand, J.J.; Spicer, A.D.; Groeschel, D.H.

    1986-01-01

    Pyrazinamide susceptibility testing of Mycobacterium tuberculosis requires an acid environment. By controlling the method of acidification and the quality and quantity of the inoculum, the test can be performed with the BACTEC radiometric system (Johnston Laboratories, Towson, Md.). We acidified BACTEC 7H12 medium with buffered phosphoric acid and adjusted the test inoculum to 1/10 of that usually employed in BACTEC protocols; after 5 days of growth we correctly identified 36 of 36 strains susceptible to 50 micrograms of pyrazinamide per ml. All 18 resistant strains were classified as pyrazinamide resistant. (Susceptibility or resistance had been determined by standard plate assays.) The test was able to detect small resistant populations in artificial mixtures of 1 or 2% resistant bacteria with a susceptible strain (10 mixtures each). We tested 70 M. tuberculosis strains in acidified BACTEC 7H12 medium and by the plate dilution test at pH 5.5. All strains grew in the BACTEC medium, but three strains failed to grow on plates and were not tested further; the results of both methods agreed for the remaining strains

  3. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  4. Applications of high resolution airborne magnetic and radiometric data in the Barberton Greenstone Belt of South Africa

    International Nuclear Information System (INIS)

    Moore, C.

    1994-01-01

    We investigated the data obtained from a geophysical survey of the Greenstone Belt in the Barberton mountain land in the Transvaal, South Africa. A geological map is derived from the airborne magnetic and radiometric survey which differs significantly from the published geological map, particularly in the eastern are of the survey. There is no evidence contained within the geological data to suggest that the Greenstone Belt extends to a depth greater that 3 kilometers. The major geological constituents of the Barberton mountain land displays distinctive and diagnostic radiometric signatures, enabling accurate lithologic discrimination. 63 refs

  5. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  6. Statistical Techniques Applied to Aerial Radiometric Surveys (STAARS): cluster analysis. National Uranium Resource Evaluation

    International Nuclear Information System (INIS)

    Pirkle, F.L.; Stablein, N.K.; Howell, J.A.; Wecksung, G.W.; Duran, B.S.

    1982-11-01

    One objective of the aerial radiometric surveys flown as part of the US Department of Energy's National Uranium Resource Evaluation (NURE) program was to ascertain the regional distribution of near-surface radioelement abundances. Some method for identifying groups of observations with similar radioelement values was therefore required. It is shown in this report that cluster analysis can identify such groups even when no a priori knowledge of the geology of an area exists. A method of convergent k-means cluster analysis coupled with a hierarchical cluster analysis is used to classify 6991 observations (three radiometric variables at each observation location) from the Precambrian rocks of the Copper Mountain, Wyoming, area. Another method, one that combines a principal components analysis with a convergent k-means analysis, is applied to the same data. These two methods are compared with a convergent k-means analysis that utilizes available geologic knowledge. All three methods identify four clusters. Three of the clusters represent background values for the Precambrian rocks of the area, and one represents outliers (anomalously high 214 Bi). A segmentation of the data corresponding to geologic reality as discovered by other methods has been achieved based solely on analysis of aerial radiometric data. The techniques employed are composites of classical clustering methods designed to handle the special problems presented by large data sets. 20 figures, 7 tables

  7. Radiometric method for the rapid detection of Leptospira organisms

    International Nuclear Information System (INIS)

    Manca, N.; Verardi, R.; Colombrita, D.; Ravizzola, G.; Savoldi, E.; Turano, A.

    1986-01-01

    A rapid and sensitive radiometric method for detection of Leptospira interrogans serovar pomona and Leptospira interrogans serovar copenhageni is described. Stuart's medium and Middlebrook TB (12A) medium supplemented with bovine serum albumin, catalase, and casein hydrolysate and labeled with 14 C-fatty acids were used. The radioactivity was measured in a BACTEC 460. With this system, Leptospira organisms were detected in human blood in 2 to 5 days, a notably shorter time period than that required for the majority of detection techniques

  8. A novel solution for car traffic control based on radiometric microwave devices

    Science.gov (United States)

    Soldovieri, Francesco; Denisov, Alexander; Speziale, Victor

    2014-05-01

    The significant problem of traffic in big cities, connected with huge and building up quantity of automobile cars, demands for novel strategies, based on nonconventional solutions, in order to improve system traffic control, especially at crossroads. As well known, the usual solution is based on the time relay, which requires the installation of a fixed traffic interval (signal light switching) at a crossroad; this solution is low cost, but does not account for the actual traffic conditions. Therefore, in the recent years, attention is towards to new designs, where the monitoring of the and control of traffic is carried out by using various methods including, optical, the infrared, magnetic, radar tracking, acoustical ones. In this work, we discuss the deployment of high sensitivity radiometric systems and radiometers(sensor) in the microwave range [1, 2]. In fact, the radiometer as "sensor" can provide an always updated information about the car traffic in any weather condition and in absence or low visibility conditions. In fact, the radiometric sensor detects the cars thanks to the different behavior of the car roofs which reflect the cold sky whereas the road asphalt is visible as warm object (at around outside temperature). [1] A. G. Denisov, V. P. Gorishnyak, S. E. Kuzmin et al., "Some experiments concerning resolution of 32 sensors passive 8mm wave imaging system," in Proceedings of the International Symposium on Space Terahertz Technology (ISSTT '09), Charlottesville, Va, USA, April 2009. [2] F. Soldovieri, A. Natale, V. Gorishnyak, A. Pavluchenko, A. Denisov, and L. Chen, "Radiometric Imaging for Monitoring and Surveillance Issues," International Journal of Antennas and Propagation, vol. 2013, Article ID 272561, 8 pages, 2013. doi:10.1155/2013/272561.

  9. Gamma radiometric survey of Jamaica

    International Nuclear Information System (INIS)

    Lalor, G.C.; Robotham, H.; Miller, J.M.; Simpson, P.R.

    1989-01-01

    The results of a total gamma radiometric survey of Jamaica, carried out with car-borne instrumentation, are presented and the data compared with the contents of potassium, thorium and uranium in rocks and in surface (soil, stream-sediment, pan concentrate and water) samples obtained at six sites selected to be representative of the principal rock types and surface environments of Jamaica. The work formed part of an orientation study for a regional geochemical survey of the CARICOM countries of the Caribbean. The initial results indicate that enhanced gamma activity is correlated with enrichment in uranium and thorium, but not potassium, in terra rossa soils and/or bauxite deposits in limestone. Elsewhere, gamma levels are increased on the Above Rocks Cretaceous basement Inlier, where they correlate generally with the presence of volcanogenic sediments and a granodiorite intrusion. The lowest radioactivity was recorded in the vicinity of ultrabasic rocks in the Blue Mountains Inlier. (author)

  10. Impact of the cameras radiometric resolution on the accuracy of determining spectral reflectance coefficients

    Science.gov (United States)

    Orych, A.; Walczykowski, P.; Jenerowicz, A.; Zdunek, Z.

    2014-11-01

    Nowadays remote sensing plays a very important role in many different study fields, i.e. environmental studies, hydrology, mineralogy, ecosystem studies, etc. One of the key areas of remote sensing applications is water quality monitoring. Understanding and monitoring of the water quality parameters and detecting different water contaminants is an important issue in water management and protection of whole environment and especially the water ecosystem. There are many remote sensing methods to monitor water quality and detect water pollutants. One of the most widely used method for substance detection with remote sensing techniques is based on usage of spectral reflectance coefficients. They are usually acquired using discrete methods such as spectrometric measurements. These however can be very time consuming, therefore image-based methods are used more and more often. In order to work out the proper methodology of obtaining spectral reflectance coefficients from hyperspectral and multispectral images, it is necessary to verify the impact of cameras radiometric resolution on the accuracy of determination of them. This paper presents laboratory experiments that were conducted using two monochromatic XEVA video sensors (400-1700 nm spectral data registration) with two different radiometric resolutions (12 and 14 bits). In view of determining spectral characteristics from images, the research team used set of interferometric filters. All data collected with multispectral digital video cameras were compared with spectral reflectance coefficients obtained with spectroradiometer. The objective of this research is to find the impact of cameras radiometric resolution on reflectance values in chosen wavelength. The main topic of this study is the analysis of accuracy of spectral coefficients from sensors with different radiometric resolution. By comparing values collected from images acquired with XEVA sensors and with the curves obtained with spectroradiometer it

  11. Geological and radiometric study of the anomaly ''San Martin'' municipality of Sierra Mojada, Coahuila

    International Nuclear Information System (INIS)

    Ibarra Mora, P.

    1975-01-01

    When it first became known that there were uranium ores at the mine known as San Martin (72 hectares, Mining Agency, Sabinas, Mexico), exploration work was started with the sinking of two wells at the sites of maximum radiometric intensity. A short while afterwards, however, this work was suspended. In 1974, the work required for evaluation of the locality was begun. Geological and radiometric studies carried out both at the surface and underground pointed to the conclusion that the San Martin anomaly, down to the depth explored (40 metres), is a stockwork-type deposit or a tabular seam of the fissure type. It was concluded that in view of the grades obtained (0.0227%U 3 O 6 ) down to 40 metres, the deposit cannot be considered of econimic importance at the present time. (author)

  12. Radiometric sorting of Rio Algom uranium ore

    International Nuclear Information System (INIS)

    Cristovici, M.A.

    1983-11-01

    An ore sample of about 0.2 percent uranium from Quirke Mine was subjected to radiometric sorting by Ore Sorters Limited. Approximately 60 percent of the sample weight fell within the sortable size range: -150 + 25 mm. Rejects of low uranium content ( 2 (2 counts/in 2 ) but only 7.6 percent of the ore, by weight, was discarded. At 0.8-0.9 counts/cm 2 (5-6 counts/in 2 ) a significant amount of rejects was removed (> 25 percent) but the uranium loss was unacceptably high (7.7 percent). Continuation of the testwork to improve the results is proposed by trying to extend the sortable size range and to reduce the amount of fines during crushing

  13. Process system of radiometric and magnetometric aerial information

    International Nuclear Information System (INIS)

    Bazua Rueda, L.F.

    1985-01-01

    The author has been working first in the National Institute of Nuclear Energy (Mexico) and then in URAMEX (Uranio Mexicano) since 1975 to 1983, integrated to radiometric and magnetometric aerial prospecting projects in computerized processing of information aspects. During this period the author participated in the work out of computing systems, information processing and mathematical procedures definition for the geophysical reduction of the calibration equipment data. With cumulated experience, in this thesis are presented aspects concerning to management and operation of computerized processing of information systems. Operation handbooks of the majority of modules are presented. Program lists are not included. (Author)

  14. Spectrally and Radiometrically Stable, Wideband, Onboard Calibration Source

    Science.gov (United States)

    Coles, James B.; Richardson, Brandon S.; Eastwood, Michael L.; Sarture, Charles M.; Quetin, Gregory R.; Porter, Michael D.; Green, Robert O.; Nolte, Scott H.; Hernandez, Marco A.; Knoll, Linley A.

    2013-01-01

    The Onboard Calibration (OBC) source incorporates a medical/scientific-grade halogen source with a precisely designed fiber coupling system, and a fiber-based intensity-monitoring feedback loop that results in radiometric and spectral stabilities to within less than 0.3 percent over a 15-hour period. The airborne imaging spectrometer systems developed at the Jet Propulsion Laboratory incorporate OBC sources to provide auxiliary in-use system calibration data. The use of the OBC source will provide a significant increase in the quantitative accuracy, reliability, and resulting utility of the spectral data collected from current and future imaging spectrometer instruments.

  15. Automated gamma spectrometry and data analysis on radiometric neutron dosimeters

    International Nuclear Information System (INIS)

    Matsumoto, W.Y.

    1983-01-01

    An automated gamma-ray spectrometry system was designed and implemented by the Westinghouse Hanford Company at the Hanford Engineering Development Laboratory (HEDL) to analyze radiometric neutron dosimeters. Unattended, automatic, 24 hour/day, 7 day/week operation with online data analysis and mainframe-computer compatible magnetic tape output are system features. The system was used to analyze most of the 4000-plus radiometric monitors (RM's) from extensive reactor characterization tests during startup and initial operation of th Fast Flux Test Facility (FFTF). The FFTF, operated by HEDL for the Department of Energy, incorporates a 400 MW(th) sodium-cooled fast reactor. Aumomated system hardware consists of a high purity germanium detector, a computerized multichannel analyzer data acquisition system (Nuclear Data, Inc. Model 6620) with two dual 2.5 Mbyte magnetic disk drives plus two 10.5 inch reel magnetic tape units for mass storage of programs/data and an automated Sample Changer-Positioner (ASC-P) run with a programmable controller. The ASC-P has a 200 sample capacity and 12 calibrated counting (analysis) positions ranging from 6 inches (15 cm) to more than 20 feet (6.1 m) from the detector. The system software was programmed in Fortran at HEDL, except for the Nuclear Data, Inc. Peak Search and Analysis Program and Disk Operating System (MIDAS+)

  16. Digital image integration technique of multi-geoscience information dominated by aerial radiometric measurements

    International Nuclear Information System (INIS)

    Liu Dechang; Sun Maorong; Zhu Deling; Zhang Jingbo; He Jianguo; Dong Xiuzhen

    1992-02-01

    The geologic metallogenetic environment of uranium at Lian Shan Guan region has been studied by using digital image integration technique of multi-geoscience information with aerial radiometric measurements. It includes the classification of uranium-bearing rock, recognizing patterns of ore-forming and geologic mapping in ore field. Some new tectonic structure was found in this region that gives significant information for further exploring of uranium ore. After multi-parameters screening of aerial radiometric data, patterns recognizing and multi-geoscience information integration analysis, four prospective metallogenetic zones were predicted, and the predication was proved by further geologic survey. Three of the four zones are very encouraging, where ore-forming structures, hydrothermal deposits, wall-rock alteration, primary and secondary uranium ore and rich uranium mineralization are discovered. The department of geologic exploring has decided that these zones will enjoy priority in the examination for further prospecting of uranium ores

  17. Pyrotechnic countermeasures: IV. Radiometric performance of a sulphur-based Flare composition

    Energy Technology Data Exchange (ETDEWEB)

    Koch, Ernst-Christian [NATO Munitions Safety Information Analysis Center (MSIAC), Brussels (Belgium)

    2008-10-15

    Radiometric performance of a sulphur-based flare composition has been investigated. Composition comprising sulphur, potassium perchlorate and antimony sulphide has acceptable band ratio but an order of magnitude weaker spectral efficiency than typical carbon-based compositions. The use of other sulphur compounds with potential for increased performance is discussed. For part III see Ref. [1]. (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  18. Radiometric method for the rapid detection of Leptospira organisms

    Energy Technology Data Exchange (ETDEWEB)

    Manca, N.; Verardi, R.; Colombrita, D.; Ravizzola, G.; Savoldi, E.; Turano, A.

    1986-02-01

    A rapid and sensitive radiometric method for detection of Leptospira interrogans serovar pomona and Leptospira interrogans serovar copenhageni is described. Stuart's medium and Middlebrook TB (12A) medium supplemented with bovine serum albumin, catalase, and casein hydrolysate and labeled with /sup 14/C-fatty acids were used. The radioactivity was measured in a BACTEC 460. With this system, Leptospira organisms were detected in human blood in 2 to 5 days, a notably shorter time period than that required for the majority of detection techniques.

  19. A report of airbone radiometric and magnetic test survey

    International Nuclear Information System (INIS)

    Koo, J.H.; Park, Y.S.; Woo, S.M.

    1982-01-01

    By the end of Oct. 1981, a complete set of GeoMetrics' air-borne radiometric and magnetic survey system was purchased by KIER using the ADB loan, and it took one week from Nov. 11 1981 to install the system on a Bell 206 B helicopter (HL 9102) owned by Asia Aeroservice Company. The test survey was flown over an area including Hongseong, Daecheon, Seosan and Manripo Sheets, from Nov. 19 to Dec. 14 1981. A Hongseong air-strip was used as the base. (Author)

  20. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  1. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  2. A new radiometric instrument designed to measure the parameters of bituminous coal on transport belts

    International Nuclear Information System (INIS)

    Kubicek, P.

    1993-01-01

    A new radiometric instrument developed in Czechoslovakia, for the measurement of ash content of bituminous coal, and for the determination of approximate values of moisture and weight is described. (Author)

  3. Radiometric cytolysis inhibition assay, a new rapid test for neutralizing antibodies to intact and trypsin-cleaved poliovirus

    International Nuclear Information System (INIS)

    Hovi, T.; Roivainen, M.

    1989-01-01

    We have developed a new rapid test, the radiometric cytolysis inhibition assay (RACINA), for the determination of neutralizing poliovirus antibodies. HeLa cells prelabeled with 51 Cr, [ 3 H]leucine, or, preferentially, with [ 3 H]uridine are used as sensitive quantitative indicators of residual infectious virus. Both suspensions and monolayer cultures of the indicator cells can be used. Neutralization of a fraction of a high-titer virus preparation can be scored after the first replication cycle at 8 to 10 h. By lowering the incubation temperature to 30 degree C, the completion of the cytolysis due to the first replication cycle of poliovirus was delayed beyond 21 h. This makes it possible to use the RACINA, unlike the standard microneutralization assay, for measuring antibodies to trypsin-cleaved polioviruses. The RACINA was found to be as sensitive as and more reproducible than the standard microneutralization assay in the measurement of neutralizing poliovirus antibodies. The RACINA is a rapid and reliable test for neutralizing antibodies and in principle it may be applicable for quantitation of neutralizing antibodies to other cytolytic agents as well

  4. A new semiquantitative radiometric opsonin assay

    International Nuclear Information System (INIS)

    Yamamura, M.; Valdimarsson, H.

    1978-01-01

    A new semiquantitative radiometric opsonin assay is described. It was found that the opsonin activity generated by incubating brewer's yeast, Saccharomyces cerevisiae, in medium containing less than 5% human serum was exclusively complement dependent. In contrast, C.albicans was effectively opsonized in the absence of complement. Antibodies and the early classical complement pathway did not contribute to the opsonization of S.cerevisiae and neither did C5-9. The brewer's yeast assay can therefore be used for measuring selectively the opsonizing capacity of the alternative pathway. Sera from approximately 7% of apparently healthy adult controls consistently failed to generate significant opsonin activity while 8 out of 26 patients with suspected immune deficiency of unknown cause were defective in this assay. All opsonin deficient sera so far tested had haemolytically normal alternative pathway and Factor B activity. (author)

  5. An attempt to evaluate some regression models used for radiometric ash determination in the brown coal

    International Nuclear Information System (INIS)

    Karamuz, S.; Urbanski, P.; Antoniak, W.; Wagner, D.

    1984-01-01

    Five different regression models for determination of the ash as well as iron and calcium contents in brown coal using fluorescence and scattering of X-rays have been evaluated. Calculations were done using experimental results obtained from the natural brown coal samples to which appropriate quantities of iron, calcium and silicon oxides were added. The secondary radiation was excited by Pu-238 source and detected by X-ray argone filled proportional counter. The investigation has shown the superiority of the multiparametric models over the radiometric ash determination in the pit-coal applying aluminium filter for the correction of the influence of iron content on the intensity of scattered radiation. Standard error of estimation for the best algorithm is about three time smaler than that for algorithm simulating application of the aluminium filter. Statistical parameters of the considered algorithm were reviewed and discussed. (author)

  6. Monitoring of plutonium contaminated solid waste streams. Chapter II: principles and theory of radiometric assay

    International Nuclear Information System (INIS)

    Birkhoff, G.; Bondar, L.; Notea, A.; Segal, Y.

    1977-01-01

    The interpretation of a count rate distribution obtained from radiometric assay of a given waste items population in terms of source strength distribution is discussed. A model for the evaluation of errors, arising from non uniform source density distribution (Pu) within the item volume and heterogeneity of matrix materials, is presented. Points concerning calibration procedures and representativity of reference materials are dealt with. Qualification procedures for possible monitoring systems are outlined on the basis of comparison with reference systems. The latter are composed of reference monitors based on high resolution gamma spectrometry and passive and active neutron techniques. The importance of information upon the elemental composition and density distribution of matrix materials for the interpretation of radiometric assay of solid wastes is stressed

  7. Radiometric Performance of the TerraSAR-X Mission over More Than Ten Years of Operation

    Directory of Open Access Journals (Sweden)

    Marco Schwerdt

    2018-05-01

    Full Text Available The TerraSAR-X mission, based on two satellites, has produced SAR data products of high quality for a number of scientific and commercial applications for more than ten years. To guarantee the stability and the reliability of these highly accurate SAR data products, both systems were first accurately calibrated during their respective commissioning phases and have been permanently monitored since then. Based on a short description of the methods applied, this paper focuses on the radiometric performance including the gain and phase properties of the transmit/receiver modules, the antenna pattern checked by evaluating scenes acquired over uniformly distributed targets and the radiometric stability derived from permanently deployed point targets. The outcome demonstrates the remarkable performance of both systems since their respective launch.

  8. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  9. Solar Tower Experiments for Radiometric Calibration and Validation of Infrared Imaging Assets and Analysis Tools for Entry Aero-Heating Measurements

    Science.gov (United States)

    Splinter, Scott C.; Daryabeigi, Kamran; Horvath, Thomas J.; Mercer, David C.; Ghanbari, Cheryl M.; Ross, Martin N.; Tietjen, Alan; Schwartz, Richard J.

    2008-01-01

    The NASA Engineering and Safety Center sponsored Hypersonic Thermodynamic Infrared Measurements assessment team has a task to perform radiometric calibration and validation of land-based and airborne infrared imaging assets and tools for remote thermographic imaging. The IR assets and tools will be used for thermographic imaging of the Space Shuttle Orbiter during entry aero-heating to provide flight boundary layer transition thermography data that could be utilized for calibration and validation of empirical and theoretical aero-heating tools. A series of tests at the Sandia National Laboratories National Solar Thermal Test Facility were designed for this task where reflected solar radiation from a field of heliostats was used to heat a 4 foot by 4 foot test panel consisting of LI 900 ceramic tiles located on top of the 200 foot tall Solar Tower. The test panel provided an Orbiter-like entry temperature for the purposes of radiometric calibration and validation. The Solar Tower provided an ideal test bed for this series of radiometric calibration and validation tests because it had the potential to rapidly heat the large test panel to spatially uniform and non-uniform elevated temperatures. Also, the unsheltered-open-air environment of the Solar Tower was conducive to obtaining unobstructed radiometric data by land-based and airborne IR imaging assets. Various thermocouples installed on the test panel and an infrared imager located in close proximity to the test panel were used to obtain surface temperature measurements for evaluation and calibration of the radiometric data from the infrared imaging assets. The overall test environment, test article, test approach, and typical test results are discussed.

  10. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  11. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  12. Recent understanding of the Svalbard basement in the light of new radiometric age determinations

    International Nuclear Information System (INIS)

    Ohta, Y.

    1992-01-01

    Several tectonothermal events in the pre-Carboniferous basement of Svalbard during Caledonian and Proterozoic times have been dated recently by radiometric age determinations. Three or four stages have been recognized in the Caledonian period; a post-orogentic graben formation during the Devonian, a late Caledonian event in the Middle Silurian, an earely Caledonian event in the Middle Ordovician and possibly an earliest event in the Middle to Late Cambrian. The Grenvillian event, 950-1270 Ma, has been well established by both radiometric ages and unconformities in Nordaustlandet and southwestern Spitsbergen. Sveco-Karelian ages, 1670-1750 Ma, also have been obtained from Ny Friesland, northerneastern Spitsbergen. Two even older ages (zircon U-Pb) upper intercept ages), 2.1 and 3.2 Ga, may suggest the presence of still older crust in Svalbard and adjacent areas. 40 refs., 2 figs

  13. Radiometric characteristics of heavy mineral deposits along the west coast of South Africa

    NARCIS (Netherlands)

    Macdonald, W.G.; Rozendaal, A.; de Meijer, R.J.

    During the last decade, exploration and mining of modern-Tertiary heavy mineral beach and raised beach sands along the west coast of South Africa has developed into a major industry. High resolution radiometric techniques have demonstrated their use as a quantitative indicator of total heavy mineral

  14. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in Kviteseid area, Lenvik, Troms

    International Nuclear Information System (INIS)

    Baranwal, Vikas C.; Rodionov, Alexei; Ofstad, Frode

    2012-01-01

    In cooperation with the geologist for Buskerud, Telemark and Vestfold, the NGU conducted an airborne geophysical survey in Kviteseid area in May 2012. This report describes and documents the acquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 3514 line km. The optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data acquisition. The survey was flown with 100 m line spacing, line direction of 130 degrees - 210 degrees and average speed of 98 km/h. A smaller area was also flown at 100 m line spacing 50 m away from larger flight lines so that smaller area could be covered with 50 m line spacing. The average terrain clearance of the helicopter was 65 m. Collected data were processed in NGU using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and also for International Geomagnetic Reference Field (IGRF). Finally, some along-line noises were removed using standard micro-leveling algorithm. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association (IAEA). Final processed data were gridded with the cell size of 25 m and 12 m for 100 m and 50 m line spacing, respectively. They are presented as a shaded relief maps at the scale of 1:20 000 and 1:10 000, respectively.(Author)

  15. Virtual and remote experiments for radiometric and photometric measurements

    International Nuclear Information System (INIS)

    Thoms, L-J; Girwidz, R

    2017-01-01

    The analysis of spectra is fundamental to our modern understanding of wave optics and colour perception. Since spectrometers are expensive, and accurate calibration is necessary to achieve high quality spectra, we developed a remote lab on optical spectrometry. With this tool, students can carry out real experiments over the Internet. In this article the pros and cons of remote labs, the physical background of optical spectrometry, and the development and use of a radiometric remote lab for higher education are discussed. The remote lab is freely accessible to everyone at http://virtualremotelab.net. (paper)

  16. Virtual and remote experiments for radiometric and photometric measurements

    Science.gov (United States)

    Thoms, L.-J.; Girwidz, R.

    2017-09-01

    The analysis of spectra is fundamental to our modern understanding of wave optics and colour perception. Since spectrometers are expensive, and accurate calibration is necessary to achieve high quality spectra, we developed a remote lab on optical spectrometry. With this tool, students can carry out real experiments over the Internet. In this article the pros and cons of remote labs, the physical background of optical spectrometry, and the development and use of a radiometric remote lab for higher education are discussed. The remote lab is freely accessible to everyone at http://virtualremotelab.net.

  17. On the lower of limit detection of radiometric systems

    International Nuclear Information System (INIS)

    Kamburov, H.; Boneva, S.

    1983-01-01

    The existing definitions of the quantity Asub(min), the lower detection limit, introduced as a characteristic of the sensitivity of radiometric systems are reviewed. A convenient way is found for comparing the different definitions by showing that each definition is connected with a specific value of the probability a of Type I error. The detection limits are calculated for a normal and Poisson distributions of the measured quantities. A criterion is proposed for the applicability of the normal distiribution to the problem of determining the lower detection limit

  18. New argon-argon (40Ar/39Ar) radiometric age dates from selected subsurface basalt flows at the Idaho National Laboratory, Idaho

    Science.gov (United States)

    Hodges, Mary K. V.; Turrin, Brent D.; Champion, Duane E.; Swisher, Carl C.

    2015-01-01

    In 2011, the U.S. Geological Survey, in cooperation with the U.S. Department of Energy, collected samples for 12 new argon-argon radiometric ages from eastern Snake River Plain olivine tholeiite basalt flows in the subsurface at the Idaho National Laboratory. The core samples were collected from flows that had previously published paleomagnetic data. Samples were sent to Rutgers University for argon-argon radiometric dating analyses.

  19. Far-ir heterodyne radiometric measurements with quasioptical Schottky diode mixers

    International Nuclear Information System (INIS)

    Fetterman, H.R.; Tannenwald, P.E.; Clifton, B.J.; Parker, C.D.; Fitzgerald, W.D.; Erickson, N.R.

    1978-01-01

    We have made heterodyne radiometric measurements with GaAs Schottky diode mixers, mounted in a corner-reflector configuration, over the spectral range 170 μm to 1 mm. At 400 μm, system noise temperatures of 9700 K DSB (NEP=1.4 x 10 - 19 W/Hz) and mixer noise temperatures of 5900 K have been achieved. This same quasioptical mixer has also been used to generate 10 - 7 W of tunable radiation suitable for spectroscopic applications

  20. Importance of radiometric survey in radiodiagnosis installationscalculated

    International Nuclear Information System (INIS)

    Leyton, Fernando; Alarcon, Luis; Zapata, Victor H.; Ortega, Dulia; Ramirez, Alfredo; Aravena, Gonzalo; Ubeda, Carlos; Oyarzun, Carlos; Inzulza, Alonso

    2005-01-01

    A radiometric survey was conducted in two services of imaging with a total of 7 evaluated radiology rooms. The Quality Control Protocol methodology was used in Radiology ARCAL (Regional Agreement of cooperation for the promotion of nuclear science and technology in Latin America and the Caribbean) XLIX of the International Atomic Energy Agency (IAEA). The effective dose in different positions of interest rates were calculated, from the point of view of radiation protection. All evaluated rooms have rates of effective doses that meet the values limits set in the Protocol ARCAL XLIX, for 82% of the positions evaluated. However operators located in the position A (controlled area) exceed on average 370% with a range of [1-870] the limit proposed by ARCAL XLIX

  1. Experiences with radiometric solid matter determination in the WOLPRYLA-65 fibre production

    International Nuclear Information System (INIS)

    Butz, M.; Traeber, K.

    1977-01-01

    Proceeding from the technology of WOLPRYLA-65 fibre fabrication the applicability of radiometric density measurements for acrylonitrile determination in a dimethylformamide bath has been studied. The measuring equipment and measuring positions are described and further details, such as calibration procedures, measuring accuracy, benefit of continuous and contactless measurements, maintenance efforts, and radiation protection measures are outlined

  2. Automatic Radiometric Normalization of Multitemporal Satellite Imagery with the Iteratively Re-weighted MAD Transformation

    DEFF Research Database (Denmark)

    Canty, Morton John; Nielsen, Allan Aasbjerg

    2008-01-01

    A recently proposed method for automatic radiometric normalization of multi- and hyper-spectral imagery based on the invariance property of the Multivariate Alteration Detection (MAD) transformation and orthogonal linear regression is extended by using an iterative re-weighting scheme involving no...

  3. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  4. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  5. Temporal dynamics of sand dune bidirectional reflectance characteristics for absolute radiometric calibration of optical remote sensing data

    Science.gov (United States)

    Coburn, Craig A.; Logie, Gordon S. J.

    2018-01-01

    Attempts to use pseudoinvariant calibration sites (PICS) for establishing absolute radiometric calibration of Earth observation (EO) satellites requires high-quality information about the nature of the bidirectional reflectance distribution function (BRDF) of the surfaces used for these calibrations. Past studies have shown that the PICS method is useful for evaluating the trend of sensors over time or for the intercalibration of sensors. The PICS method was not considered until recently for deriving absolute radiometric calibration. This paper presents BRDF data collected by a high-performance portable goniometer system to develop a temporal BRDF model for the Algodones Dunes in California. By sampling the BRDF of the sand surface at similar solar zenith angles to those normally encountered by EO satellites, additional information on the changing nature of the surface can improve models used to provide absolute radiometric correction. The results demonstrated that the BRDF of a reasonably simple sand surface was complex with changes in anisotropy taking place in response to changing solar zenith angles. For the majority of observation and illumination angles, the spectral reflectance anisotropy observed varied between 1% and 5% in patterns that repeat around solar noon.

  6. Development of absolute radiometric response functions for HyPlant & G-LiHT using SIRCUS Project

    Data.gov (United States)

    National Aeronautics and Space Administration — The goal of this project is to provide absolute radiometric and cross-calibrated spectral characterizations for G-LiHT and HyPlant.  The objectives are: (i) to...

  7. Automated radiometric detection of bacteria

    International Nuclear Information System (INIS)

    Waters, J.R.

    1974-01-01

    A new radiometric method called BACTEC, used for the detection of bacteria in cultures or in supposedly sterile samples, was discussed from the standpoint of methodology, both automated and semi-automated. Some of the results obtained so far were reported and some future applications and development possibilities were described. In this new method, the test sample is incubated in a sealed vial with a liquid culture medium containing a 14 C-labeled substrate. If bacteria are present, they break down the substrate, producing 14 CO 2 which is periodically extracted from the vial as a gas and is tested for radioactivity. If this gaseous radioactivity exceeds a threshold level, it is evidence of bacterial presence and growth in the test vial. The first application was for the detection of bacteria in the blood cultures of hospital patients. Data were presented showing typical results. Also discussed were future applications, such as rapid screening for bacteria in urine industrial sterility testing and the disposal of used 14 C substrates. (Mukohata, S.)

  8. Air Kerma above environmental radiometric calibration facility for field equipment

    International Nuclear Information System (INIS)

    Conti, C.C.; Sachett, I.A.; Bertelli, L.; Lopes, R.T.

    2000-01-01

    The use of gamma ray spectrometers broadened the aims of gamma ray surveys, stead of measuring only the gross radiation, as was done with the GM tubes, it is now possible to be used for uranium exploration, geological mapping as an aid to the exploration of non radioactive ores like gold and tin, radiation background measurements to identify hot spots for radiation hazard evaluation and environmental monitoring of fallout from radiological and nuclear accidents. It became necessary to carefully and precisely calibrate the field equipment to be used to get all the information from such uses. There is an environmental radiometric calibration facility for field equipment, consisting of eight radioactive concrete sources, at the Institute of Radioprotection and Dosimetry - IRD (CNEN/Brazil). These sources are cylindrical with 3 m diameter, 0.5 m thick and weigh about 7.5 tons each. The amount and type of the radioactive material, 238 U and 232 Th and 40 K ores in secular radioactive equilibrium, added to the concrete to simulate rock outcrops, varies in order to obtain different gamma fields, varying in both energy and intensity. These different radiation fields were measured with a HPGe portable detector, specifically calibrated for spectrum stripping, and the air kerma energy distribution was determined for each concrete source and compared with the total air kerma calculated from the nuclide concentration and by others radiometric methods. (author)

  9. Radiometric monitoring outdoor municipality Pocinhos-PB

    International Nuclear Information System (INIS)

    Cardinalli Araujo Costa, Michelle; Araujo dos Santos Junior, Jose; Dos Santos Amaral, Romilton

    2015-01-01

    Studies on human exposure to terrestrial radionuclides are important for human health. Therefore, this investigation presents aimed at making radiometric dosimetry Pocinhos municipality in the state of Paraiba. Monitoring was performed in 50 points in urban and rural areas Pocinhos. The estimated external effective dose rate in outdoor environments was obtained in triplicate using a portable gamma spectrometer, to 1.0 m away from the Earth's surface and time set acquisition in terms of environmental radiation levels. The values of these dose rates outdoor environments ranging from 0.53 to 3.94 mSv.y -1 . the arithmetic mean was 0.79 mSv.y -1 , which exceeds the value 0.07 mSv.y -1 corresponding to the global average in outdoor environments. In the city, found a higher radioactivity in rural areas that were uninhabited at the time of the survey. (Author)

  10. THE FUTURE SPACEBORNE HYPERSPECTRAL IMAGER ENMAP: ITS IN-FLIGHT RADIOMETRIC AND GEOMETRIC CALIBRATION CONCEPT

    Directory of Open Access Journals (Sweden)

    M. Schneider

    2012-07-01

    Full Text Available The German Aerospace Center DLR – namely the Earth Observation Center EOC and the German Space Operations Center GSOC – is responsible for the establishment of the ground segment of the future German hyperspectral satellite mission EnMAP (Environmental Mapping and Analysis Program. The Earth Observation Center has long lasting experiences with air- and spaceborne acquisition, processing, and analysis of hyperspectral image data. In the first part of this paper, an overview of the radiometric in-flight calibration concept including dark value measurements, deep space measurements, internal lamps measurements and sun measurements is presented. Complemented by pre-launch calibration and characterization these analyses will deliver a detailed and quantitative assessment of possible changes of spectral and radiometric characteristics of the hyperspectral instrument, e.g. due to degradation of single elements. A geometric accuracy of 100 m, which will be improved to 30 m with respect to a used reference image, if it exists, will be achieved by ground processing. Therfore, and for the required co-registration accuracy between SWIR and VNIR channels, additional to the radiometric calibration, also a geometric calibration is necessary. In the second part of this paper, the concept of the geometric calibration is presented in detail. The geometric processing of EnMAP scenes will be based on laboratory calibration results. During repeated passes over selected calibration areas images will be acquired. The update of geometric camera model parameters will be done by an adjustment using ground control points, which will be extracted by automatic image matching. In the adjustment, the improvements of the attitude angles (boresight angles, the improvements of the interior orientation (view vector and the improvements of the position data are estimated. In this paper, the improvement of the boresight angles is presented in detail as an example. The other

  11. The Future Spaceborne Hyperspectral Imager Enmap: its In-Flight Radiometric and Geometric Calibration Concept

    Science.gov (United States)

    Schneider, M.; Müller, R.; Krawzcyk, H.; Bachmann, M.; Storch, T.; Mogulsky, V.; Hofer, S.

    2012-07-01

    The German Aerospace Center DLR - namely the Earth Observation Center EOC and the German Space Operations Center GSOC - is responsible for the establishment of the ground segment of the future German hyperspectral satellite mission EnMAP (Environmental Mapping and Analysis Program). The Earth Observation Center has long lasting experiences with air- and spaceborne acquisition, processing, and analysis of hyperspectral image data. In the first part of this paper, an overview of the radiometric in-flight calibration concept including dark value measurements, deep space measurements, internal lamps measurements and sun measurements is presented. Complemented by pre-launch calibration and characterization these analyses will deliver a detailed and quantitative assessment of possible changes of spectral and radiometric characteristics of the hyperspectral instrument, e.g. due to degradation of single elements. A geometric accuracy of 100 m, which will be improved to 30 m with respect to a used reference image, if it exists, will be achieved by ground processing. Therfore, and for the required co-registration accuracy between SWIR and VNIR channels, additional to the radiometric calibration, also a geometric calibration is necessary. In the second part of this paper, the concept of the geometric calibration is presented in detail. The geometric processing of EnMAP scenes will be based on laboratory calibration results. During repeated passes over selected calibration areas images will be acquired. The update of geometric camera model parameters will be done by an adjustment using ground control points, which will be extracted by automatic image matching. In the adjustment, the improvements of the attitude angles (boresight angles), the improvements of the interior orientation (view vector) and the improvements of the position data are estimated. In this paper, the improvement of the boresight angles is presented in detail as an example. The other values and combinations

  12. Preliminary Data for Space Grade Spectralon® BRDF Targets & Standards

    OpenAIRE

    Durell, Chris; Wilks, Dylan; Kinkaid, Jeff

    2014-01-01

    Spectralon is an extremely stable, near-perfect lambertian reflecting diffuser and calibration standard material that has been used by national labs, space, aerospace and commercial sectors for over two decades. New uncertainty targets of 2% on-orbit absolute validation in the Earth Observing Systems community have challenged the industry to improve is characterization and knowledge of almost every aspect of radiometric performance (space and ground). Assuming “near perfect” reflectance and...

  13. Design, manufacture, and calibration of infrared radiometric blackbody sources

    International Nuclear Information System (INIS)

    Byrd, D.A.; Michaud, F.D.; Bender, S.C.

    1996-04-01

    A Radiometric Calibration Station (RCS) is being assembled at the Los Alamos National Laboratories (LANL) which will allow for calibration of sensors with detector arrays having spectral capability from about 0.4-15 μm. The configuration of the LANL RCS. Two blackbody sources have been designed to cover the spectral range from about 3-15 μm, operating at temperatures ranging from about 180-350 K within a vacuum environment. The sources are designed to present a uniform spectral radiance over a large area to the sensor unit under test. The thermal uniformity requirement of the blackbody cavities has been one of the key factors of the design, requiring less than 50 mK variation over the entire blackbody surface to attain effective emissivity values of about 0.999. Once the two units are built and verified to the level of about 100 mK at LANL, they will be sent to the National Institute of Standards and Technology (NIST), where at least a factor of two improvement will be calibrated into the blackbody control system. The physical size of these assemblies will require modifications of the existing NIST Low Background Infrared (LBIR) Facility. LANL has constructed a bolt-on addition to the LBIR facility that will allow calibration of our large aperture sources. Methodology for attaining the two blackbody sources at calibrated levels of performance equivalent to present state of the art will be explained in the following

  14. Digital Airborne Photogrammetry—A New Tool for Quantitative Remote Sensing?—A State-of-the-Art Review On Radiometric Aspects of Digital Photogrammetric Images

    Directory of Open Access Journals (Sweden)

    Nikolaj Veje

    2009-09-01

    Full Text Available The transition from film imaging to digital imaging in photogrammetric data capture is opening interesting possibilities for photogrammetric processes. A great advantage of digital sensors is their radiometric potential. This article presents a state-of-the-art review on the radiometric aspects of digital photogrammetric images. The analysis is based on a literature research and a questionnaire submitted to various interest groups related to the photogrammetric process. An important contribution to this paper is a characterization of the photogrammetric image acquisition and image product generation systems. The questionnaire revealed many weaknesses in current processes, but the future prospects of radiometrically quantitative photogrammetry are promising.

  15. Radiometric research in soils cultivated with sugar cane in Pernambuco - Brazil

    Energy Technology Data Exchange (ETDEWEB)

    Santos Junior, Otavio P. dos; Santos Junior, Jose A. dos; Amaral, Romilton dos S.; Menezes, Romulo S.C.; Santos, Josineide M.N. dos; Silva, Arykerne N.C. da; Fernandez, Zahily H.; Rojas, Lino A.V.; Damascena, Kennedy F.R.; Silva, Rafael R.; Milan, Marvic O., E-mail: rodriguesrs19@gmail.com, E-mail: otavio.santos@vitoria.ifpe.edu.br, E-mail: lino.valcarcel@ufpe.br [Universidade Federal de Pernambuco (UFPE), Recife, PE (Brazil). Departamento de Energia Nuclear; Instituto Federal de Educacao, Ciencia e Tecnologia de Pernambuco (IFPE), Vitoria de Santo Antao, PE (Brazil); Centro de Aplicaciones Tecnologicas y Desarrollo Nuclear, La Habana (Cuba); Instituto Superior de Tecnologias y Ciencias Aplicadas Quinta de los Molinos, La Habana (Cuba)

    2017-11-01

    The state of Pernambuco is representative of Northeastern Brazil, with respect to the variability of climatic conditions, soil types, soil cover, as well as land use. The state is subdivided into five regions: Recife Metropolitan Region, Sao Francisco, Sertao, Agreste and Zona da Mata (Atlantic Forest Region). Each region presents peculiar climatic and economic activities. The Atlantic Forest region, the focus of this study, presents a humid tropical climate and is characterized by large farms and the monoculture of sugarcane. In this scenario, a radioecological investigation was carried out to determine the radiometric potential from the analysis of forty-five soil samples, collected in five soil profiles, to a depth of 60 cm. A non-destructive method was adopted for the radiometric analysis, using High- Resolution Gamma Spectrometry with an HPGe-Be type detector. This method allowed the determination of specific activities of U-238, Th-232 and K-40 in the soil samples. The results will be used as a basis for the determination of a reference value for the natural radioactivity of these soils, to predict the existence of possible environmental impacts resulting from their use for the cultivation of sugar cane, as well as to contribute to guarantee the safety of food crops cultivated in this region. (author)

  16. Radiometric research in soils cultivated with sugar cane in Pernambuco - Brazil

    International Nuclear Information System (INIS)

    Santos Junior, Otavio P. dos; Santos Junior, Jose A. dos; Amaral, Romilton dos S.; Menezes, Romulo S.C.; Santos, Josineide M.N. dos; Silva, Arykerne N.C. da; Fernandez, Zahily H.; Rojas, Lino A.V.; Damascena, Kennedy F.R.; Silva, Rafael R.; Milan, Marvic O.

    2017-01-01

    The state of Pernambuco is representative of Northeastern Brazil, with respect to the variability of climatic conditions, soil types, soil cover, as well as land use. The state is subdivided into five regions: Recife Metropolitan Region, Sao Francisco, Sertao, Agreste and Zona da Mata (Atlantic Forest Region). Each region presents peculiar climatic and economic activities. The Atlantic Forest region, the focus of this study, presents a humid tropical climate and is characterized by large farms and the monoculture of sugarcane. In this scenario, a radioecological investigation was carried out to determine the radiometric potential from the analysis of forty-five soil samples, collected in five soil profiles, to a depth of 60 cm. A non-destructive method was adopted for the radiometric analysis, using High- Resolution Gamma Spectrometry with an HPGe-Be type detector. This method allowed the determination of specific activities of U-238, Th-232 and K-40 in the soil samples. The results will be used as a basis for the determination of a reference value for the natural radioactivity of these soils, to predict the existence of possible environmental impacts resulting from their use for the cultivation of sugar cane, as well as to contribute to guarantee the safety of food crops cultivated in this region. (author)

  17. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  18. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  19. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  20. Rapid detection and identification of pathogenic mycobacteria by combining radiometric and nucleic acid probe methods

    International Nuclear Information System (INIS)

    Ellner, P.D.; Kiehn, T.E.; Cammarata, R.; Hosmer, M.

    1988-01-01

    The combination of radiometric methodology (BACTEC 12B) and probe technology for recovery and identification of mycobacteria was studied in two large hospital laboratories. The sediment from vials with positive growth indices was tested with DNA probes specific for Mycobacterium tuberculosis, Mycobacterium avium, and Mycobacterium intracellulare. The sensitivity of the radiometric method and the specificity of the probes resulted in a marked reduction in the time to the final report. Biochemical testing could be eliminated on isolates giving a positive reaction with one of the probes. Some 176 isolates of M. tuberculosis, 110 of M. avium, and 5 of M. intracellulare were recovered. Two-thirds of these isolates were detected and identified within 2 weeks of inoculation and the remainder was detected by 4 weeks, a reduction of 5 to 7 weeks to the final report

  1. Study of the use of a Phoswich detector for aerial radiometric surveys

    International Nuclear Information System (INIS)

    Schneid, E.J.; Lagin, L.J.

    1981-09-01

    Large volume, actively shielded Phoswich detector configurations were investigated for application in airborne radiometric survey systems in order to increase the sensitivity to ground-level potassium, uranium, and thorium compared to conventional NaI detectors of similar sizes. One Phoswich configuration was fabricated and flight tested. The flight measurements with the Phoswich detector and a conventional NaI detector utilized the Bendix Field Engineering Corporation - US Department of Energy/Grand Junction Office Walker Field Aerial Radiometric Survey Calibration Facility, the DOE dynamic test range, and a region in the southwest portion of the Lubbock, Texas, Quadrangle. The tests demonstrated that the Phoswich detector can function in an operational environment and does provide greater sensitivity to ground-level potassium, uranium, and thorium concentration than the conventional NaI detector. The multicrystal configuration for the Phoswich detector provided the capability to simultaneously measure the atmospheric radon background without the need of heavy lead shields. The flight tests indicated that the Phoswich detector has directional discrimination capability not available with conventional NaI detector systems. This directional capability can be used to distinguish the location, relative to the aircraft, of localized strong sources of radiation

  2. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  3. Structural and photocarrier radiometric characterization of Cux(CdTe)yOz thin films growth by reactive sputtering

    International Nuclear Information System (INIS)

    Velazquez-Hernandez, R.; Rojas-Rodriguez, I.; Carmona-Rodriguez, J.; Jimenez-Sandoval, S.; Rodriguez-Garcia, M.E.

    2011-01-01

    This research presents a structural and photocarrier radiometric (PCR) characterization of Cu x (CdTe) y O z thin films grown using reactive radiofrequency co-sputtering. Electronic distribution induced by variations in dopant concentration as a function of the position was studied using photocarrier radiometric images. Optical and structural characterization of these thin films was carried out by using micro Raman spectroscopy and X-ray diffraction. Due to its nondestructive and noncontact characteristics, the PCR is an excellent technique that permits one to obtain details of lateral electronic distribution across the sample. It was found that Cu target power influences the electronic distribution and produces different phases such as Cu 2 Te and CdO.

  4. On the radiometric measurement of the density distribution occuring at the horizontal hydraulic transport of solid matter

    International Nuclear Information System (INIS)

    Goedde, E.; Weber, M.

    1977-01-01

    In order to estimate the phenomena of the flow in horizontal hydraulic transport of solid matter, measuring the density structure along the vertical pipe diameter is of vital interest for basic investigations. The measurement technology in mixed flows of solid matter and water is very difficult and therefore only few publications on characteristic flow profiles in horizontal pipes are known. In a research programme advanced by the Deutsche Forschungsgemeinschaft investigations were made on the possibility to measure the density profile by means of plain measuring equipment based upon radiometrics. In this paper a combination of a nuclear radiometric polar and parallel scanning method is shown to be suitable for this kind of measurements. (orig.) [de

  5. Spatial and radiometric characterization of multi-spectrum satellite images through multi-fractal analysis

    Science.gov (United States)

    Alonso, Carmelo; Tarquis, Ana M.; Zúñiga, Ignacio; Benito, Rosa M.

    2017-03-01

    Several studies have shown that vegetation indexes can be used to estimate root zone soil moisture. Earth surface images, obtained by high-resolution satellites, presently give a lot of information on these indexes, based on the data of several wavelengths. Because of the potential capacity for systematic observations at various scales, remote sensing technology extends the possible data archives from the present time to several decades back. Because of this advantage, enormous efforts have been made by researchers and application specialists to delineate vegetation indexes from local scale to global scale by applying remote sensing imagery. In this work, four band images have been considered, which are involved in these vegetation indexes, and were taken by satellites Ikonos-2 and Landsat-7 of the same geographic location, to study the effect of both spatial (pixel size) and radiometric (number of bits coding the image) resolution on these wavelength bands as well as two vegetation indexes: the Normalized Difference Vegetation Index (NDVI) and the Enhanced Vegetation Index (EVI). In order to do so, a multi-fractal analysis of these multi-spectral images was applied in each of these bands and the two indexes derived. The results showed that spatial resolution has a similar scaling effect in the four bands, but radiometric resolution has a larger influence in blue and green bands than in red and near-infrared bands. The NDVI showed a higher sensitivity to the radiometric resolution than EVI. Both were equally affected by the spatial resolution. From both factors, the spatial resolution has a major impact in the multi-fractal spectrum for all the bands and the vegetation indexes. This information should be taken in to account when vegetation indexes based on different satellite sensors are obtained.

  6. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  7. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in the Storforshei area, Rana, Nordland

    International Nuclear Information System (INIS)

    Rodionov, Alexei; Ofstad, Frode; Tassis, Georgios

    2012-01-01

    NGU conducted an airborne geophysical survey in Mo i Rana area in July 2012. This report describes and documents the aquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 1414 line km. The Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data aquisition. The survey was flown with 100 m line spacing, line direction of 180 deg North-South (in the west) and 150 deg NorthWest-SouthEast (in the east) with the average speed 96 km/h. The average terrain clearance of the bird was 55 m. Collected data were processed by AR GeoConsulting using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was levelled and filtered. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association (IAEA). All data were gridded with the cell size of 25 m and presented as shaded relief maps at the scale of 1:25 000.(Author)

  8. Helicopter-borne magnetic, electromagnetic and radiometric geophysical survey in the Storforshei area, Rana, Nordland

    Energy Technology Data Exchange (ETDEWEB)

    Rodionov, Alexei; Ofstad, Frode; Tassis, Georgios

    2012-07-01

    NGU conducted an airborne geophysical survey in Mo i Rana area in July 2012. This report describes and documents the aquisition, processing and visualization of recorded datasets. The geophysical survey results reported herein are 1414 line km. The Geotech Ltd. Hummingbird frequency domain system supplemented by optically pumped cesium magnetometer and 1024 channels RSX-5 spectrometer was used for data aquisition. The survey was flown with 100 m line spacing, line direction of 180 deg North-South (in the west) and 150 deg NorthWest-SouthEast (in the east) with the average speed 96 km/h. The average terrain clearance of the bird was 55 m. Collected data were processed by AR GeoConsulting using Geosoft Oasis Montaj software. Raw total magnetic field data were corrected for diurnal variation and levelled using standard micro levelling algorithm. EM data were filtered and levelled using both automated and manual levelling procedure. Apparent resistivity was calculated from in-phase and quadrature data for each of the five frequencies separately using a homogeneous half space model. Apparent resistivity dataset was levelled and filtered. Radiometric data were processed using standard procedures recommended by International Atomic Energy Association (IAEA). All data were gridded with the cell size of 25 m and presented as shaded relief maps at the scale of 1:25 000.(Author)

  9. Radiometric assessment of the sensitivity to antituberculotics of Mycobacterium avium-intracellulare and Mycobacterium xenopi

    International Nuclear Information System (INIS)

    Kubin, M.; Lindholm-Levy, P.; Heifets, L. B.

    1994-01-01

    The macrodilution radiometric method using Middlebrook's 7H12 liquid medium enriched with 14 C-palmitic acid, where the growth activity is monitored by measuring liberated 14 CO 2 , was applied to 25 strains of the Mycobacterium avium complex and to 20 strains of Mycobacterium xenopi to determine the minimal inhibitory concentrations of the following chemotherapeutical agents: ciprofloxacine, clofazimine, rifampin, cycloserine, kanamycin, etionamide, ethambutol, and amikacin. In the case of the M. avium complex, slightly or completely resistant strains were found for the majority of drugs. The sensitive strain proportion was highest with clofazimine and amikacin. The M. xenopis strains exhibited generally lower minimal inhibitory concentrations than the avian mycobacteria for all drugs except for cycloserine and ethambutol. The radiometric method using the BACTEC system was found suitable for the determination of the sensitivity of mycobacteria to chemotherapeutic agents: the results are obtained rapidly, within 8 days following inoculation, and the minimal inhibitory concentrations can be evaluated quantitatively. 1 tab., 8 refs

  10. The moon as a radiometric reference source for on-orbit sensor stability calibration

    Science.gov (United States)

    Stone, T.C.

    2009-01-01

    The wealth of data generated by the world's Earth-observing satellites, now spanning decades, allows the construction of long-term climate records. A key consideration for detecting climate trends is precise quantification of temporal changes in sensor calibration on-orbit. For radiometer instruments in the solar reflectance wavelength range (near-UV to shortwave-IR), the Moon can be viewed as a solar diffuser with exceptional stability properties. A model for the lunar spectral irradiance that predicts the geometric variations in the Moon's brightness with ???1% precision has been developed at the U.S. Geological Survey in Flagstaff, AZ. Lunar model results corresponding to a series of Moon observations taken by an instrument can be used to stabilize sensor calibration with sub-percent per year precision, as demonstrated by the Sea-viewing Wide Field-of-view Sensor (SeaWiFS). The inherent stability of the Moon and the operational model to utilize the lunar irradiance quantity provide the Moon as a reference source for monitoring radiometric calibration in orbit. This represents an important capability for detecting terrestrial climate change from space-based radiometric measurements.

  11. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  12. OCRA radiometric cloud fractions for GOME-2 on MetOp-A/B

    Science.gov (United States)

    Lutz, Ronny; Loyola, Diego; Gimeno García, Sebastián; Romahn, Fabian

    2016-05-01

    This paper describes an approach for cloud parameter retrieval (radiometric cloud-fraction estimation) using the polarization measurements of the Global Ozone Monitoring Experiment-2 (GOME-2) onboard the MetOp-A/B satellites. The core component of the Optical Cloud Recognition Algorithm (OCRA) is the calculation of monthly cloud-free reflectances for a global grid (resolution of 0.2° in longitude and 0.2° in latitude) to derive radiometric cloud fractions. These cloud fractions will serve as a priori information for the retrieval of cloud-top height (CTH), cloud-top pressure (CTP), cloud-top albedo (CTA) and cloud optical thickness (COT) with the Retrieval Of Cloud Information using Neural Networks (ROCINN) algorithm. This approach is already being implemented operationally for the GOME/ERS-2 and SCIAMACHY/ENVISAT sensors and here we present version 3.0 of the OCRA algorithm applied to the GOME-2 sensors. Based on more than five years of GOME-2A data (April 2008 to June 2013), reflectances are calculated for ≈ 35 000 orbits. For each measurement a degradation correction as well as a viewing-angle-dependent and latitude-dependent correction is applied. In addition, an empirical correction scheme is introduced in order to remove the effect of oceanic sun glint. A comparison of the GOME-2A/B OCRA cloud fractions with colocated AVHRR (Advanced Very High Resolution Radiometer) geometrical cloud fractions shows a general good agreement with a mean difference of -0.15 ± 0.20. From an operational point of view, an advantage of the OCRA algorithm is its very fast computational time and its straightforward transferability to similar sensors like OMI (Ozone Monitoring Instrument), TROPOMI (TROPOspheric Monitoring Instrument) on Sentinel 5 Precursor, as well as Sentinel 4 and Sentinel 5. In conclusion, it is shown that a robust, accurate and fast radiometric cloud-fraction estimation for GOME-2 can be achieved with OCRA using polarization measurement devices (PMDs).

  13. The development and application of quantitative methods for the determination of in-situ radiometric uranium grade on the Witwatersrand gold and uranium mines

    International Nuclear Information System (INIS)

    Symons, G.

    1985-12-01

    A detailed investigation of background radiation levels near the reef zone in the uranium section of the Western Areas Mine was conducted using a collimated radiometric face scanner. This study demonstrated that these radiation levels can be high; 25% or more of the counts measured when sampling a reef face may originate from a background source, especially from uranium ore rubble on the footwall close to the reef face. A method using a 20mm frontal shield was devised to obtain an accurate background correction. Three calibration schemes, the Area method, the Gamlog method, and the Deconvolution method were implemented for the production of accurate in-situ radiometric uranium grades. This involved the construction of a step-response calibration pad at Pelindaba together with the establisment of appropriate software and underground radiometric sampling procedures. Radiometric grades generated by these calibration procedures from 60 channel sections were on average 10% below those procured from conventional chip sampling. A correlation between gold and uranium grades was also evident. Crushed rock samples were collected to investigate the thorium problem and are still undergoing analysis at the time of writing. Refinements in the design of the collimated face scanner are also described

  14. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  15. Comparison of digital radiometric features between radicular cysts and periapical granulomas

    International Nuclear Information System (INIS)

    Jin, Yeon Hwa; Lee, Keon Il

    1999-01-01

    The purpose of this study was to investigate whether a radiometric analysis of radicular cysts and periapical granulomas is useful in the differential diagnosis. In this experiment, twenty-nine periapical radiographs of the radicular cyst and those periapical granuloma were used. The periapical radiography was taken by intraoral paralleling device. The X-ray film was digitized and digitally filtered to reduce film-grain noise. We estimated density difference of the inner/outer area, roundness or circularity, bone profile or scan line of the margin and cumulative percentage frequency curve of radicular cyst and periapical granuloma.The obtained results were as follows ; 1. The differences in density between ROIs of inner and outer area of radicular cysts were smaller than those of periapical granulomas.2. The equivalent circular diameter was over 6.3 mm, there was significant difference between periapical cyst and periapical granuloma.3. In differential diagnosis of radicular cyst and periapical granuloma using bone profile, sensitivity, spicificity and accuracy were considerably high (0.83, 0.86, 0.86) respectively.4. Cumulative percentage frequency curve of the radicular cyst was closer to the pseudo-pixel value of 50 than average curve, whereas periapical granuloma was closer to that of 0. Hence we conclude that digital radiometric features might be useful in the differential diagnosis between radicular cyst and periapical granuloma.

  16. Comparison of digital radiometric features between radicular cysts and periapical granulomas

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Yeon Hwa; Lee, Keon Il [Dept. of Oral and Maxillofacial Radiology, College of Dentistry, Wankwang University, Yongin (Korea, Republic of)

    1999-02-15

    The purpose of this study was to investigate whether a radiometric analysis of radicular cysts and periapical granulomas is useful in the differential diagnosis. In this experiment, twenty-nine periapical radiographs of the radicular cyst and those periapical granuloma were used. The periapical radiography was taken by intraoral paralleling device. The X-ray film was digitized and digitally filtered to reduce film-grain noise. We estimated density difference of the inner/outer area, roundness or circularity, bone profile or scan line of the margin and cumulative percentage frequency curve of radicular cyst and periapical granuloma.The obtained results were as follows ; 1. The differences in density between ROIs of inner and outer area of radicular cysts were smaller than those of periapical granulomas.2. The equivalent circular diameter was over 6.3 mm, there was significant difference between periapical cyst and periapical granuloma.3. In differential diagnosis of radicular cyst and periapical granuloma using bone profile, sensitivity, spicificity and accuracy were considerably high (0.83, 0.86, 0.86) respectively.4. Cumulative percentage frequency curve of the radicular cyst was closer to the pseudo-pixel value of 50 than average curve, whereas periapical granuloma was closer to that of 0. Hence we conclude that digital radiometric features might be useful in the differential diagnosis between radicular cyst and periapical granuloma.

  17. The radiometric performances of the Planetary Fourier Spectrometer for Mars exploration

    Science.gov (United States)

    Palomba, E.; Colangeli, L.; Formisano, V.; Piccioni, G.; Cafaro, N.; Moroz, V.

    1999-04-01

    The Planetary Fourier Spectrometer (PFS) is a Fourier transform interferometer, operating in the range 1.2-45 μm. The instrument, previously included in the payload of the failed mission Mars ‧96, is proposed for the future space mission Mars Express, under study by ESA. The present paper is aimed at presenting the radiometric performances of PFS. The two channels (LW and SW) forming PFS were analysed and characterised in terms of sensitivity and noise equivalent brightness. To cover the wide spectral range of PFS, different blackbodies were used for calibration. The built-in blackbodies, needed for the in-flight calibrations, were also characterised. The results show that the LW channel is comparable with IRIS Mariner 9 in terms of noise equivalent brightness. The SW channel performances, while satisfactorily, could be improved by lowering the sensor operative temperature. A simple model of the Mars radiance is used in order to calculate the signal-to-noise ratio on the spectra in typical observation conditions. The computed signal-to-noise ratio for the LW channel varies between 430 and 40, while for the SW channel it ranges from 150 to 30. The radiometric analyses confirm that PFS performances are compliant with the design requirements of the instrument. PFS is fully validated for future remote exploration of the atmosphere and the surface of Mars.

  18. Investigation of Pre- and Post-Flight Radiometric Calibration Uncertainties from Surface Based Measurements

    Energy Technology Data Exchange (ETDEWEB)

    Heath, D.F.; Wei, Z.Y.; Ahman, Z.

    1997-06-01

    A new technique has been developed for inferring column ozone amounts and aerosol optical depths from zenith sky observations. A new radiometric calibration technique for large aperture remote sensing instruments observing the earth through space has been validated which subsequently increased the accuracy of remote sensing measurements of ozone and vertical profiles using measurements of back-scattered ultraviolet solar radiation.

  19. Semi-infinite photocarrier radiometric model for the characterization of semiconductor wafer

    International Nuclear Information System (INIS)

    Liu Xianming; Li Bincheng; Huang Qiuping

    2010-01-01

    The analytical expression is derived to describe the photocarrier radiometric (PCR) signal for a semi-infinite semiconductor wafer excited by a square-wave modulated laser. For comparative study, the PCR signals are calculated by the semi-infinite model and the finite thickness model with several thicknesses. The fitted errors of the electronic transport properties by semi-infinite model are analyzed. From these results it is evident that for thick samples or at high modulation frequency, the semiconductor can be considered as semi-infinite.

  20. 14C-AMS at the Leibniz-Labor: radiometric dating and isotope research

    International Nuclear Information System (INIS)

    Grootes, Pieter M.; Nadeau, Marie-Josee; Rieck, Anke

    2004-01-01

    The Leibniz-Labor was founded to provide radiometric dating services using AMS and measured over 15 000 samples and 26 800 targets up to September 2002. Research and development have primarily been directed at improving the efficiency and reliability of AMS measurements, optimising existing sample preparation procedures for AMS and developing new ones. The standard chemical pre-treatment of organic radiocarbon samples produces often two fractions: one from which contaminants have been removed, and one in which they have been enriched. Dating both fractions reveals the degree of sample contamination. This provides a useful indication of the reliability of the sample age obtained and of the environmental conditions where the sample was taken. Upgrades to reduce maintenance include replacing four cryo- by turbo pumps, installing a deionizer loop, which keeps conductivity between 100 and 150 μS/cm to control corrosion, in the closed cooling water circuit, and developing a new sample wheel, which holds target holders with a groove and spring clip. Long term stability is demonstrated by the results obtained for IAEA reference materials, measured routinely and regularly over the years. Tests made as part of the FIRI project demonstrate that reliable measurements down to <0.1 mg C can be made