WorldWideScience

Sample records for euv imaging spectrometer

  1. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  2. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode/EUV Imaging Spectrometer (EIS)

    Science.gov (United States)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Morita, Shigeru; Suzuki, Chihiro; Tamura, Naoki; Yamamoto, Norimasa; Nakamura, Nobuyuki

    2017-06-01

    Line intensities emerging from the Ne-sequence iron ion (Fe XVII) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe XVII λ 204.6/λ 254.8 are derived in the laboratory by unblending the contributions of the Fe XIII and XII line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  3. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Tetsuya; Hara, Hirohisa [National Astronomical Observatory, National Institutes of Natural Sciences 2-21-1 Osawa Mitaka Tokyo, 181-8588 (Japan); Murakami, Izumi; Kato, Daiji; Morita, Shigeru [SOKENDAI (Graduate University for Advanced Studies) Hayama, Miura-gun, Kanagawa, 240-0193 (Japan); Sakaue, Hiroyuki A.; Suzuki, Chihiro; Tamura, Naoki [National Institute for Fusion Science, National Institutes of Natural Sciences 322-6 Oroshi-cho, Toki Gifu, 509-5292 (Japan); Yamamoto, Norimasa [Chubu University 1200 Matsumoto-cho, Kasugai Aichi, 487-0027 (Japan); Nakamura, Nobuyuki, E-mail: watanabe@uvlab.mtk.nao.ac.jp [The University of Electro-Communications 1-5-1 Chofugaoka, Chofu Tokyo, 182-8585 (Japan)

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  4. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  5. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  6. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  7. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  8. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  9. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  10. Prospects for the design of an ultraviolet imaging Fourier transform spectrometer

    Science.gov (United States)

    Lemaire, Philippe

    2017-11-01

    Recent results from solar observations in the far and extremeultraviolet (FUV/EUV) obtained from SOHO (SOlar and Heliospheric Observatory) and TRACE (Transition Region Camera) show the extreme variability of the solar atmosphere. Within the limited resolution of the instruments (1-2 arcseconds) horizontal and vertical velocities up-to 100 to 400 km s-1 have been measured. With an horizontal velocity of 100 km s-1 an one arsecond structure crosses the one arcsecond slit width of a classical slit spectrometer in less than 10 seconds. In the future, with higher angular resolution (e.g. 0.1 arcsecond), the capability to study small structures will be greatly reduced by a classical slit spectrometer. To be able to characterize the small scale solar atmospheric structures formed in the 104 K to 106 K temperature range (which emit in the 30 to 180 nm wavelength range) a spectrometer without slit (or with wide slit) is required. At the same time to obtain an accurate measurement of the doppler velocity an high spectral resolution is needed. The two requirements, high spectral resolution and large slit, are difficult to be simultaneously fulfilled with a classical slit spectrometer within the limited volume of a space instrumentation. Also, we propose to use an Imaging Fourier Transform Spectrometer (IFTS) to provide simultaneously a bidimensionnal field and an accurate determination of line profiles and positions. The development of Fourier Transform Spectrometers (FTS), although popular in the infrared, has been very limited in the UV/FUV by the lack of very high quality beam splitter. Since 10 years, the use of diffraction gratings as beam splitters has been suggested and few intruments have been built ([Chak 94]; [Clea 92]; [File 00]). These instruments illustrate some applications in the new wavelength domain opened by using a beam splitter grating, but do not yet provide the full capabilities of an FTS. In this paper we present several optical schemes which can

  11. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  12. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  13. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  14. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  15. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  16. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  17. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  18. Marshall Grazing Incidence X-ray Spectrometer (MaGIXS) Slit-Jaw Imaging System

    Science.gov (United States)

    Wilkerson, P.; Champey, P. R.; Winebarger, A. R.; Kobayashi, K.; Savage, S. L.

    2017-12-01

    The Marshall Grazing Incidence X-ray Spectrometer is a NASA sounding rocket payload providing a 0.6 - 2.5 nm spectrum with unprecedented spatial and spectral resolution. The instrument is comprised of a novel optical design, featuring a Wolter1 grazing incidence telescope, which produces a focused solar image on a slit plate, an identical pair of stigmatic optics, a planar diffraction grating and a low-noise detector. When MaGIXS flies on a suborbital launch in 2019, a slit-jaw camera system will reimage the focal plane of the telescope providing a reference for pointing the telescope on the solar disk and aligning the data to supporting observations from satellites and other rockets. The telescope focuses the X-ray and EUV image of the sun onto a plate covered with a phosphor coating that absorbs EUV photons, which then fluoresces in visible light. This 10-week REU project was aimed at optimizing an off-axis mounted camera with 600-line resolution NTSC video for extremely low light imaging of the slit plate. Radiometric calculations indicate an intensity of less than 1 lux at the slit jaw plane, which set the requirement for camera sensitivity. We selected a Watec 910DB EIA charge-coupled device (CCD) monochrome camera, which has a manufacturer quoted sensitivity of 0.0001 lux at F1.2. A high magnification and low distortion lens was then identified to image the slit jaw plane from a distance of approximately 10 cm. With the selected CCD camera, tests show that at extreme low-light levels, we achieve a higher resolution than expected, with only a moderate drop in frame rate. Based on sounding rocket flight heritage, the launch vehicle attitude control system is known to stabilize the instrument pointing such that jitter does not degrade video quality for context imaging. Future steps towards implementation of the imaging system will include ruggedizing the flight camera housing and mounting the selected camera and lens combination to the instrument structure.

  19. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  20. Electro-Optical Imaging Fourier-Transform Spectrometer

    Science.gov (United States)

    Chao, Tien-Hsin; Zhou, Hanying

    2006-01-01

    An electro-optical (E-O) imaging Fourier-transform spectrometer (IFTS), now under development, is a prototype of improved imaging spectrometers to be used for hyperspectral imaging, especially in the infrared spectral region. Unlike both imaging and non-imaging traditional Fourier-transform spectrometers, the E-O IFTS does not contain any moving parts. Elimination of the moving parts and the associated actuator mechanisms and supporting structures would increase reliability while enabling reductions in size and mass, relative to traditional Fourier-transform spectrometers that offer equivalent capabilities. Elimination of moving parts would also eliminate the vibrations caused by the motions of those parts. Figure 1 schematically depicts a traditional Fourier-transform spectrometer, wherein a critical time delay is varied by translating one the mirrors of a Michelson interferometer. The time-dependent optical output is a periodic representation of the input spectrum. Data characterizing the input spectrum are generated through fast-Fourier-transform (FFT) post-processing of the output in conjunction with the varying time delay.

  1. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  2. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  3. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    Science.gov (United States)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  4. Rapid Acquisition Imaging Spectrograph (RAISE) Renewal Proposal Project

    Data.gov (United States)

    National Aeronautics and Space Administration — The optical design of RAISE is based on a new class of UV/EUV imaging spectrometers that use  only two reflections to provide quasi-stigmatic performance...

  5. A fast-time-response extreme ultraviolet spectrometer for measurement of impurity line emissions in the Experimental Advanced Superconducting Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ling; Xu, Zong; Wu, Zhenwei; Zhang, Pengfei; Wu, Chengrui; Gao, Wei; Shen, Junsong; Chen, Yingjie; Liu, Xiang; Wang, Yumin; Gong, Xianzu; Hu, Liqun; Chen, Junlin; Zhang, Xiaodong; Wan, Baonian; Li, Jiangang [Institute of Plasma Physics Chinese Academy of Sciences, Hefei 230026, Anhui (China); Morita, Shigeru; Ohishi, Tetsutarou; Goto, Motoshi [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan); Dong, Chunfeng [Southwestern Institute of Physics, Chengdu 610041, Sichuan (China); and others

    2015-12-15

    A flat-field extreme ultraviolet (EUV) spectrometer working in the 20-500 Å wavelength range with fast time response has been newly developed to measure line emissions from highly ionized tungsten in the Experimental Advanced Superconducting Tokamak (EAST) with a tungsten divertor, while the monitoring of light and medium impurities is also an aim in the present development. A flat-field focal plane for spectral image detection is made by a laminar-type varied-line-spacing concave holographic grating with an angle of incidence of 87°. A back-illuminated charge-coupled device (CCD) with a total size of 26.6 × 6.6 mm{sup 2} and pixel numbers of 1024 × 255 (26 × 26 μm{sup 2}/pixel) is used for recording the focal image of spectral lines. An excellent spectral resolution of Δλ{sub 0} = 3-4 pixels, where Δλ{sub 0} is defined as full width at the foot position of a spectral line, is obtained at the 80-400 Å wavelength range after careful adjustment of the grating and CCD positions. The high signal readout rate of the CCD can improve the temporal resolution of time-resolved spectra when the CCD is operated in the full vertical binning mode. It is usually operated at 5 ms per frame. If the vertical size of the CCD is reduced with a narrow slit, the time response becomes faster. The high-time response in the spectral measurement therefore makes possible a variety of spectroscopic studies, e.g., impurity behavior in long pulse discharges with edge-localized mode bursts. An absolute intensity calibration of the EUV spectrometer is also carried out with a technique using the EUV bremsstrahlung continuum at 20-150 Å for quantitative data analysis. Thus, the high-time resolution tungsten spectra have been successfully observed with good spectral resolution using the present EUV spectrometer system. Typical tungsten spectra in the EUV wavelength range observed from EAST discharges are presented with absolute intensity and spectral identification.

  6. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  7. Imaging spectrometer using a liquid crystal tunable filter

    Science.gov (United States)

    Chrien, Thomas G.; Chovit, Christopher; Miller, Peter J.

    1993-09-01

    A demonstration imaging spectrometer using a liquid crystal tunable filter (LCTF) was built and tested on a hot air balloon platform. The LCTF is a tunable polarization interference or Lyot filter. The LCTF enables a small, light weight, low power, band sequential imaging spectrometer design. An overview of the prototype system is given along with a description of balloon experiment results. System model performance predictions are given for a future LCTF based imaging spectrometer design. System design considerations of LCTF imaging spectrometers are discussed.

  8. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  9. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  10. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  11. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  12. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  13. Artificial intelligence for geologic mapping with imaging spectrometers

    Science.gov (United States)

    Kruse, F. A.

    1993-01-01

    This project was a three year study at the Center for the Study of Earth from Space (CSES) within the Cooperative Institute for Research in Environmental Science (CIRES) at the University of Colorado, Boulder. The goal of this research was to develop an expert system to allow automated identification of geologic materials based on their spectral characteristics in imaging spectrometer data such as the Airborne Visible/Infrared Imaging Spectrometer (AVIRIS). This requirement was dictated by the volume of data produced by imaging spectrometers, which prohibits manual analysis. The research described is based on the development of automated techniques for analysis of imaging spectrometer data that emulate the analytical processes used by a human observer. The research tested the feasibility of such an approach, implemented an operational system, and tested the validity of the results for selected imaging spectrometer data sets.

  14. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  15. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  16. Effect of jitter on an imaging FTIR spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Bennett, C. L., LLNL

    1997-04-01

    Line of sight (LOS) jitter produces temporal modulations of the signals which are detected in the focal plane of a temporally modulated imaging Fourier Transform Spectrometer. A theoretical treatment of LOS jitter effects is given, and is compared with the results of measurements with LIFTIRS1 (the Livermore Imaging Fourier Transform InfraRed Spectrometer). The identification, isolation, quantification and removal of jitter artifacts in hyperspectral imaging data by means of principal components analysis is discussed. The theoretical distribution of eigenvalues expected from principal components analysis is used to determine the level of significance of spatially coherent instrumental artifacts in general, including jitter as a representative example. It is concluded that an imaging FTIR spectrometer is much less seriously impacted by a given LOS jitter level than a non imaging FTIR spectrometer.

  17. Design and Test of Portable Hyperspectral Imaging Spectrometer

    Directory of Open Access Journals (Sweden)

    Chunbo Zou

    2017-01-01

    Full Text Available We design and implement a portable hyperspectral imaging spectrometer, which has high spectral resolution, high spatial resolution, small volume, and low weight. The flight test has been conducted, and the hyperspectral images are acquired successfully. To achieve high performance, small volume, and regular appearance, an improved Dyson structure is designed and used in the hyperspectral imaging spectrometer. The hyperspectral imaging spectrometer is suitable for the small platform such as CubeSat and UAV (unmanned aerial vehicle, and it is also convenient to use for hyperspectral imaging acquiring in the laboratory and the field.

  18. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  1. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  2. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  3. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  4. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  5. Design of airborne imaging spectrometer based on curved prism

    Science.gov (United States)

    Nie, Yunfeng; Xiangli, Bin; Zhou, Jinsong; Wei, Xiaoxiao

    2011-11-01

    A novel moderate-resolution imaging spectrometer spreading from visible wavelength to near infrared wavelength range with a spectral resolution of 10 nm, which combines curved prisms with the Offner configuration, is introduced. Compared to conventional imaging spectrometers based on dispersive prism or diffractive grating, this design possesses characteristics of small size, compact structure, low mass as well as little spectral line curve (smile) and spectral band curve (keystone or frown). Besides, the usage of compound curved prisms with two or more different materials can greatly reduce the nonlinearity inevitably brought by prismatic dispersion. The utilization ratio of light radiation is much higher than imaging spectrometer of the same type based on combination of diffractive grating and concentric optics. In this paper, the Seidel aberration theory of curved prism and the optical principles of Offner configuration are illuminated firstly. Then the optical design layout of the spectrometer is presented, and the performance evaluation of this design, including spot diagram and MTF, is analyzed. To step further, several types of telescope matching this system are provided. This work provides an innovational perspective upon optical system design of airborne spectral imagers; therefore, it can offer theoretic guide for imaging spectrometer of the same kind.

  6. New imaging spectrometer for auroral research

    International Nuclear Information System (INIS)

    Rairden, R.; Swenson, G.

    1994-01-01

    A Loral 1024 x 1024 CCD array with 15-micron pixels has been incorporated as the focal plane detector in a new imaging spectrometer for auroral research. The large format low-noise CCD provides excellent dynamic range and signal to noise characteristics with image integration times on the order of 60 seconds using f/1.4 camera optics. Further signal enhancement is achieved through on-CCD pixel binning. In the nominal binned mode the instrument wavelength resolution varies from 15 to 30 angstrom across the 5000 to 8600 angstrom spectral range. Images are acquired and stored digitally on a Macintosh computer. This instrument was operated at a field site in Godhavn, Greenland during the past two winters (1993, 1994) to measure the altitude distribution of the various spectral emissions within auroral arcs. The height resolution on an auroral feature 300 km distant is ∼1 km. Examples of these measurements are presented here in snapshot and summary image formats illustrating the wealth of quantitative information provided by this new imaging spectrometer

  7. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  8. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  9. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  10. Static dual-channel polarization imaging spectrometer for simultaneous acquisition of inphase and antiphase interference images

    International Nuclear Information System (INIS)

    Mu, Tingkui; Zhang, Chunmin; Ren, Wenyi; Jian, Xiaohua

    2011-01-01

    The raw data acquired by Fourier-transform imaging spectrometers are the physical superposition of an interferogram and image. To reconstruct an accurate spectrum from a pure interferogram via Fourier transformation and get a pure image that is undisturbed by fringes, the interferogram and the image need to be separated. Although it can be achieved by digital image processing, heavy computations with approximation would be introduced. To overcome these drawbacks and in the meantime avoid the influence of the rapid changes of the observed scene and the perturbations of the rotating elements, a static dual-channel polarization imaging spectrometer that can simultaneously acquire inphase and antiphase interference images is presented. The extraction of a pure image and pure fringe can be simply achieved from the difference and the summation of the two interference images, respectively. The feasibility of the spectrometer and its features are described, and the influence of the polarization direction of the polarizers on the background image and fringe is discussed

  11. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  12. Research of aerial imaging spectrometer data acquisition technology based on USB 3.0

    Science.gov (United States)

    Huang, Junze; Wang, Yueming; He, Daogang; Yu, Yanan

    2016-11-01

    With the emergence of UAV (unmanned aerial vehicle) platform for aerial imaging spectrometer, research of aerial imaging spectrometer DAS(data acquisition system) faces new challenges. Due to the limitation of platform and other factors, the aerial imaging spectrometer DAS requires small-light, low-cost and universal. Traditional aerial imaging spectrometer DAS system is expensive, bulky, non-universal and unsupported plug-and-play based on PCIe. So that has been unable to meet promotion and application of the aerial imaging spectrometer. In order to solve these problems, the new data acquisition scheme bases on USB3.0 interface.USB3.0 can provide guarantee of small-light, low-cost and universal relying on the forward-looking technology advantage. USB3.0 transmission theory is up to 5Gbps.And the GPIF programming interface achieves 3.2Gbps of the effective theoretical data bandwidth.USB3.0 can fully meet the needs of the aerial imaging spectrometer data transmission rate. The scheme uses the slave FIFO asynchronous data transmission mode between FPGA and USB3014 interface chip. Firstly system collects spectral data from TLK2711 of high-speed serial interface chip. Then FPGA receives data in DDR2 cache after ping-pong data processing. Finally USB3014 interface chip transmits data via automatic-dma approach and uploads to PC by USB3.0 cable. During the manufacture of aerial imaging spectrometer, the DAS can achieve image acquisition, transmission, storage and display. All functions can provide the necessary test detection for aerial imaging spectrometer. The test shows that system performs stable and no data lose. Average transmission speed and storage speed of writing SSD can stabilize at 1.28Gbps. Consequently ,this data acquisition system can meet application requirements for aerial imaging spectrometer.

  13. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  14. DATA QUALITY EVALUATION AND APPLICATION POTENTIAL ANALYSIS OF TIANGONG-2 WIDE-BAND IMAGING SPECTROMETER

    Directory of Open Access Journals (Sweden)

    B. Qin

    2018-04-01

    Full Text Available Tiangong-2 is the first space laboratory in China, which launched in September 15, 2016. Wide-band Imaging Spectrometer is a medium resolution multispectral imager on Tiangong-2. In this paper, the authors introduced the indexes and parameters of Wideband Imaging Spectrometer, and made an objective evaluation about the data quality of Wide-band Imaging Spectrometer in radiation quality, image sharpness and information content, and compared the data quality evaluation results with that of Landsat-8. Although the data quality of Wide-band Imager Spectrometer has a certain disparity with Landsat-8 OLI data in terms of signal to noise ratio, clarity and entropy. Compared with OLI, Wide-band Imager Spectrometer has more bands, narrower bandwidth and wider swath, which make it a useful remote sensing data source in classification and identification of large and medium scale ground objects. In the future, Wide-band Imaging Spectrometer data will be widely applied in land cover classification, ecological environment assessment, marine and coastal zone monitoring, crop identification and classification, and other related areas.

  15. Data Quality Evaluation and Application Potential Analysis of TIANGONG-2 Wide-Band Imaging Spectrometer

    Science.gov (United States)

    Qin, B.; Li, L.; Li, S.

    2018-04-01

    Tiangong-2 is the first space laboratory in China, which launched in September 15, 2016. Wide-band Imaging Spectrometer is a medium resolution multispectral imager on Tiangong-2. In this paper, the authors introduced the indexes and parameters of Wideband Imaging Spectrometer, and made an objective evaluation about the data quality of Wide-band Imaging Spectrometer in radiation quality, image sharpness and information content, and compared the data quality evaluation results with that of Landsat-8. Although the data quality of Wide-band Imager Spectrometer has a certain disparity with Landsat-8 OLI data in terms of signal to noise ratio, clarity and entropy. Compared with OLI, Wide-band Imager Spectrometer has more bands, narrower bandwidth and wider swath, which make it a useful remote sensing data source in classification and identification of large and medium scale ground objects. In the future, Wide-band Imaging Spectrometer data will be widely applied in land cover classification, ecological environment assessment, marine and coastal zone monitoring, crop identification and classification, and other related areas.

  16. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  17. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    conditions in EUV lithography were optimized to improve normalized image log slope (NILS), which is expected to reduce shot noise related effects. It can be seen that the EUV imaging contrast improvement can further reduce post-develop LCDU from 4.1 nm to 3.9 nm and from 2.8 nm to 2.6 nm. In parallel, etch processes were developed to further reduce LCDU, to control CD, and to transfer these improvements into the final target substrate. We also demonstrate that increasing post-develop CD through dose adjustment can enhance the LCDU reduction from etch. Similar trends were also observed in different pitches down to 40 nm. The solutions demonstrated here are critical to the introduction of EUV lithography in high volume manufacturing. It can be seen that through a synergistic deposition, lithography, and etch optimization, LCDU at a 40 nm pitch can be improved to 1.6 nm (3-sigma) in a target oxide layer and to 1.4 nm (3-sigma) at the photoresist layer.

  18. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  19. Spatially and temporally resolved EUV emissions from SATURN z-pinches

    International Nuclear Information System (INIS)

    Nash, T.J.; Breeze, S.; Mock, R.; Jobe, D.

    1995-01-01

    EUV emissions can be used to measure several z-pinch parameters. The authors have measured implosion velocity from Doppler splitting of lines and estimated electron temperature during run-in from the mean ionization state of line emissions. In an argon pinch they measure an electron temperature of 100 eV before stagnation. To date Doppler split lines have measured implosion velocities less than 40 cm/microsecond. They are presently attempting to measure magnetic field or load current from Zeeman splitting and it may be possible to measure electron density from a Stark-broadened line. Opacity and ion thermal broadening may also contribute to line width information. The spectrometer utilizes a variable line space grating to give a flat focal field. Spectral resolution with a 60 micron detector resolution is up to 3,000 and generally increases with wavelength. This is sufficient to detect several plasma line broadening mechanisms. The spectrometer may detect lines above 100 angstrom and below 1,400 angstrom. Spectral range across a microchannel plate stripline detector decreases with increasing wavelength setting. The authors may gate two striplines with 1 to 12 nsec gates at any time during the pinch discharge. Each stripline spatially images the pinch diameter perpendicular to the direction of dispersion. Spatial resolution in the pinch diameter is 1 mm. Spatial acquisition along the z axis is also 1 mm. Data are presented from argon, krypton, and aluminum z-pinch discharges on the SATURN accelerator

  20. Spatial image modulation to improve performance of computed tomography imaging spectrometer

    Science.gov (United States)

    Bearman, Gregory H. (Inventor); Wilson, Daniel W. (Inventor); Johnson, William R. (Inventor)

    2010-01-01

    Computed tomography imaging spectrometers ("CTIS"s) having patterns for imposing spatial structure are provided. The pattern may be imposed either directly on the object scene being imaged or at the field stop aperture. The use of the pattern improves the accuracy of the captured spatial and spectral information.

  1. A multiplex coding imaging spectrometer for X-ray astronomy

    International Nuclear Information System (INIS)

    Rocchia, R.; Deschamps, J.Y.; Koch-Miramond, L.; Tarrius, A.

    1985-06-01

    The paper describes a multiplex coding system associated with a solid state spectrometer Si(Li) designed to be placed at the focus of a grazing incidence telescope. In this instrument the spectrometric and imaging functions are separated. The coding system consists in a movable mask with pseudo randomly distributed holes, located in the focal plane of the telescope. The pixel size lies in the range 100-200 microns. The close association of the coding system with a Si(Li) detector gives an imaging spectrometer combining the good efficiency (50% between 0,5 and 10 keV) and energy resolution (ΔE approximately 90 to 160 eV) of solid state spectrometers with the spatial resolution of the mask. Simulations and results obtained with a laboratory model are presented

  2. EUV imager and spectrometer for LYOT and solar orbiter space missions

    Science.gov (United States)

    Millard, Anne; Lemaire, Philippe; Vial, Jean-Claude

    2017-11-01

    In the 2010 horizon, solar space missions such as LYOT and Solar Orbiter will allow high cadence UV observations of the Sun at spatial and spectral resolution never obtained before. To reach these goals, the two missions could take advantage of spectro-imagers. A reflective only optical solution for such an instrument is described in this paper and the first results of the mock-up being built at IAS are shown.

  3. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  4. Three new extreme ultraviolet spectrometers on NSTX-U for impurity monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Weller, M. E., E-mail: weller4@llnl.gov; Beiersdorfer, P.; Soukhanovskii, V. A.; Magee, E. W.; Scotti, F. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States)

    2016-11-15

    Three extreme ultraviolet (EUV) spectrometers have been mounted on the National Spherical Torus Experiment–Upgrade (NSTX-U). All three are flat-field grazing-incidence spectrometers and are dubbed X-ray and Extreme Ultraviolet Spectrometer (XEUS, 8–70 Å), Long-Wavelength Extreme Ultraviolet Spectrometer (LoWEUS, 190–440 Å), and Metal Monitor and Lithium Spectrometer Assembly (MonaLisa, 50–220 Å). XEUS and LoWEUS were previously implemented on NSTX to monitor impurities from low- to high-Z sources and to study impurity transport while MonaLisa is new and provides the system increased spectral coverage. The spectrometers will also be a critical diagnostic on the planned laser blow-off system for NSTX-U, which will be used for impurity edge and core ion transport studies, edge-transport code development, and benchmarking atomic physics codes.

  5. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  6. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  7. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  8. Stitching Type Large Aperture Depolarizer for Gas Monitoring Imaging Spectrometer

    Science.gov (United States)

    Liu, X.; Li, M.; An, N.; Zhang, T.; Cao, G.; Cheng, S.

    2018-04-01

    To increase the accuracy of radiation measurement for gas monitoring imaging spectrometer, it is necessary to achieve high levels of depolarization of the incoming beam. The preferred method in space instrument is to introduce the depolarizer into the optical system. It is a combination device of birefringence crystal wedges. Limited to the actual diameter of the crystal, the traditional depolarizer cannot be used in the large aperture imaging spectrometer (greater than 100 mm). In this paper, a stitching type depolarizer is presented. The design theory and numerical calculation model for dual babinet depolarizer were built. As required radiometric accuracies of the imaging spectrometer with 250 mm × 46 mm aperture, a stitching type dual babinet depolarizer was design in detail. Based on designing the optimum structural parmeters the tolerance of wedge angle refractive index, and central thickness were given. The analysis results show that the maximum residual polarization degree of output light from depolarizer is less than 2 %. The design requirements of polarization sensitivity is satisfied.

  9. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  10. Fluorescence imaging spectrometer optical design

    Science.gov (United States)

    Taiti, A.; Coppo, P.; Battistelli, E.

    2015-09-01

    The optical design of the FLuORescence Imaging Spectrometer (FLORIS) studied for the Fluorescence Explorer (FLEX) mission is discussed. FLEX is a candidate for the ESA's 8th Earth Explorer opportunity mission. FLORIS is a pushbroom hyperspectral imager foreseen to be embarked on board of a medium size satellite, flying in tandem with Sentinel-3 in a Sun synchronous orbit at a height of about 815 km. FLORIS will observe the vegetation fluorescence and reflectance within a spectral range between 500 and 780 nm. Multi-frames acquisitions on matrix detectors during the satellite movement will allow the production of 2D Earth scene images in two different spectral channels, called HR and LR with spectral resolution of 0.3 and 2 nm respectively. A common fore optics is foreseen to enhance by design the spatial co-registration between the two spectral channels, which have the same ground spatial sampling (300 m) and swath (150 km). An overlapped spectral range between the two channels is also introduced to simplify the spectral coregistration. A compact opto-mechanical solution with all spherical and plane optical elements is proposed, and the most significant design rationales are described. The instrument optical architecture foresees a dual Babinet scrambler, a dioptric telescope and two grating spectrometers (HR and LR), each consisting of a modified Offner configuration. The developed design is robust, stable vs temperature, easy to align, showing very high optical quality along the whole field of view. The system gives also excellent correction for transverse chromatic aberration and distortions (keystone and smile).

  11. Electro-optic imaging Fourier transform spectrometer

    Science.gov (United States)

    Chao, Tien-Hsin (Inventor); Znod, Hanying (Inventor)

    2009-01-01

    An Electro-Optic Imaging Fourier Transform Spectrometer (EOIFTS) for Hyperspectral Imaging is described. The EOIFTS includes an input polarizer, an output polarizer, and a plurality of birefringent phase elements. The relative orientations of the polarizers and birefringent phase elements can be changed mechanically or via a controller, using ferroelectric liquid crystals, to substantially measure the spectral Fourier components of light propagating through the EIOFTS. When achromatic switches are used as an integral part of the birefringent phase elements, the EIOFTS becomes suitable for broadband applications, with over 1 micron infrared bandwidth.

  12. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  13. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  14. Echelle grating multi-order imaging spectrometer utilizing a catadioptric lens

    Science.gov (United States)

    Chrisp, Michael P; Bowers, Joel M

    2014-05-27

    A cryogenically cooled imaging spectrometer that includes a spectrometer housing having a first side and a second side opposite the first side. An entrance slit is on the first side of the spectrometer housing and directs light to a cross-disperser grating. An echelle immersions grating and a catadioptric lens are positioned in the housing to receive the light. A cryogenically cooled detector is located in the housing on the second side of the spectrometer housing. Light from the entrance slit is directed to the cross-disperser grating. The light is directed from the cross-disperser grating to the echelle immersions grating. The light is directed from the echelle immersions grating to the cryogenically cooled detector on the second side of the spectrometer housing.

  15. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  16. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  17. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  18. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  19. Current instrument status of the Airborne Visible/Infrared Imaging Spectrometer (AVIRIS)

    Science.gov (United States)

    Eastwood, Michael L.; Sarture, Charles M.; Chrien, Thomas G.; Green, Robert O.; Porter, Wallace M.

    1991-01-01

    An upgraded version of AVIRIS, an airborne imaging spectrometer based on a whiskbroom-type scanner coupled via optical fibers to four dispersive spectrometers, that has been in operation since 1987 is described. Emphasis is placed on specific AVIRIS subsystems including foreoptics, fiber optics, and an in-flight reference source; spectrometers and detector dewars; a scan drive mechanism; a signal chain; digital electronics; a tape recorder; calibration systems; and ground support requirements.

  20. STITCHING TYPE LARGE APERTURE DEPOLARIZER FOR GAS MONITORING IMAGING SPECTROMETER

    Directory of Open Access Journals (Sweden)

    X. Liu

    2018-04-01

    Full Text Available To increase the accuracy of radiation measurement for gas monitoring imaging spectrometer, it is necessary to achieve high levels of depolarization of the incoming beam. The preferred method in space instrument is to introduce the depolarizer into the optical system. It is a combination device of birefringence crystal wedges. Limited to the actual diameter of the crystal, the traditional depolarizer cannot be used in the large aperture imaging spectrometer (greater than 100 mm. In this paper, a stitching type depolarizer is presented. The design theory and numerical calculation model for dual babinet depolarizer were built. As required radiometric accuracies of the imaging spectrometer with 250 mm × 46 mm aperture, a stitching type dual babinet depolarizer was design in detail. Based on designing the optimum structural parmeters,the tolerance of wedge angle,refractive index, and central thickness were given. The analysis results show that the maximum residual polarization degree of output light from depolarizer is less than 2 %. The design requirements of polarization sensitivity is satisfied.

  1. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  2. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  3. THE RESEARCH OF SPECTRAL RECONSTRUCTION FOR LARGE APERTURE STATIC IMAGING SPECTROMETER

    Directory of Open Access Journals (Sweden)

    H. Lv

    2018-04-01

    Full Text Available Imaging spectrometer obtains or indirectly obtains the spectral information of the ground surface feature while obtaining the target image, which makes the imaging spectroscopy has a prominent advantage in fine characterization of terrain features, and is of great significance for the study of geoscience and other related disciplines. Since the interference data obtained by interferometric imaging spectrometer is intermediate data, which must be reconstructed to achieve the high quality spectral data and finally used by users. The difficulty to restrict the application of interferometric imaging spectroscopy is to reconstruct the spectrum accurately. Based on the original image acquired by Large Aperture Static Imaging Spectrometer as the input, this experiment selected the pixel that is identified as crop by artificial recognition, extract and preprocess the interferogram to recovery the corresponding spectrum of this pixel. The result shows that the restructured spectrum formed a small crest near the wavelength of 0.55 μm with obvious troughs on both sides. The relative reflection intensity of the restructured spectrum rises abruptly at the wavelength around 0.7 μm, forming a steep slope. All these characteristics are similar with the spectral reflection curve of healthy green plants. It can be concluded that the experimental result is consistent with the visual interpretation results, thus validating the effectiveness of the scheme for interferometric imaging spectrum reconstruction proposed in this paper.

  4. Uses of microchannel plate intensified detectors for imaging applications in the X-ray, EUV and visible wavelength regions

    International Nuclear Information System (INIS)

    Read, P.D.; Carter, M.K.; Pike, C.D.; Harrison, R.A.; Kent, B.J.; Swinyard, B.M.; Patchett, B.E.; Redfern, R.M.; Shearer, A.; Colhoun, M.

    1997-01-01

    The Rutherford Appleton laboratory photon counting detector (RALPCD) has been refined to meet project requirements for a flexible imaging arrangement with applications at X-ray, EUV and visible wavelengths. The basic detector design comprises commercially available high gain microchannel plate intensifiers fibre optically coupled to CID or CCD cameras, to form a modular detector arrangement with the appropriate RAL detection and centroiding software. Frames of data from the cameras are detected and centroided in a transputer or C40 parallel processor array where correction algorithms use look up tables to produce pattern free images at high resolution. Data from completed applications are used to illustrate the performance and future advances are discussed. (orig.)

  5. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  6. The Polaris-H imaging spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Wahl, Christopher G., E-mail: chris@h3dgamma.com; Kaye, Willy R.; Wang, Weiyi; Zhang, Feng; Jaworski, Jason M.; King, Alexis; Boucher, Y. Andy; He, Zhong

    2015-06-01

    Recently, H3D has designed and introduced a gamma-ray imaging spectrometer system named Polaris-H. Polaris-H was designed to perform gamma spectroscopy and imaging throughout nuclear power plants. It integrates a 3D-position-sensitive pixelated CZT detector (20 mm×20 mm×15 mm), associated readout electronics, an embedded computer, a 5-h battery, and an optical camera in a portable water-proof enclosure. The total mass is about 4 kg, and the system startup time is 2 min. Additionally, it has a connection for a tablet, which displays a gamma-ray spectrum and isotope-specific images of the gamma-ray distribution in all directions in real time. List-mode data is saved to an external USB memory stick. Based on pixelated depth-sensing technology, spectroscopy is routinely better than 1.1% FWHM at 662 keV, and imaging efficiency at 662 keV varies less than a factor of two for all directions, except through the battery. Measurements have been performed in contaminated environments, in high radiation fields, and in cramped quarters.

  7. The Polaris-H imaging spectrometer

    International Nuclear Information System (INIS)

    Wahl, Christopher G.; Kaye, Willy R.; Wang, Weiyi; Zhang, Feng; Jaworski, Jason M.; King, Alexis; Boucher, Y. Andy; He, Zhong

    2015-01-01

    Recently, H3D has designed and introduced a gamma-ray imaging spectrometer system named Polaris-H. Polaris-H was designed to perform gamma spectroscopy and imaging throughout nuclear power plants. It integrates a 3D-position-sensitive pixelated CZT detector (20 mm×20 mm×15 mm), associated readout electronics, an embedded computer, a 5-h battery, and an optical camera in a portable water-proof enclosure. The total mass is about 4 kg, and the system startup time is 2 min. Additionally, it has a connection for a tablet, which displays a gamma-ray spectrum and isotope-specific images of the gamma-ray distribution in all directions in real time. List-mode data is saved to an external USB memory stick. Based on pixelated depth-sensing technology, spectroscopy is routinely better than 1.1% FWHM at 662 keV, and imaging efficiency at 662 keV varies less than a factor of two for all directions, except through the battery. Measurements have been performed in contaminated environments, in high radiation fields, and in cramped quarters

  8. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  9. Color camera computed tomography imaging spectrometer for improved spatial-spectral image accuracy

    Science.gov (United States)

    Wilson, Daniel W. (Inventor); Bearman, Gregory H. (Inventor); Johnson, William R. (Inventor)

    2011-01-01

    Computed tomography imaging spectrometers ("CTIS"s) having color focal plane array detectors are provided. The color FPA detector may comprise a digital color camera including a digital image sensor, such as a Foveon X3.RTM. digital image sensor or a Bayer color filter mosaic. In another embodiment, the CTIS includes a pattern imposed either directly on the object scene being imaged or at the field stop aperture. The use of a color FPA detector and the pattern improves the accuracy of the captured spatial and spectral information.

  10. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  11. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  12. Fast data reconstructed method of Fourier transform imaging spectrometer based on multi-core CPU

    Science.gov (United States)

    Yu, Chunchao; Du, Debiao; Xia, Zongze; Song, Li; Zheng, Weijian; Yan, Min; Lei, Zhenggang

    2017-10-01

    Imaging spectrometer can gain two-dimensional space image and one-dimensional spectrum at the same time, which shows high utility in color and spectral measurements, the true color image synthesis, military reconnaissance and so on. In order to realize the fast reconstructed processing of the Fourier transform imaging spectrometer data, the paper designed the optimization reconstructed algorithm with OpenMP parallel calculating technology, which was further used for the optimization process for the HyperSpectral Imager of `HJ-1' Chinese satellite. The results show that the method based on multi-core parallel computing technology can control the multi-core CPU hardware resources competently and significantly enhance the calculation of the spectrum reconstruction processing efficiency. If the technology is applied to more cores workstation in parallel computing, it will be possible to complete Fourier transform imaging spectrometer real-time data processing with a single computer.

  13. Two wide-angle imaging neutral-atom spectrometers

    Energy Technology Data Exchange (ETDEWEB)

    McComas, D.J.

    1997-12-31

    The Two Wide-angle Imaging Neutral-atom Spectrometers (TWINS) mission provides a new capability for stereoscopically imaging the magnetosphere. By imaging the charge exchange neutral atoms over a broad energy range (1 < E , {approximately} 100 keV) using two identical instruments on two widely-spaced high-altitude, high-inclination spacecraft, TWINS will enable the 3-dimensional visualization and the resolution of large scale structures and dynamics within the magnetosphere for the first time. These observations will provide a leap ahead in the understanding of the global aspects of the terrestrial magnetosphere and directly address a number of critical issues in the ``Sun-Earth Connections`` science theme of the NASA Office of Space Science.

  14. Two wide-angle imaging neutral-atom spectrometers

    International Nuclear Information System (INIS)

    McComas, D.J.

    1997-01-01

    The Two Wide-angle Imaging Neutral-atom Spectrometers (TWINS) mission provides a new capability for stereoscopically imaging the magnetosphere. By imaging the charge exchange neutral atoms over a broad energy range (1 < E , ∼ 100 keV) using two identical instruments on two widely-spaced high-altitude, high-inclination spacecraft, TWINS will enable the 3-dimensional visualization and the resolution of large scale structures and dynamics within the magnetosphere for the first time. These observations will provide a leap ahead in the understanding of the global aspects of the terrestrial magnetosphere and directly address a number of critical issues in the ''Sun-Earth Connections'' science theme of the NASA Office of Space Science

  15. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  16. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  17. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  18. Pathway to future sustainable land imaging: the compact hyperspectral prism spectrometer

    Science.gov (United States)

    Kampe, Thomas U.; Good, William S.

    2017-09-01

    NASA's Sustainable Land Imaging (SLI) program, managed through the Earth Science Technology Office, aims to develop technologies that will provide future Landsat-like measurements. SLI aims to develop a new generation of smaller, more capable, less costly payloads that meet or exceed current imaging capabilities. One projects funded by this program is Ball's Compact Hyperspectral Prism Spectrometer (CHPS), a visible-to-shortwave imaging spectrometer that provides legacy Landsat data products as well as hyperspectral coverage suitable for a broad range of land science products. CHPS exhibits extremely low straylight and accommodates full aperture, full optical path calibration needed to ensure the high radiometric accuracy demanded by SLI measurement objectives. Low polarization sensitivity in visible to near-infrared bands facilitates coastal water science as first demonstrated by the exceptional performance of the Operational Land Imager. Our goal is to mature CHPS imaging spectrometer technology for infusion into the SLI program. Our effort builds on technology development initiated by Ball IRAD investment and includes laboratory and airborne demonstration, data distribution to science collaborators, and maturation of technology for spaceborne demonstration. CHPS is a three year program with expected exiting technology readiness of TRL-6. The 2013 NRC report Landsat and Beyond: Sustaining and Enhancing the Nations Land Imaging Program recommended that the nation should "maintain a sustained, space-based, land-imaging program, while ensuring the continuity of 42-years of multispectral information." We are confident that CHPS provides a path to achieve this goal while enabling new science measurements and significantly reducing the cost, size, and volume of the VSWIR instrument.

  19. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  20. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  1. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  2. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  3. Software for simulation of a computed tomography imaging spectrometer using optical design software

    Science.gov (United States)

    Spuhler, Peter T.; Willer, Mark R.; Volin, Curtis E.; Descour, Michael R.; Dereniak, Eustace L.

    2000-11-01

    Our Imaging Spectrometer Simulation Software known under the name Eikon should improve and speed up the design of a Computed Tomography Imaging Spectrometer (CTIS). Eikon uses existing raytracing software to simulate a virtual instrument. Eikon enables designers to virtually run through the design, calibration and data acquisition, saving significant cost and time when designing an instrument. We anticipate that Eikon simulations will improve future designs of CTIS by allowing engineers to explore more instrument options.

  4. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  5. Review of high fidelity imaging spectrometer design for remote sensing

    Science.gov (United States)

    Mouroulis, Pantazis; Green, Robert O.

    2018-04-01

    We review the design and assessment techniques that underlie a number of successfully deployed space and airborne imaging spectrometers that have been demonstrated to achieve demanding specifications in terms of throughput and response uniformity. The principles are illustrated with telescope designs as well as spectrometer examples from the Offner and Dyson families. We also show how the design space can be extended with the use of freeform surfaces and provide additional design examples with grating as well as prism dispersive elements.

  6. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  7. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  8. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  9. Signal-to-noise analysis of a birefringent spectral zooming imaging spectrometer

    Science.gov (United States)

    Li, Jie; Zhang, Xiaotong; Wu, Haiying; Qi, Chun

    2018-05-01

    Study of signal-to-noise ratio (SNR) of a novel spectral zooming imaging spectrometer (SZIS) based on two identical Wollaston prisms is conducted. According to the theory of radiometry and Fourier transform spectroscopy, we deduce the theoretical equations of SNR of SZIS in spectral domain with consideration of the incident wavelength and the adjustable spectral resolution. An example calculation of SNR of SZIS is performed over 400-1000 nm. The calculation results indicate that SNR with different spectral resolutions of SZIS can be optionally selected by changing the spacing between the two identical Wollaston prisms. This will provide theoretical basis for the design, development and engineering of the developed imaging spectrometer for broad spectrum and SNR requirements.

  10. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  11. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  12. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  13. Wavelength calibration of imaging spectrometer using atmospheric absorption features

    Science.gov (United States)

    Zhou, Jiankang; Chen, Yuheng; Chen, Xinhua; Ji, Yiqun; Shen, Weimin

    2012-11-01

    Imaging spectrometer is a promising remote sensing instrument widely used in many filed, such as hazard forecasting, environmental monitoring and so on. The reliability of the spectral data is the determination to the scientific communities. The wavelength position at the focal plane of the imaging spectrometer will change as the pressure and temperature vary, or the mechanical vibration. It is difficult for the onboard calibration instrument itself to keep the spectrum reference accuracy and it also occupies weight and the volume of the remote sensing platform. Because the spectral images suffer from the atmospheric effects, the carbon oxide, water vapor, oxygen and solar Fraunhofer line, the onboard wavelength calibration can be processed by the spectral images themselves. In this paper, wavelength calibration is based on the modeled and measured atmospheric absorption spectra. The modeled spectra constructed by the atmospheric radiative transfer code. The spectral angle is used to determine the best spectral similarity between the modeled spectra and measured spectra and estimates the wavelength position. The smile shape can be obtained when the matching process across all columns of the data. The present method is successful applied on the Hyperion data. The value of the wavelength shift is obtained by shape matching of oxygen absorption feature and the characteristics are comparable to that of the prelaunch measurements.

  14. The Calibration Home Base for Imaging Spectrometers

    Directory of Open Access Journals (Sweden)

    Johannes Felix Simon Brachmann

    2016-08-01

    Full Text Available The Calibration Home Base (CHB is an optical laboratory designed for the calibration of imaging spectrometers for the VNIR/SWIR wavelength range. Radiometric, spectral and geometric calibration as well as the characterization of sensor signal dependency on polarization are realized in a precise and highly automated fashion. This allows to carry out a wide range of time consuming measurements in an ecient way. The implementation of ISO 9001 standards in all procedures ensures a traceable quality of results. Spectral measurements in the wavelength range 380–1000 nm are performed to a wavelength uncertainty of +- 0.1 nm, while an uncertainty of +-0.2 nm is reached in the wavelength range 1000 – 2500 nm. Geometric measurements are performed at increments of 1.7 µrad across track and 7.6 µrad along track. Radiometric measurements reach an absolute uncertainty of +-3% (k=1. Sensor artifacts, such as caused by stray light will be characterizable and correctable in the near future. For now, the CHB is suitable for the characterization of pushbroom sensors, spectrometers and cameras. However, it is planned to extend the CHBs capabilities in the near future such that snapshot hyperspectral imagers can be characterized as well. The calibration services of the CHB are open to third party customers from research institutes as well as industry.

  15. Snow and Water Imaging Spectrometer (SWIS): first alignment and characterization results

    Science.gov (United States)

    Bender, Holly A.; Mouroulis, Pantazis; Haag, Justin; Smith, Christopher D.; Van Gorp, Byron E.

    2017-09-01

    The Snow and Water Imaging Spectrometer (SWIS) is a fast, high-uniformity, low-polarization sensitivity imaging spectrometer and telescope system designed for integration on a 6U CubeSat platform. Operating in the 350-1700 nm spectral region with 5.7 nm sampling, SWIS is capable of simultaneously addressing the demanding needs of coastal ocean science and snow and ice monitoring. New key technologies that facilitate the development of this instrument include a linear variable anti-reflection (LVAR) detector coating for stray light management, and a single drive on-board calibration mechanism utilizing a transmissive diffuser for solar calibration. We provide an overview of the SWIS instrument design and potential science applications and describe the instrument assembly and alignment, supported by laboratory measurements.

  16. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  17. Complex EUV imaging reflectometry: spatially resolved 3D composition determination and dopant profiling with a tabletop 13nm source

    Science.gov (United States)

    Porter, Christina L.; Tanksalvala, Michael; Gerrity, Michael; Miley, Galen P.; Esashi, Yuka; Horiguchi, Naoto; Zhang, Xiaoshi; Bevis, Charles S.; Karl, Robert; Johnsen, Peter; Adams, Daniel E.; Kapteyn, Henry C.; Murnane, Margaret M.

    2018-03-01

    With increasingly 3D devices becoming the norm, there is a growing need in the semiconductor industry and in materials science for high spatial resolution, non-destructive metrology techniques capable of determining depth-dependent composition information on devices. We present a solution to this problem using ptychographic coherent diffractive imaging (CDI) implemented using a commercially available, tabletop 13 nm source. We present the design, simulations, and preliminary results from our new complex EUV imaging reflectometer, which uses coherent 13 nm light produced by tabletop high harmonic generation. This tool is capable of determining spatially-resolved composition vs. depth profiles for samples by recording ptychographic images at multiple incidence angles. By harnessing phase measurements, we can locally and nondestructively determine quantities such as device and thin film layer thicknesses, surface roughness, interface quality, and dopant concentration profiles. Using this advanced imaging reflectometer, we can quantitatively characterize materials-sciencerelevant and industry-relevant nanostructures for a wide variety of applications, spanning from defect and overlay metrology to the development and optimization of nano-enhanced thermoelectric or spintronic devices.

  18. Compact imaging Bragg spectrometer for fusion devices

    International Nuclear Information System (INIS)

    Bertschinger, G.; Biel, W.; Jaegers, H.; Marchuk, O.

    2004-01-01

    A compact imaging x-ray spectrometer has been designed for tokamaks and stellarators to measure the plasma parameters at different spatial chords. It has been optimized for high spectral resolution and high sensitivity. High spectral resolution is obtained by using solid state detectors and minimizing the imaging errors of the spherical crystals. It is shown, that using spherical crystals the solid angle and hence the throughput can be increased significantly, without compromising the spectral resolution. The design is useful for the measurement of the spectra of He- and H-like ions from Si to Kr. The spectral resolution is sufficient for the measurement of plasma parameters. The temporal resolution is high enough for transport studies by gas puff and laser ablation experiments. The design is based on a modified Johann spectrometer mount, utilizing a spherically bent crystal instead of the cylindrically bent crystal in the traditional Johann mount. The astigmatism of the wavelength selective reflection on the spherical crystal is applied to obtain imaging of an extended plasma source on a two-dimensional detector. For each element, a separate crystal is required, only in few cases, a crystal can be used for the spectra of two elements. For the spectra of most of the He-like ions from Si up to Kr, suitable crystal cuts have been found on quartz, silicon and germanium crystals with Bragg angles in a small interval around the design value of 53.5 deg. All of the crystals have the same radius. They are fixed on a rotational table. The distance to the detector is adjusted by an x-y table to fit to the Rowland circle

  19. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  20. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  1. Development of a single-shot-imaging thin film for an online Thomson parabola spectrometer

    International Nuclear Information System (INIS)

    Sakaki, H.; Fukuda, Y.; Nishiuchi, M.; Hori, T.; Yogo, A.; Jinno, S.; Kanasaki, M.; Niita, K.

    2013-01-01

    A single-shot-imaging thin scintillator film was developed for an online Thomson parabola (TP) spectrometer and the first analysis of laser accelerated ions, using the online TP spectrometer, was demonstrated at the JAEA-Kansai Advanced Relativistic Engineering Laser System (J-KAREN). An energy spectrum of ∼4.0 MeV protons is obtained using only this imaging film without the need of a microchannel plate that is typically utilized in online ion analyses. A general-purpose Monte Carlo particle and heavy ion-transport code system, which consists of various quantum dynamics models, was used for the prediction of the luminescent properties of the scintillator. The simulation can reasonably predict not only the ion trajectories detected by the spectrometer, but also luminescence properties.

  2. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  3. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  4. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  5. Depth resolved hyperspectral imaging spectrometer based on structured light illumination and Fourier transform interferometry

    Science.gov (United States)

    Choi, Heejin; Wadduwage, Dushan; Matsudaira, Paul T.; So, Peter T.C.

    2014-01-01

    A depth resolved hyperspectral imaging spectrometer can provide depth resolved imaging both in the spatial and the spectral domain. Images acquired through a standard imaging Fourier transform spectrometer do not have the depth-resolution. By post processing the spectral cubes (x, y, λ) obtained through a Sagnac interferometer under uniform illumination and structured illumination, spectrally resolved images with depth resolution can be recovered using structured light illumination algorithms such as the HiLo method. The proposed scheme is validated with in vitro specimens including fluorescent solution and fluorescent beads with known spectra. The system is further demonstrated in quantifying spectra from 3D resolved features in biological specimens. The system has demonstrated depth resolution of 1.8 μm and spectral resolution of 7 nm respectively. PMID:25360367

  6. Dual waveband compact catadioptric imaging spectrometer

    Science.gov (United States)

    Chrisp, Michael P.

    2012-12-25

    A catadioptric dual waveband imaging spectrometer that covers the visible through short-wave infrared, and the midwave infrared spectral regions, dispersing the visible through shortwave infrared with a zinc selenide grating and midwave infrared with a sapphire prism. The grating and prism are at the cold stop position, enabling the pupil to be split between them. The spectra for both wavebands are focused onto the relevant sections of a single dual waveband detector. Spatial keystone distortion is controlled to less than one tenth of a pixel over the full wavelength range, facilitating the matching of the spectra in the midwave infrared with the shorter wavelength region.

  7. Advanced astigmatism-corrected tandem Wadsworth mounting for small-scale spectral broadband imaging spectrometer.

    Science.gov (United States)

    Lei, Yu; Lin, Guan-yu

    2013-01-01

    Tandem gratings of double-dispersion mount make it possible to design an imaging spectrometer for the weak light observation with high spatial resolution, high spectral resolution, and high optical transmission efficiency. The traditional tandem Wadsworth mounting is originally designed to match the coaxial telescope and large-scale imaging spectrometer. When it is used to connect the off-axis telescope such as off-axis parabolic mirror, it presents lower imaging quality than to connect the coaxial telescope. It may also introduce interference among the detector and the optical elements as it is applied to the short focal length and small-scale spectrometer in a close volume by satellite. An advanced tandem Wadsworth mounting has been investigated to deal with the situation. The Wadsworth astigmatism-corrected mounting condition for which is expressed as the distance between the second concave grating and the imaging plane is calculated. Then the optimum arrangement for the first plane grating and the second concave grating, which make the anterior Wadsworth condition fulfilling each wavelength, is analyzed by the geometric and first order differential calculation. These two arrangements comprise the advanced Wadsworth mounting condition. The spectral resolution has also been calculated by these conditions. An example designed by the optimum theory proves that the advanced tandem Wadsworth mounting performs excellently in spectral broadband.

  8. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  9. Cylindrical Crystal Imaging Spectrometer (CCIS) for cosmic X-ray spectroscopy

    Science.gov (United States)

    Schnopper, H. W.; Taylor, P. O.

    1981-01-01

    A "stigmatic" focusing, Bragg crystal spectrometer was developed and used for high spectral resolution X-ray emission line diagnostics on hot laboratory plasmas. The concept be applied at the focal plane of an orbiting X-ray telescope where it offers several advantages over conventional spectrometers, i.e., mechanical simplicity, high resolving power and sensitivity, simultaneous measurement of an extended segment of spectrum, and good imaging properties. The instrument features a simple, unambiguous, non-scanning spectrum readout that is not adversely affected by either spacecraft pointing error or source extent. The performance of the instrument is estimated in the context of the Advanced X-Ray Astrophysical Facility mission.

  10. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  11. Imaging of gamma rays with the WINKLER high-resolution germanium spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, T.R.; Hamilton, T.W.; Hawley, J.D.; Kilner, J.R.; Murphy, M.J.; Nakano, G.H. (Luckheed Palo Alto Research Lab., Palo Alto, CA (US))

    1990-06-01

    The WINKLER spectrometer is a matrix of nine high-purity {ital n}-type germanium detectors developed for astrophysical observations and terrestrial radiation monitoring. The spectrometer has been fitted with a set of modulation collimator grids designed for imaging hard x-ray and gamma-ray sources by the Mertz, Nakano, and Kilner method. This technique employs a pair of gridded collimators in front of each detector with the number of grid bars varying from one to {ital N}, where {ital N} is the number of detectors. When the collimator pairs are rotated through a full 360-degree angular range, the detector signals provide the information for a two-dimensional band-limited Fourier reconstruction of order {ital N}. Tests of the spectrometer with single and multiple point sources as well as continuous source distributions are reported.

  12. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  13. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  14. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  15. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  16. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  17. Responsivity calibration of the extreme ultraviolet spectrometer in the range of 175-435 Å

    Directory of Open Access Journals (Sweden)

    B. Tu

    2017-04-01

    Full Text Available We reported the relative responsivity calibration of the grazing-incidence flat-field EUV spectrometer between 175 and 435 Å by means of two methods. The first method is implemented by measuring the diffraction efficiency of the grating with synchrotron radiation light source. Considering the transmission efficiency and quantum efficiency of the other optical components in the spectrometer, the total responsivity was then obtained. The second one was carried out by measuring line emissions from C3+, N4+ and O3+ ions at Shanghai high temperature super conductor electron beam ion trap (SH-HtscEBIT. The EUV spectra were also simulated theoretically via a collisional radiative model. In the calculation, the second-order relativistic many-body perturbation theory approach based on the flexible atomic code was used to calculate the energy levels and transition rates; the close-coupling R-matrix approach and relativistic distorted wave method were utilized to calculate the collision strength of electron impact excitation. In comparison with the spectroscopic measurements at EBIT device, the differences between the measured and simulated relative line intensities were obtained. The responsivity calibration for the spectrometer was then achieved by a 3rd degree polynomial function fitting. Our measurement shows that the responsivity between 175 and 435 Å varies by factor of ∼ 46. The two results of calibration demonstrated a consistency within an average deviation of 24%. In addition, an evaluation of our calculations on C iv, N v and O iv line emissions in this wavelength region was given.

  18. A Ring Imaging Cerenkov detector for the CERN OMEGA spectrometer

    International Nuclear Information System (INIS)

    Apsimon, R.J.; Cowell, J.; Flower, P.S.

    1984-12-01

    A large acceptance Ring Imaging Cerenkov detector has been constructed for use at the CERN Omega Spectrometer. The design of the detector is discussed, with attention paid to its principal components, and preliminary results are given which show that the detector is capable of identifying pions and protons at 100 GeV/c. (author)

  19. Towards establishing compact imaging spectrometer standards

    Science.gov (United States)

    Slonecker, E. Terrence; Allen, David W.; Resmini, Ronald G.

    2016-01-01

    Remote sensing science is currently undergoing a tremendous expansion in the area of hyperspectral imaging (HSI) technology. Spurred largely by the explosive growth of Unmanned Aerial Vehicles (UAV), sometimes called Unmanned Aircraft Systems (UAS), or drones, HSI capabilities that once required access to one of only a handful of very specialized and expensive sensor systems are now miniaturized and widely available commercially. Small compact imaging spectrometers (CIS) now on the market offer a number of hyperspectral imaging capabilities in terms of spectral range and sampling. The potential uses of HSI/CIS on UAVs/UASs seem limitless. However, the rapid expansion of unmanned aircraft and small hyperspectral sensor capabilities has created a number of questions related to technological, legal, and operational capabilities. Lightweight sensor systems suitable for UAV platforms are being advertised in the trade literature at an ever-expanding rate with no standardization of system performance specifications or terms of reference. To address this issue, both the U.S. Geological Survey and the National Institute of Standards and Technology are eveloping draft standards to meet these issues. This paper presents the outline of a combined USGS/NIST cooperative strategy to develop and test a characterization methodology to meet the needs of a new and expanding UAV/CIS/HSI user community.

  20. Actinic imaging of native and programmed defects on a full-field mask

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K. A.; Fontaine, B. La; Tchikoulaeva, A.; Holfeld, C.

    2010-03-12

    We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through-focus imaging.

  1. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  2. Spherical grating spectrometers

    Science.gov (United States)

    O'Donoghue, Darragh; Clemens, J. Christopher

    2014-07-01

    We describe designs for spectrometers employing convex dispersers. The Offner spectrometer was the first such instrument; it has almost exclusively been employed on satellite platforms, and has had little impact on ground-based instruments. We have learned how to fabricate curved Volume Phase Holographic (VPH) gratings and, in contrast to the planar gratings of traditional spectrometers, describe how such devices can be used in optical/infrared spectrometers designed specifically for curved diffraction gratings. Volume Phase Holographic gratings are highly efficient compared to conventional surface relief gratings; they have become the disperser of choice in optical / NIR spectrometers. The advantage of spectrometers with curved VPH dispersers is the very small number of optical elements used (the simplest comprising a grating and a spherical mirror), as well as illumination of mirrors off axis, resulting in greater efficiency and reduction in size. We describe a "Half Offner" spectrometer, an even simpler version of the Offner spectrometer. We present an entirely novel design, the Spherical Transmission Grating Spectrometer (STGS), and discuss exemplary applications, including a design for a double-beam spectrometer without any requirement for a dichroic. This paradigm change in spectrometer design offers an alternative to all-refractive astronomical spectrometer designs, using expensive, fragile lens elements fabricated from CaF2 or even more exotic materials. The unobscured mirror layout avoids a major drawback of the previous generation of catadioptric spectrometer designs. We describe laboratory measurements of the efficiency and image quality of a curved VPH grating in a STGS design, demonstrating, simultaneously, efficiency comparable to planar VPH gratings along with good image quality. The stage is now set for construction of a prototype instrument with impressive performance.

  3. Calibrating the Regolith X-ray Imaging Spectrometer (REXIS)

    OpenAIRE

    McIntosh, Missy; Hong, Jaesub; Allen, Branden; Grindlay, Jonathan

    2014-01-01

    This paper describes the onboard calibration process of REXIS (the Regolith X-ray Imaging Spectrometer), an instrument on OSIRIS-REx. OSIRIS-REx, scheduled to be launched in 2016, is a planetary mission intending to return a regolith sample from a near Earth asteroid called Bennu. REXIS, a student-led collaboration between Harvard and MIT, is a soft X-ray (0.5-7.5 keV) coded-aperture telescope with four X-ray CCDs and a gold coated stainless steel mask. REXIS will measure the surface elementa...

  4. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  5. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  6. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  7. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    International Nuclear Information System (INIS)

    Chai, Kil-Byoung; Bellan, Paul M.

    2013-01-01

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10 6 frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs

  8. Extreme ultra-violet movie camera for imaging microsecond time scale magnetic reconnection

    Energy Technology Data Exchange (ETDEWEB)

    Chai, Kil-Byoung; Bellan, Paul M. [Applied Physics, Caltech, 1200 E. California Boulevard, Pasadena, California 91125 (United States)

    2013-12-15

    An ultra-fast extreme ultra-violet (EUV) movie camera has been developed for imaging magnetic reconnection in the Caltech spheromak/astrophysical jet experiment. The camera consists of a broadband Mo:Si multilayer mirror, a fast decaying YAG:Ce scintillator, a visible light block, and a high-speed visible light CCD camera. The camera can capture EUV images as fast as 3.3 × 10{sup 6} frames per second with 0.5 cm spatial resolution. The spectral range is from 20 eV to 60 eV. EUV images reveal strong, transient, highly localized bursts of EUV radiation when magnetic reconnection occurs.

  9. Imaging spectrometers for atmosphere monitoring

    Science.gov (United States)

    Reinert, Thido; Bovensmann, Heinrich; Münzenmayer, Ralf; Weiss, Stefan; Posselt, Winfried

    2017-11-01

    Atmospheric monitoring missions aim at products like O3, H2O, NO2, SO2, BrO, CH4, CO, CO2 as well as aerosols and cloud information. Depending on the application area (Ozone Monitoring, Green House Gas Monitoring, Tropospheric Composition and Air Quality, Chemistry Climate Interaction etc.) total or tropospheric columns as well as profile information is required. The user community of these data as well as their central requirements w.r.t. the payload aspects will be described. A large range of relevant passive instrument types is available, in particular imaging spectrometer, sounder and polarisation measuring systems in the UV-VIS, SWIR and TIR spectral range. Differences between instruments for dedicated missions are highlighted and evolution of requirements is explained, also in comparison with relevant existing instrumentation partly in orbit today. Aspects of technology roadmaps for instrument implementation as well as synergetic effects of instrument combinations and according mission scopes are discussed.

  10. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  11. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  12. Compact Micro-Imaging Spectrometer (CMIS): Investigation of Imaging Spectroscopy and Its Application to Mars Geology and Astrobiology

    Science.gov (United States)

    Staten, Paul W.

    2005-01-01

    Future missions to Mars will attempt to answer questions about Mars' geological and biological history. The goal of the CMIS project is to design, construct, and test a capable, multi-spectral micro-imaging spectrometer use in such missions. A breadboard instrument has been constructed with a micro-imaging camera and Several multi-wavelength LED illumination rings. Test samples have been chosen for their interest to spectroscopists, geologists and astrobiologists. Preliminary analysis has demonstrated the advantages of isotropic illumination and micro-imaging spectroscopy over spot spectroscopy.

  13. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  14. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  15. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  16. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  17. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  18. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  19. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  20. Mapping methane emissions using the airborne imaging spectrometer AVIRIS-NG

    Science.gov (United States)

    Thorpe, A. K.; Frankenberg, C.; Thompson, D. R.; Duren, R. M.; Bue, B. D.; Green, R. O.

    2017-12-01

    The next generation Airborne Visible/Infrared Imaging Spectrometer (AVIRIS-NG) has been used to survey large regions and map methane plumes with unambiguous identification of emission source locations. This capability is aided by real time detection and geolocation of gas plumes, permitting adaptive surveys and communication to ground teams for rapid follow up. We present results from AVIRIS-NG flight campaigns in Colorado, New Mexico, and California. Hundreds of plumes were observed, reflecting emissions from the energy sector that include hydraulic fracturing, gas processing plants, tanks, pumpjacks, and pipeline leaks. In some cases, plumes observed by AVIRIS-NG resulted in mitigation. Additional examples will be shown for methane from dairy lagoons, landfills, natural emissions, as well as carbon dioxide from power plants and refineries. We describe the unique capabilities of airborne imaging spectrometers to augment other measurement techniques by efficiently surveying key regions for methane point sources and supporting timely assessment and mitigation. We summarize the outlook for near- and longer-term monitoring capabilities including future satellite systems. Figure caption. AVIRIS-NG true color image subset with superimposed methane plume showing retrieved gas concentrations. Plume extends 200 m downwind of the southern edge of the well pad. Google Earth imagery with finer spatial resolution is also included (red box), indicating that tanks in the inset scene as the source of emissions. Five wells are located at the center of this well pad and all use horizontal drilling to produce mostly natural gas.

  1. First results from a 1-D imaging spectrometer using Ir TESs

    Energy Technology Data Exchange (ETDEWEB)

    Smith, S.J.Stephen J. E-mail: sts@star.le.ac.uk; Whitford, Chris; Fraser, George W.; Holland, Andrew D.; Goldie, David; Ashton, Terence J.R.; Limpenny, Robert J.; Stevenson, Tim

    2004-03-11

    We are investigating Transition-Edge Sensors (TESs) for future X-ray Astronomy missions. In this paper, we report the first X-ray results from a one-dimensional imaging spectrometer or Distributed Read-Out Imaging Device (DROID). The DROID consists of two Iridium TESs with transitions temperatures of {approx}172 mK, at the ends of a 250 {mu}m by 4.7 mm Gold absorber. The event position can be determined from pulse height and rise/fall time information. Initial results were obtained by reading one end of the DROID only.

  2. First results from a 1-D imaging spectrometer using Ir TESs

    International Nuclear Information System (INIS)

    Smith, S.J.Stephen J.; Whitford, Chris; Fraser, George W.; Holland, Andrew D.; Goldie, David; Ashton, Terence J.R.; Limpenny, Robert J.; Stevenson, Tim

    2004-01-01

    We are investigating Transition-Edge Sensors (TESs) for future X-ray Astronomy missions. In this paper, we report the first X-ray results from a one-dimensional imaging spectrometer or Distributed Read-Out Imaging Device (DROID). The DROID consists of two Iridium TESs with transitions temperatures of ∼172 mK, at the ends of a 250 μm by 4.7 mm Gold absorber. The event position can be determined from pulse height and rise/fall time information. Initial results were obtained by reading one end of the DROID only

  3. The design and performance of a velocity map imaging spectrometer for the study of molecular photoionisation dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Holland, D.M.P., E-mail: david.holland@stfc.ac.uk [Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom); Shaw, D.A. [Daresbury Laboratory, Daresbury, Warrington, Cheshire WA4 4AD (United Kingdom)

    2012-12-10

    Highlights: Black-Right-Pointing-Pointer Velocity map imaging spectrometer optimised for molecular photoionisation dynamics. Black-Right-Pointing-Pointer Kinetic energy distribution of O{sup +} fragments measured. Black-Right-Pointing-Pointer Effect of autoionisation on photoelectron vibrational populations studied. -- Abstract: The design, construction and performance of a velocity map imaging spectrometer for the study of molecular photoionisation dynamics is described. The spectrometer has been optimised for the efficient collection and detection of particles (electrons or positively charged ions) generated through the interaction of gas phase molecules with synchrotron radiation. A double Einzel lens, incorporated into the flight tube, enhances the collection efficiency of energetic particles. Computer modelling has been used to trace the trajectories of charged particles through the spectrometer and to assess the image quality. A time and position sensitive delay-line detector is used to record the images. Results from two experimental studies are presented to illustrate the capabilities of the spectrometer. In the first, the effect of electronic autoionisation on the vibrationally resolved photoelectron branching ratios of the N{sub 2}{sup +} X {sup 2}{Sigma}{sub g}{sup +} state has been investigated in an excitation range where prominent structure due to Rydberg states occurs in the ion yield curve. The results show that autoionisation leads to rotational branch populations that differ from those observed in direct, non-resonant, photoionisation. In the second, the kinetic energy distribution and the angular distribution of O{sup +} fragments formed in the dissociative photoionisation of molecular oxygen have been measured. The timing properties of the detector have allowed O{sup +} fragments to be separated from O{sub 2}{sup +} parent ions using time-of-flight techniques.

  4. A rapid method for creating qualitative images indicative of thick oil emulsion on the ocean's surface from imaging spectrometer data

    Science.gov (United States)

    Kokaly, Raymond F.; Hoefen, Todd M.; Livo, K. Eric; Swayze, Gregg A.; Leifer, Ira; McCubbin, Ian B.; Eastwood, Michael L.; Green, Robert O.; Lundeen, Sarah R.; Sarture, Charles M.; Steele, Denis; Ryan, Thomas; Bradley, Eliza S.; Roberts, Dar A.; ,

    2010-01-01

    This report describes a method to create color-composite images indicative of thick oil:water emulsions on the surface of clear, deep ocean water by using normalized difference ratios derived from remotely sensed data collected by an imaging spectrometer. The spectral bands used in the normalized difference ratios are located in wavelength regions where the spectra of thick oil:water emulsions on the ocean's surface have a distinct shape compared to clear water and clouds. In contrast to quantitative analyses, which require rigorous conversion to reflectance, the method described is easily computed and can be applied rapidly to radiance data or data that have been atmospherically corrected or ground-calibrated to reflectance. Examples are shown of the method applied to Airborne Visible/Infrared Imaging Spectrometer data collected May 17 and May 19, 2010, over the oil spill from the Deepwater Horizon offshore oil drilling platform in the Gulf of Mexico.

  5. Two wide-angle imaging neutral-atom spectrometers (TWINS)

    International Nuclear Information System (INIS)

    McComas, D.J.; Blake, B.; Burch, J.

    1998-01-01

    Two Wide-angle Imaging Neutral-atom Spectrometers (TWINS) is a revolutionary new mission designed to stereoscopically image the magnetosphere in charge exchange neutral atoms for the first time. The authors propose to fly two identical TWINS instruments as a mission of opportunity on two widely-spaced high-altitude, high-inclination US Government spacecraft. Because the spacecraft are funded independently, TWINS can provide a vast quantity of high priority science observations (as identified in an ongoing new missions concept study and the Sun-Earth Connections Roadmap) at a small fraction of the cost of a dedicated mission. Because stereo observations of the near-Earth space environs will provide a particularly graphic means for visualizing the magnetosphere in action, and because of the dedication and commitment of the investigator team to the principles of carrying space science to the broader audience, TWINS will also be an outstanding tool for public education and outreach

  6. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  7. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  8. Beam-foil study of neon in the EUV with foils of carbon, silver and gold

    International Nuclear Information System (INIS)

    Demarest, J.A.; Watson, R.L.; Texas A and M Univ., College Station

    1988-01-01

    A beam-foil study of 40 MeV neon was conducted in the EUV with a 1-meter grazing incidence spectrometer configured with a position sensitive microchannel plate detector. A number of new lines of Ne IX, mainly from transitions to n = 3 levels, were detected in the wavelength region covering 50-350 A. Comparison of the spectra obtained using the different foils revealed that the average charge state of the neon projectiles was nearly one unit higher with carbon than with either of the two metals. Measurements of line intensities versus distance from the foils showed that cascade contributions were greatly reduced for the metals. It was also found that n = 3 states of low l were overpopulated relative to a statistical distribution, irrespective of the foil material. (orig.)

  9. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  10. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  11. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  12. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  13. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  14. Prototype development and field measurements of high etendue spatial heterodyne imaging spectrometer

    Science.gov (United States)

    Cai, Qisheng; Xiangli, Bin; Huang, Min; Han, Wei; Pei, Linlin; Bu, Meixia

    2018-03-01

    High etendue spatial heterodyne imaging spectrometer (HESHIS) is a new pushbroom Fourier transform hyperspectral imager with no moving parts. It is based on a Sagnac interferometer combined with a pair of parallel gratings. In this paper, the basic principle of HESHIS is reviewed and the first prototype of HESHIS is designed and developed. The spectral band of this prototype is designed at O2-A band (757 nm to 777 nm) and the average spectral resolution is 0.04 nm. Using the prototype, the pushbroom imaging experiments are carried out and the original interference images are obtained. The spectral data cube is generated using spectrum reconstruction method and high-resolution spectra are achieved.

  15. The monochromatic imaging mode of a RITA-type neutron spectrometer

    International Nuclear Information System (INIS)

    Bahl, C.R.H.; Andersen, P.; Klausen, S.N.; Lefmann, K.

    2004-01-01

    The imaging monochromatic mode of a neutron spectrometer with a multi-bladed RITA analyser system is so far unexplored. We present analytical calculations that define the mode. It is shown that the mode can be realised for PG (0 0 2) analyser crystals, from incident energies of about 3.2 meV and up, allowing the important cases of 3.7, 5.0 and 13.7 meV. Due to beam divergence, the neutron rays from neighbouring analyser blades are found to overlap slightly. Hence, the optimal use of the monochromatic imaging mode would be found by employing an adjustable radial collimator to limit the spread of the ray from each analyser blade

  16. The monochromatic imaging mode of a RITA-type neutron spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Bahl, C.R.H. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark) and Department of Physics, Technical University of Denmark, DK-2800 Lyngby (Denmark)]. E-mail: christian.bahl@risoe.dk; Andersen, P. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Niels Bohr Institute for Astronomy, Physics and Geophysics, University of Copenhagen, DK-2100 Copenhagen (Denmark); Klausen, S.N. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Lefmann, K. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark)

    2004-12-01

    The imaging monochromatic mode of a neutron spectrometer with a multi-bladed RITA analyser system is so far unexplored. We present analytical calculations that define the mode. It is shown that the mode can be realised for PG (0 0 2) analyser crystals, from incident energies of about 3.2 meV and up, allowing the important cases of 3.7, 5.0 and 13.7 meV. Due to beam divergence, the neutron rays from neighbouring analyser blades are found to overlap slightly. Hence, the optimal use of the monochromatic imaging mode would be found by employing an adjustable radial collimator to limit the spread of the ray from each analyser blade.

  17. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  18. Impurity analysis of NSTX using a transmission grating-based imaging spectrometer

    International Nuclear Information System (INIS)

    Kumar, Deepak; Finkenthal, Michael; Stutman, Dan; Clayton, Daniel J; Tritz, Kevin; Bell, Ronald E; Diallo, Ahmed; LeBlanc, Ben P; Podesta, Mario

    2012-01-01

    A transmission grating-based imaging spectrometer has recently been installed and operated on the National Spherical Torus Experiment (NSTX) at PPPL. This paper describes the spectral and spatial characteristics of impurity emission under different operating conditions of the experiment—neutral beam heated, ohmic heated and RF heated plasma. A typical spectrum from each scenario is analyzed to provide quantitative estimates of impurity fractions in the plasma. (paper)

  19. Modeling the Expected Performance of the REgolith X-ray Imaging Spectrometer (REXIS)

    OpenAIRE

    Inamdar, Niraj K.; Binzel, Richard P.; Hong, Jae Sub; Allen, Branden; Grindlay, Jonathan; Masterson, Rebecca A.

    2014-01-01

    OSIRIS-REx is the third spacecraft in the NASA New Frontiers Program and is planned for launch in 2016. OSIRIS-REx will orbit the near-Earth asteroid (101955) Bennu, characterize it, and return a sample of the asteroid's regolith back to Earth. The Regolith X-ray Imaging Spectrometer (REXIS) is an instrument on OSIRIS-REx designed and built by students at MIT and Harvard. The purpose of REXIS is to collect and image sun-induced fluorescent X-rays emitted by Bennu, thereby providing spectrosco...

  20. Design, manufacturing and alignment of a fluorescence imaging spectrometer based on refractive optics and a transmission grating

    Science.gov (United States)

    Lousberg, G. P.; Lemagne, F.; Gloesener, P.; Flebus, C.; Rougelot, S.; Coatantiec, C.; Harnisch, B.

    2017-11-01

    In the framework of the Fluorescence Explorer (FLEX) phase A/B1 study, an elegant breadboard (EBB) of an imaging spectrometer is designed, manufactured and aligned by AMOS, with Airbus Defence&Space as the prime Contractor of the study. The FLEX mission is one of the two candidates of the 8th Earth Explorer mission. The main constituting instrument of the FLEX mission is an imaging spectrometer observing vegetation fluorescence and reflectance with a high- and a low-resolution channels in the 500 nm -780 nm band. As part of the system feasibility study of the mission, a breadboard of the high-resolution channel of the instrument is designed and manufactured with a high representativeness of a future flight concept. The high-resolution channel is referred to as FIMAS (Fluorescence IMAging Spectrometer). The main purpose of the EBB is to demonstrate (1) the manufacturability of the instrument and (2) the compliance of the optical performances with respect to the science requirements (including spatial and spectral resolution and stray-light).

  1. Reconnaissance Imaging Spectrometer for Mars CRISM Data Analysis

    Science.gov (United States)

    Frink, K.; Hayden, D.; Lecompte, D.

    2009-05-01

    The Compact Reconnaissance Imaging Spectrometer for Mars CRISM (CRISM) carried aboard the Mars Reconnaissance Orbiter (MRO), is the first visible-infrared spectrometer to fly on a NASA Mars mission. CRISM scientists are using the instrument to look for the residue of minerals that form in the presence of water: the 'fingerprints' left by evaporated hot springs, thermal vents, lakes or ponds. With unprecedented clarity, CRISM is mapping regions on the Martian surface at scales as small as 60 feet (about 18 meters) across, when the spacecraft is 186 miles (300 kilometers) above the planet. CRISM is reading 544 'colors' in reflected sunlight to detect certain minerals on the surface, including signature traces of past water. CRISM alone will generate more than 10 terabytes of data, enough to fill more than 15,000 compact discs. Given that quantity of data being returned by MRO-CRISM, this project partners with Johns Hopkins University (JHU) Applied Physics Laboratory (APL) scientists of the CRISM team to assist in the data analysis process. The CRISM operations team has prototyped and will provide the necessary software analysis tools. In addition, the CRISM operations team will provide reduced data volume representations of the data as PNG files, accessible via a web interface without recourse to specialized user tools. The web interface allows me to recommend repeating certain of the CRISM observations as survey results indicate, and to enter notes on the features present in the images. After analysis of a small percentage of CRISM observations, APL scientists concluded that their efforts would be greatly facilitated by adding a preliminary survey to evaluate the overall characteristics and quality of the CRISM data. The first-look should increase the efficiency and speed of their data analysis efforts. This project provides first-look assessments of the data quality while noting features of interest likely to need further study or additional CRISM observations. The

  2. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  3. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  4. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  5. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  6. Miniaturisation of imaging spectrometer for planetary exploration

    Science.gov (United States)

    Drossart, Pierre; Sémery, Alain; Réess, Jean-Michel; Combes, Michel

    2017-11-01

    Future planetary exploration on telluric or giant planets will need a new kind of instrumentation combining imaging and spectroscopy at high spectral resolution to achieve new scientific measurements, in particular for atmospheric studies in nadir configuration. We present here a study of a Fourier Transform heterodyne spectrometer, which can achieve these objectives, in the visible or infrared. The system is composed of a Michelson interferometer, whose mirrors have been replaced by gratings, a configuration studied in the early days of Fourier Transform spectroscopy, but only recently reused for space instrumentation, with the availability of large infrared mosaics. A complete study of an instrument is underway, with optical and electronic tests, as well as data processing analysis. This instrument will be proposed for future planetary missions, including ESA/Bepi Colombo Mercury Planetary Orbiter or Earth orbiting platforms.

  7. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  8. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  9. Implementation of an Imaging Spectrometer for Localization and Identification of Radioactive Sources

    International Nuclear Information System (INIS)

    Hermine, Lemaire; Carrel, Frederick; Gmar, Mehdi; Menesguen Yves; Normand, Stephane; Schoepff, Vincent; Abou-Khalil, Roger; Amgarou, Khalil; Menaa, Nabil; Tebug, Timi; Angelique, Jean-Claude; Bonnet, Florent; De-Toro, Daniel; Giarmana, Olivier; Patoz, Audrey; Talent, Philippe

    2013-06-01

    Spatial localization of radioactive sources is currently a main issue interesting nuclear industry as well as homeland security applications, and can be achieved using gamma cameras. For several years, CEA LIST has been designing a new system, called GAMPIX, with improved sensitivity, portability and ease of use. The main remaining limitation is the lack of spectrometric information, preventing radioactive materials identification. This article describes the development of an imaging spectrometer based on the GAMPIX technology. Experimental tests have been carried out according to both spectrometric methods enabled by the pixelated Timepix readout chip used in the GAMPIX gamma camera. The first method is based on the size of the impacts produced by a gamma-ray energy deposition in the detection matrix. The second one uses the Time over Threshold (ToT) mode of the Timepix chip and deals with time spent by pulses generated by charge preamplifiers over a user-specified threshold. Both energy resolution and sensitivity studies proved the superiority of the ToT approach that will consequently be further explored. Energy calibration, tests of several pixel sizes and use of the Medipix3 readout chip are tracks to improve performances of the newly implemented imaging spectrometer. (authors)

  10. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  11. Gaseous effluent monitoring and identification using an imaging Fourier transform spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Carter, M.R.; Bennett, C.L.; Fields, D.J.; Hernandez, J.

    1993-10-01

    We are developing an imaging Fourier transform spectrometer for chemical effluent monitoring. The system consists of a 2-D infrared imaging array in the focal plane of a Michelson interferometer. Individual images are coordinated with the positioning of a moving mirror in the Michelson interferometer. A three dimensional data cube with two spatial dimensions and one interferogram dimension is then Fourier transformed to produce a hyperspectral data cube with one spectral dimension and two spatial dimensions. The spectral range of the instrument is determined by the choice of optical components and the spectral range of the focal plane array. Measurements in the near UV, visible, near IR, and mid-IR ranges are possible with the existing instrument. Gaseous effluent monitoring and identification measurements will be primarily in the ``fingerprint`` region of the spectrum, ({lambda} = 8 to 12 {mu}m). Initial measurements of effluent using this imaging interferometer in the mid-IR will be presented.

  12. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  13. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  14. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  15. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  16. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  17. ChiMS: Open-source instrument control software platform on LabVIEW for imaging/depth profiling mass spectrometers.

    Science.gov (United States)

    Cui, Yang; Hanley, Luke

    2015-06-01

    ChiMS is an open-source data acquisition and control software program written within LabVIEW for high speed imaging and depth profiling mass spectrometers. ChiMS can also transfer large datasets from a digitizer to computer memory at high repetition rate, save data to hard disk at high throughput, and perform high speed data processing. The data acquisition mode generally simulates a digital oscilloscope, but with peripheral devices integrated for control as well as advanced data sorting and processing capabilities. Customized user-designed experiments can be easily written based on several included templates. ChiMS is additionally well suited to non-laser based mass spectrometers imaging and various other experiments in laser physics, physical chemistry, and surface science.

  18. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  19. Surface mineral maps of Afghanistan derived from HyMap imaging spectrometer data, version 2

    Science.gov (United States)

    Kokaly, Raymond F.; King, Trude V.V.; Hoefen, Todd M.

    2013-01-01

    This report presents a new version of surface mineral maps derived from HyMap imaging spectrometer data collected over Afghanistan in the fall of 2007. This report also describes the processing steps applied to the imaging spectrometer data. The 218 individual flight lines composing the Afghanistan dataset, covering more than 438,000 square kilometers, were georeferenced to a mosaic of orthorectified Landsat images. The HyMap data were converted from radiance to reflectance using a radiative transfer program in combination with ground-calibration sites and a network of cross-cutting calibration flight lines. The U.S. Geological Survey Material Identification and Characterization Algorithm (MICA) was used to generate two thematic maps of surface minerals: a map of iron-bearing minerals and other materials, which have their primary absorption features at the shorter wavelengths of the reflected solar wavelength range, and a map of carbonates, phyllosilicates, sulfates, altered minerals, and other materials, which have their primary absorption features at the longer wavelengths of the reflected solar wavelength range. In contrast to the original version, version 2 of these maps is provided at full resolution of 23-meter pixel size. The thematic maps, MICA summary images, and the material fit and depth images are distributed in digital files linked to this report, in a format readable by remote sensing software and Geographic Information Systems (GIS). The digital files can be downloaded from http://pubs.usgs.gov/ds/787/downloads/.

  20. The Airborne Visible / Infrared Imaging Spectrometer AVIS: Design, Characterization and Calibration

    Directory of Open Access Journals (Sweden)

    Wolfram Mauser

    2007-09-01

    Full Text Available The Airborne Visible / Infrared imaging Spectrometer AVIS is a hyperspectralimager designed for environmental monitoring purposes. The sensor, which wasconstructed entirely from commercially available components, has been successfullydeployed during several experiments between 1999 and 2007. We describe the instrumentdesign and present the results of laboratory characterization and calibration of the system’ssecond generation, AVIS-2, which is currently being operated. The processing of the datais described and examples of remote sensing reflectance data are presented.

  1. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  2. Regolith X-Ray Imaging Spectrometer (REXIS) Aboard the OSIRIS-REx Asteroid Sample Return Mission

    Science.gov (United States)

    Masterson, R. A.; Chodas, M.; Bayley, L.; Allen, B.; Hong, J.; Biswas, P.; McMenamin, C.; Stout, K.; Bokhour, E.; Bralower, H.; Carte, D.; Chen, S.; Jones, M.; Kissel, S.; Schmidt, F.; Smith, M.; Sondecker, G.; Lim, L. F.; Lauretta, D. S.; Grindlay, J. E.; Binzel, R. P.

    2018-02-01

    The Regolith X-ray Imaging Spectrometer (REXIS) is the student collaboration experiment proposed and built by an MIT-Harvard team, launched aboard NASA's OSIRIS-REx asteroid sample return mission. REXIS complements the scientific investigations of other OSIRIS-REx instruments by determining the relative abundances of key elements present on the asteroid's surface by measuring the X-ray fluorescence spectrum (stimulated by the natural solar X-ray flux) over the range of energies 0.5 to 7 keV. REXIS consists of two components: a main imaging spectrometer with a coded aperture mask and a separate solar X-ray monitor to account for the Sun's variability. In addition to element abundance ratios (relative to Si) pinpointing the asteroid's most likely meteorite association, REXIS also maps elemental abundance variability across the asteroid's surface using the asteroid's rotation as well as the spacecraft's orbital motion. Image reconstruction at the highest resolution is facilitated by the coded aperture mask. Through this operation, REXIS will be the first application of X-ray coded aperture imaging to planetary surface mapping, making this student-built instrument a pathfinder toward future planetary exploration. To date, 60 students at the undergraduate and graduate levels have been involved with the REXIS project, with the hands-on experience translating to a dozen Master's and Ph.D. theses and other student publications.

  3. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  4. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  5. SVD vs PCA: Comparison of Performance in an Imaging Spectrometer

    Directory of Open Access Journals (Sweden)

    Wilma Oblefias

    2004-12-01

    Full Text Available The calculation of basis spectra from a spectral library is an important prerequisite of any compact imaging spectrometer. In this paper, we compare the basis spectra computed by singular-value decomposition (SVD and principal component analysis (PCA in terms of estimation performance with respect to resolution, presence of noise, intensity variation, and quantization error. Results show that SVD is robust in intensity variation while PCA is not. However, PCA performs better with signals of low signal-to-noise ratio. No significant difference is seen between SVD and PCA in terms of resolution and quantization error.

  6. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  7. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  8. Time series study of EUV spicules observed by SUMER/SoHO

    Science.gov (United States)

    Xia, L. D.; Popescu, M. D.; Doyle, J. G.; Giannikakis, J.

    2005-08-01

    Here we study the dynamic properties of EUV spicules seen at the solar limb. The selected data were obtained as time series in polar coronal holes by SUMER/SoHO. The short exposure time and the almost fixed position of the spectrometer's slit allow the analysis of spicule properties such as occurrence, lifetime and Doppler velocity. Our data reveal that spicules occur repeatedly at the same location with a birth rate of around 0.16/min as estimated at 10´´ above the limb and a lifetime ranging from 15 down to ≈3 min. We are able to see some spicules showing a process of “falling after rising” indicated by the sudden change of the Doppler velocity sign. A periodicity of ≈5 min is sometimes discernible in their occurrence. Most spicules have a height between 10´´ and 20´´ above the limb. Some can stretch up to 40´´; these “long macro-spicules” seem to be comprised of a group of high spicules. Some of them have an obvious periodicity in the radiance of ≈5 min.

  9. Smoke, Clouds and Radiation Brazil NASA ER-2 Moderate Resolution Imaging Spectrometer (MODIS) Airborne Simulator (MAS) Data

    Data.gov (United States)

    National Aeronautics and Space Administration — SCARB_ER2_MAS data are Smoke, Clouds and Radiation Brazil (SCARB) NASA ER2 Moderate Resolution Imaging Spectrometer (MODIS) Airborne Simulator (MAS)...

  10. JIRAM, the image spectrometer in the near infrared on board the Juno mission to Jupiter.

    Science.gov (United States)

    Adriani, Alberto; Coradini, Angioletta; Filacchione, Gianrico; Lunine, Jonathan I; Bini, Alessandro; Pasqui, Claudio; Calamai, Luciano; Colosimo, Fedele; Dinelli, Bianca M; Grassi, Davide; Magni, Gianfranco; Moriconi, Maria L; Orosei, Roberto

    2008-06-01

    The Jovian InfraRed Auroral Mapper (JIRAM) has been accepted by NASA for inclusion in the New Frontiers mission "Juno," which will launch in August 2011. JIRAM will explore the dynamics and the chemistry of Jupiter's auroral regions by high-contrast imaging and spectroscopy. It will also analyze jovian hot spots to determine their vertical structure and infer possible mechanisms for their formation. JIRAM will sound the jovian meteorological layer to map moist convection and determine water abundance and other constituents at depths that correspond to several bars pressure. JIRAM is equipped with a single telescope that accommodates both an infrared camera and a spectrometer to facilitate a large observational flexibility in obtaining simultaneous images in the L and M bands with the spectral radiance over the central zone of the images. Moreover, JIRAM will be able to perform spectral imaging of the planet in the 2.0-5.0 microm interval of wavelengths with a spectral resolution better than 10 nm. Instrument design, modes, and observation strategy will be optimized for operations onboard a spinning satellite in polar orbit around Jupiter. The JIRAM heritage comes from Italian-made, visual-infrared imaging spectrometers dedicated to planetary exploration, such as VIMS-V on Cassini, VIRTIS on Rosetta and Venus Express, and VIR-MS on the Dawn mission.

  11. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  12. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  13. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  14. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  15. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  16. Use of UAV-Borne Spectrometer for Land Cover Classification

    Directory of Open Access Journals (Sweden)

    Sowmya Natesan

    2018-04-01

    Full Text Available Unmanned aerial vehicles (UAV are being used for low altitude remote sensing for thematic land classification using visible light and multi-spectral sensors. The objective of this work was to investigate the use of UAV equipped with a compact spectrometer for land cover classification. The UAV platform used was a DJI Flamewheel F550 hexacopter equipped with GPS and Inertial Measurement Unit (IMU navigation sensors, and a Raspberry Pi processor and camera module. The spectrometer used was the FLAME-NIR, a near-infrared spectrometer for hyperspectral measurements. RGB images and spectrometer data were captured simultaneously. As spectrometer data do not provide continuous terrain coverage, the locations of their ground elliptical footprints were determined from the bundle adjustment solution of the captured images. For each of the spectrometer ground ellipses, the land cover signature at the footprint location was determined to enable the characterization, identification, and classification of land cover elements. To attain a continuous land cover classification map, spatial interpolation was carried out from the irregularly distributed labeled spectrometer points. The accuracy of the classification was assessed using spatial intersection with the object-based image classification performed using the RGB images. Results show that in homogeneous land cover, like water, the accuracy of classification is 78% and in mixed classes, like grass, trees and manmade features, the average accuracy is 50%, thus, indicating the contribution of hyperspectral measurements of low altitude UAV-borne spectrometers to improve land cover classification.

  17. Gamma-Ray Imaging Spectrometer (GRIS): a new balloon-borne experiment for gamma-ray line astronomy

    International Nuclear Information System (INIS)

    Teegarden, B.J.; Cline, T.L.; Gehrels, N.; Porreca, G.; Tueller, J.; Leventhal, M.; Huters, A.F.; Maccallum, C.J.; Stang, P.D.; Sandia Labs., Albuquerque, NM)

    1985-01-01

    High resolution gamma-ray spectroscopy is a relatively new field that holds great promise for further understanding of high energy astrophysical processes. When the high resolution gamma-ray spectrometer (GRSE) was removed from the GRO payload, a balloon program was initiated to permit continued development and improvement of instrumentation in this field, as well as continued scientific observations. The Gamma-Ray Imaging Spectrometer (GRIS) is one of the experiments selected as part of this program. The instrument contains a number of new and innovative features that are expected to produce a significant improvement in source location accuracy and sensitivity over previous balloon and satellite experiments

  18. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  19. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  20. Large-format, high-speed, X-ray pnCCDs combined with electron and ion imaging spectrometers in a multipurpose chamber for experiments at 4th generation light sources

    International Nuclear Information System (INIS)

    Strueder, Lothar; Epp, Sascha; Rolles, Daniel; Hartmann, Robert; Holl, Peter; Lutz, Gerhard; Soltau, Heike; Eckart, Rouven; Reich, Christian; Heinzinger, Klaus; Thamm, Christian; Rudenko, Artem; Krasniqi, Faton; Kuehnel, Kai-Uwe; Bauer, Christian; Schroeter, Claus-Dieter; Moshammer, Robert; Techert, Simone; Miessner, Danilo; Porro, Matteo

    2010-01-01

    Fourth generation accelerator-based light sources, such as VUV and X-ray Free Electron Lasers (FEL), deliver ultra-brilliant (∼10 12 -10 13 photons per bunch) coherent radiation in femtosecond (∼10-100 fs) pulses and, thus, require novel focal plane instrumentation in order to fully exploit their unique capabilities. As an additional challenge for detection devices, existing (FLASH, Hamburg) and future FELs (LCLS, Menlo Park; SCSS, Hyogo and the European XFEL, Hamburg) cover a broad range of photon energies from the EUV to the X-ray regime with significantly different bandwidths and pulse structures reaching up to MHz micro-bunch repetition rates. Moreover, hundreds up to trillions of fragment particles, ions, electrons or scattered photons can emerge when a single light flash impinges on matter with intensities up to 10 22 W/cm 2 . In order to meet these challenges, the Max Planck Advanced Study Group (ASG) within the Center for Free Electron Laser Science (CFEL) has designed the CFEL-ASG MultiPurpose (CAMP) chamber. It is equipped with specially developed photon and charged particle detection devices dedicated to cover large solid-angles. A variety of different targets are supported, such as atomic, (aligned) molecular and cluster jets, particle injectors for bio-samples or fixed target arrangements. CAMP houses 4π solid-angle ion and electron momentum imaging spectrometers ('reaction microscope', REMI, or 'velocity map imaging', VMI) in a unique combination with novel, large-area, broadband (50 eV-25 keV), high-dynamic-range, single-photon-counting and imaging X-ray detectors based on the pnCCDs. This instrumentation allows a new class of coherent diffraction experiments in which both electron and ion emission from the target may be simultaneously monitored. This permits the investigation of dynamic processes in this new regime of ultra-intense, high-energy radiation-matter interaction. After an introduction into the salient features of the CAMP chamber and

  1. Set of instruments for solar EUV and soft X-ray monitoring onboard satellite Coronas-Photon

    Science.gov (United States)

    Kotov, Yury; Kochemasov, Alexey; Kuzin, Sergey; Kuznetsov, Vladimir; Sylwester, Janusz; Yurov, Vitaly

    Coronas-Photon mission is the third satellite of the Russian Coronas program on solar activity observation. The main goal of the "Coronas-Photon" is the study of solar hard electromagnetic radiation in the wide energy range from UV up to high energy gamma-radiation (2000MeV). Scientific payload for solar radiation observation consists of three types of instruments: Monitors (Natalya-2M, Konus-RF, RT-2, Penguin-M, BRM, PHOKA, Sphin-X, SOKOL spectral and timing measurements of full solar disk radiation have timing in flare/burst mode up to one msec. Instruments Natalya-2M, Konus-RF, RT-2 will cover the wide energy range of hard X-rays and soft gamma-rays (15keV to 2000MeV) and will together constitute the largest area detectors ever used for solar observations. Detectors of gamma-ray monitors are based on structured inorganic scintillators. For X-ray and EUV monitors the scintillation phoswich detectors, gas proportional counter, CdZnTe assembly and filter-covered Si-diodes are used. Telescope-spectrometer TESIS for imaging solar spectroscopy in X-rays has angular resolution up to 1arcsec in three spectral lines. Satellite platform and scientific payload is under construction to be launched in autumn 2008. Satellite orbit is circular with initial height 550km and inclination 82.5degrees. Accuracy of the spacecraft orientation to the Sun is better 3arcmin. In the report the capability of PHOKA, SphinX, SOKOL and TESIS as well as the observation program are described and discussed.

  2. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  3. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  4. Upgrades of imaging x-ray crystal spectrometers for high-resolution and high-temperature plasma diagnostics on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Lyu, B., E-mail: blu@ipp.ac.cn; Wang, F. D.; Fu, J.; Li, Y. Y. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui 230031 (China); Pan, X. Y.; Chen, J.; Wan, B. N. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui 230031 (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); Bitter, M.; Hill, K. W.; Delgado-Aparicio, L. F.; Pablant, N. [Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, New Jersey 08543-0451 (United States); Lee, S. G. [National Fusion Research Institute, 52 Eoeun-Dong, Yusung-Gu, Daejeon 305-333 (Korea, Republic of); Shi, Y. J. [School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China); WCI for Fusion Theory, National Fusion Research Institute, 52 Eoeun-Dong, Yusung-Gu, Daejeon 305-333 (Korea, Republic of); Ye, M. Y. [School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China)

    2014-11-15

    Upgrade of the imaging X-ray crystal spectrometers continues in order to fulfill the high-performance diagnostics requirements on EAST. For the tangential spectrometer, a new large pixelated two-dimensional detector was deployed on tokamaks for time-resolved X-ray imaging. This vacuum-compatible detector has an area of 83.8 × 325.3 mm{sup 2}, a framing rate over 150 Hz, and water-cooling capability for long-pulse discharges. To effectively extend the temperature limit, a double-crystal assembly was designed to replace the previous single crystals for He-like argon line measurement. The tangential spectrometer employed two crystal slices attached to a common substrate and part of He- and H-like Ar spectra could be recorded on the same detector when crystals were chosen to have similar Bragg angles. This setup cannot only extend the measurable Te up to 10 keV in the core region, but also extend the spatial coverage since He-like argon ions will be present in the outer plasma region. Similarly, crystal slices for He-like iron and argon spectra were adopted on the poloidal spectrometer. Wavelength calibration for absolute rotation velocity measurement will be studied using cadmium characteristic L-shell X-ray lines excited by plasma radiation. A Cd foil is placed before the crystal and can be inserted and retracted for in situ wavelength calibration. The Geant4 code was used to estimate X-ray fluorescence yield and optimize the thickness of the foil.

  5. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  6. Absolute calibration of a time-of-flight spectrometer and imaging plate for the characterization of laser-accelerated protons

    International Nuclear Information System (INIS)

    Choi, I W; Kim, C M; Sung, J H; Kim, I J; Yu, T J; Lee, S K; Jin, Y-Y; Pae, K H; Hafz, N; Lee, J

    2009-01-01

    A proton energy spectrometer system is composed of a time-of-flight spectrometer (TOFS) and a Thomson parabola spectrometer (TPS), and is used to characterize laser-accelerated protons. The TOFS detects protons with a plastic scintillator, and the TPS with a CR-39 or imaging plate (IP). The two spectrometers can operate simultaneously and give separate time-of-flight (TOF) and Thomson parabola (TP) data. We propose a method to calibrate the TOFS and IP by comparing the TOF data and the TP data taken with CR-39 and IP. The absolute response of the TOFS as a function of proton energy is calculated from the proton number distribution measured with CR-39. The sensitivity of IP to protons is obtained from the proton number distribution estimated with the calibrated TOFS. This method, based on the comparison of the simultaneously measured data, gives more reliable results when using laser-accelerated protons as a calibration source. The calibrated spectrometer system can be used to measure absolutely calibrated energy spectra for the optimization of laser-accelerated protons

  7. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  8. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  9. A Long-Term Dissipation of the EUV He ii (30.4 nm) Segmentation in Full-Disk Solar Images

    Science.gov (United States)

    Didkovsky, Leonid

    2018-06-01

    Some quiet-Sun days observed by the Atmospheric Imaging Assembly (AIA) on-board the Solar Dynamics Observatory (SDO) during the time interval in 2010 - 2017 were used to continue our previous analyses reported by Didkovsky and Gurman ( Solar Phys. 289, 153, 2014a) and Didkovsky, Wieman, and Korogodina ( Solar Phys. 292, 32, 2017). The analysis consists of determining and comparing spatial spectral ratios (spectral densities over some time interval) from spatial (segmentation-cell length) power spectra. The ratios were compared using modeled compatible spatial frequencies for spectra from the Extreme ultraviolet Imaging Telescope (EIT) on-board the Solar and Heliospheric Observatory (SOHO) and from AIA images. With the new AIA data added to the EIT data we analyzed previously, the whole time interval from 1996 to 2017 reported here is approximately the length of two "standard" solar cycles (SC). The spectral ratios of segmentation-cell dimension structures show a significant and steady increase with no detected indication of SC-related returns to the values that characterize the SC minima. This increase in spatial power at high spatial frequencies is interpreted as a dissipation of medium-size EUV network structures to smaller-size structures in the transition region. Each of the latest ratio changes for 2010 through 2017 spectra calculated for a number of consecutive short-term intervals has been converted into monthly mean ratio (MMR) changes. The MMR values demonstrate variable sign and magnitudes, thus confirming the solar nature of the changes. These changes do not follow a "typical" trend of instrumental degradation or a long-term activity profile from the He ii (30.4 nm) irradiance measured by the Extreme ultraviolet Spectrophotometer (ESP) either. The ESP is a channel of the Extreme ultraviolet Variability Experiment (EVE) on-board SDO.

  10. Knowing what we breathe: Sentinel 4: a geostationary imaging UVN spectrometer for air quality monitoring

    Science.gov (United States)

    Bazalgette Courrèges-Lacoste, G.; Sallusti, M.; Bulsa, G.; Bagnasco, G.; Gulde, S.; Kolm, M. G.; Smith, D. J.; Maurer, R.

    2017-09-01

    Sentinel-4 is an imaging UVN (UV-VIS-NIR) spectrometer, developed by Airbus DS under ESA contract in the frame of the joint EU/ESA COPERNICUS program. The mission objective is the operational monitoring of trace gas concentrations for atmospheric chemistry and climate applications - hence the motto of Sentinel-4 "Knowing what we breathe".

  11. A ring image Cerenkov detector for the CERN Omega Spectrometer

    International Nuclear Information System (INIS)

    Davenport, M.; Deol, R.S.; Flower, P.S.

    1983-05-01

    A development program has been undertaken to produce a large ring image Cerenkov detector (RICH) for use at the CERN Omega Spectrometer. A prototype Cerenkov counter has been constructed and successfully operated in a high energy particle beam, Cerenkov rings having been observed in an experimental time projection chamber (TPC) using the photoionising agents Triethylamine (TEA) and Tetrakis (dimethylamine) ethylene (TMAE). Systematic measurements have been made of the optical properties of window materials and reflecting surfaces in the vacuum ultraviolet region. Results of these tests are presented, and the design of the large detector based on these experiences together with Monte Carlo simulations of the events expected in the WA69 experiment, is discussed. (author)

  12. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  13. The aerogel Ring Imaging Cherenkov system at the Belle II spectrometer

    Science.gov (United States)

    Pestotnik, R.; Adachi, I.; Dolenec, R.; Hataya, K.; Iori, S.; Iwata, S.; Kakuno, H.; Kataura, R.; Kawai, H.; Kindo, H.; Kobayashi, T.; Korpar, S.; Križan, P.; Kumita, T.; Mrvar, M.; Nishida, S.; Ogawa, K.; Ogawa, S.; Šantelj, L.; Sumiyoshi, T.; Tabata, M.; Yonenaga, M.; Yusa, Y.

    2017-12-01

    In the forward end-cap of the Belle II spectrometer, a proximity focusing Ring Imaging Cherenkov counter with an aerogel radiator will be installed. The detector will occupy a limited space inside solenoid magnet with longitudinal field of 1.5 T. It will consist of a double layer aerogel radiator, an expansion volume and a photon detector. 420 Hamamatsu hybrid avalanche photo sensors with 144 channels each will be used to read out single Cherenkov photons with high efficiency. More than 60,000 analog signals will be digitized and processed in the front end electronics and send to the unified experiment data acquisition system. The detector components have been successfully produced and are now being installed in the spectrometer. Tested before on the bench, they are currently being installed in the mechanical frame. Part of the detector have been commissioned and connected to the acquisition system to register the cosmic ray particles. The first preliminary results are in accordance with previous expectations. We expect an excellent performance of the device which will allow at least a 4σ separation of pions from kaons in the experiment kinematic region from 0.5 GeV/c to 4 GeV/c.

  14. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  15. EUV lines observed with EIS/Hinode in a solar prominence

    Science.gov (United States)

    Labrosse, N.; Schmieder, B.; Heinzel, P.; Watanabe, T.

    2011-07-01

    Context. During a multi-wavelength observation campaign with Hinode and ground-based instruments, a solar prominence was observed for three consecutive days as it crossed the western limb of the Sun in April 2007. Aims: We report on observations obtained on 26 April 2007 using EIS (Extreme ultraviolet Imaging Spectrometer) on Hinode. They are analysed to provide a qualitative diagnostic of the plasma in different parts of the prominence. Methods: After correcting for instrumental effects, the rasters at different wavelengths are presented. Several regions within the same prominence are identified for further analysis. Selected profiles for lines with formation temperatures between log (T) = 4.7 and log (T) = 6.3, as well as their integrated intensities, are given. The profiles of coronal, transition region, and He ii lines are discussed. We pay special attention to the He ii line, which is blended with coronal lines. Results: Some quantitative results are obtained by analysing the line profiles. They confirm that depression in EUV lines can be interpreted in terms of two mechanisms: absorption of coronal radiation by the hydrogen and neutral helium resonance continua, and emissivity blocking. We present estimates of the He ii line integrated intensity in different parts of the prominence according to different scenarios for the relative contribution of absorption and emissivity blocking to the coronal lines blended with the He ii line. We estimate the contribution of the He ii 256.32 Å line to the He ii raster image to vary between ~44% and 70% of the raster's total intensity in the prominence according to the different models used to take into account the blending coronal lines. The inferred integrated intensities of the He ii 256 Å line are consistent with the theoretical intensities obtained with previous 1D non-LTE radiative transfer calculations, yielding a preliminary estimate of the central temperature of 8700 K, a central pressure of 0.33 dyn cm-2, and a

  16. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  17. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  18. First test results of the airborne dispersive pushbroom imaging spectrometer APEX

    Science.gov (United States)

    Meuleman, K.; Itten, K.; Schaepman, M.

    2009-04-01

    APEX, ESA-Prodex "Airborne Prism Experiment" comprises the development of an airborne dispersive pushbroom imaging spectrometer and has originally been designed as flexible hyperspectral mission simulator and calibrator for existing and upcoming or planned future space missions. The APEX project is co-funded by Switzerland and Belgium and built by a Belgian-Swiss industrial team under the prime RUAG Aerospace (CH), responsible for the total system and the mechanical components, OIP (Oudenaarde, BE) contributing the spectrometer, and Netcetera (Zurich, CH) being responsible for the electronics. RSL (University of Zurich, CH) acts as scientific PI together with the Co-PI VITO (Mol, BE). The APEX sensor is operating between 380 nm and 2500 nm in more than 300 freely configurable bands (up to 512 bands in full spectral mode), by means of two dispersive spectrometer channels. 1000 pixels across track and a total field of view of 28° define the ground pixel size (e.g. 2,5 m from 5000 m AGL). A stabilized platform (Leica PAV-30) reduces major geometric distortions due to aircraft instabilities while a GPS/IMU system (Applanix PosAV 410) measures continuously the sensors' position and orientation allowing direct georeferencing of the acquired data . The system is currently is phase D, the calibration and test phase, and first testflights have been performed on a Do-228 in cooperation of DLR while the acquired data is currently under evaluation. Discussions are ongoing to fly APEX on the new DLR High Altitude Research Aircraft (HALO) as well. The system is currently in phase D, the calibration and test phase, and will deliver first scientific data to users by mid 2009. The APEX processing and archiving facility (PAF) is hosted by VITO in the APEX Operations Center (AOC) at Mol, Belgium . A specific level 0-1 processing software module producing uniform, radiometrically calibrated data has been developed by RSL and is integrated into the PAF by VITO. An APEX Calibration

  19. A compact multichannel spectrometer for Thomson scatteringa)

    Science.gov (United States)

    Schoenbeck, N. L.; Schlossberg, D. J.; Dowd, A. S.; Fonck, R. J.; Winz, G. R.

    2012-10-01

    The availability of high-efficiency volume phase holographic (VPH) gratings and intensified CCD (ICCD) cameras have motivated a simplified, compact spectrometer for Thomson scattering detection. Measurements of Te VPH grating and measurements Te > 100 eV by a 2072 l/mm VPH grating. The spectrometer uses a fast-gated (˜2 ns) ICCD camera for detection. A Gen III image intensifier provides ˜45% quantum efficiency in the visible region. The total read noise of the image is reduced by on-chip binning of the CCD to match the 8 spatial channels and the 10 spectral bins on the camera. Three spectrometers provide a minimum of 12 spatial channels and 12 channels for background subtraction.

  20. A compact multichannel spectrometer for Thomson scattering

    International Nuclear Information System (INIS)

    Schoenbeck, N. L.; Schlossberg, D. J.; Dowd, A. S.; Fonck, R. J.; Winz, G. R.

    2012-01-01

    The availability of high-efficiency volume phase holographic (VPH) gratings and intensified CCD (ICCD) cameras have motivated a simplified, compact spectrometer for Thomson scattering detection. Measurements of T e e > 100 eV by a 2072 l/mm VPH grating. The spectrometer uses a fast-gated (∼2 ns) ICCD camera for detection. A Gen III image intensifier provides ∼45% quantum efficiency in the visible region. The total read noise of the image is reduced by on-chip binning of the CCD to match the 8 spatial channels and the 10 spectral bins on the camera. Three spectrometers provide a minimum of 12 spatial channels and 12 channels for background subtraction.

  1. A compact multichannel spectrometer for Thomson scattering.

    Science.gov (United States)

    Schoenbeck, N L; Schlossberg, D J; Dowd, A S; Fonck, R J; Winz, G R

    2012-10-01

    The availability of high-efficiency volume phase holographic (VPH) gratings and intensified CCD (ICCD) cameras have motivated a simplified, compact spectrometer for Thomson scattering detection. Measurements of T(e) VPH grating and measurements T(e) > 100 eV by a 2072 l∕mm VPH grating. The spectrometer uses a fast-gated (~2 ns) ICCD camera for detection. A Gen III image intensifier provides ~45% quantum efficiency in the visible region. The total read noise of the image is reduced by on-chip binning of the CCD to match the 8 spatial channels and the 10 spectral bins on the camera. Three spectrometers provide a minimum of 12 spatial channels and 12 channels for background subtraction.

  2. Gamma-Ray Imaging Spectrometer (GRIS) instrument and plans for serving SN 1987A

    International Nuclear Information System (INIS)

    Tueller, J.; Barthelmy, S.; Gehrels, N.; Teegarden, B.J.; Leventhal, M.; MacCallum, C.J.

    1988-01-01

    The Gamma-Ray Imaging Spectrometer (GRIS) is a powerful second-generation high-resolution gamma-ray spectrometer. It consists of an array of seven large (typically >200 cm 3 ) n-type Germanium detectors surrounded by a thick (15 m) NaI active shield. Its energy range is 0.02 to 10 MeV. A new detector segmentation technique will be employed to reduce the detector background. The β-decay background component, which is expected to be dominant in the 0.2--2 MeV range, will be suppressed by roughly a factor of 20. The 3σ GRIS sensitivity to a narrow Fe line at 847 keV (expected to be the most intense from a supernova) will be ∼2 x 10 -4 photons/cm 2 -s for an 8 hr observation of the LMC over Alice Springs, Australia with unsegmented detectors. The instrument in simplified form will be ready to observe SN 1987A in early 1988

  3. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  4. Exploiting a Transmission Grating Spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Ronald E. Bell

    2004-12-08

    The availability of compact transmission grating spectrometers now allows an attractive and economical alternative to the more familiar Czerny-Turner configuration for many high-temperature plasma applications. Higher throughput is obtained with short focal length refractive optics and stigmatic imaging. Many more spectra can be obtained with a single spectrometer since smaller, more densely packed optical input fibers can be used. Multiple input slits, along with a bandpass filter, can be used to maximize the number of spectra per detector, providing further economy. Curved slits can correct for the strong image curvature of the short focal length optics. Presented here are the governing grating equations for both standard and high-dispersion transmission gratings, defining dispersion, image curvature, and desired slit curvature, that can be used in the design of improved plasma diagnostics.

  5. Exploiting a Transmission Grating Spectrometer

    International Nuclear Information System (INIS)

    Bell, Ronald E.

    2004-01-01

    The availability of compact transmission grating spectrometers now allows an attractive and economical alternative to the more familiar Czerny-Turner configuration for many high-temperature plasma applications. Higher throughput is obtained with short focal length refractive optics and stigmatic imaging. Many more spectra can be obtained with a single spectrometer since smaller, more densely packed optical input fibers can be used. Multiple input slits, along with a bandpass filter, can be used to maximize the number of spectra per detector, providing further economy. Curved slits can correct for the strong image curvature of the short focal length optics. Presented here are the governing grating equations for both standard and high-dispersion transmission gratings, defining dispersion, image curvature, and desired slit curvature, that can be used in the design of improved plasma diagnostics

  6. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  7. Maximum Likelihood Compton Polarimetry with the Compton Spectrometer and Imager

    Energy Technology Data Exchange (ETDEWEB)

    Lowell, A. W.; Boggs, S. E; Chiu, C. L.; Kierans, C. A.; Sleator, C.; Tomsick, J. A.; Zoglauer, A. C. [Space Sciences Laboratory, University of California, Berkeley (United States); Chang, H.-K.; Tseng, C.-H.; Yang, C.-Y. [Institute of Astronomy, National Tsing Hua University, Taiwan (China); Jean, P.; Ballmoos, P. von [IRAP Toulouse (France); Lin, C.-H. [Institute of Physics, Academia Sinica, Taiwan (China); Amman, M. [Lawrence Berkeley National Laboratory (United States)

    2017-10-20

    Astrophysical polarization measurements in the soft gamma-ray band are becoming more feasible as detectors with high position and energy resolution are deployed. Previous work has shown that the minimum detectable polarization (MDP) of an ideal Compton polarimeter can be improved by ∼21% when an unbinned, maximum likelihood method (MLM) is used instead of the standard approach of fitting a sinusoid to a histogram of azimuthal scattering angles. Here we outline a procedure for implementing this maximum likelihood approach for real, nonideal polarimeters. As an example, we use the recent observation of GRB 160530A with the Compton Spectrometer and Imager. We find that the MDP for this observation is reduced by 20% when the MLM is used instead of the standard method.

  8. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  9. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  10. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  11. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  12. Discriminating Phytoplankton Functional Types (PFTs) in the Coastal Ocean Using the Inversion Algorithm Phydotax and Airborne Imaging Spectrometer Data

    Science.gov (United States)

    Palacios, Sherry L.; Schafer, Chris; Broughton, Jennifer; Guild, Liane S.; Kudela, Raphael M.

    2013-01-01

    There is a need in the Biological Oceanography community to discriminate among phytoplankton groups within the bulk chlorophyll pool to understand energy flow through ecosystems, to track the fate of carbon in the ocean, and to detect and monitor-for harmful algal blooms (HABs). The ocean color community has responded to this demand with the development of phytoplankton functional type (PFT) discrimination algorithms. These PFT algorithms fall into one of three categories depending on the science application: size-based, biogeochemical function, and taxonomy. The new PFT algorithm Phytoplankton Detection with Optics (PHYDOTax) is an inversion algorithm that discriminates taxon-specific biomass to differentiate among six taxa found in the California Current System: diatoms, dinoflagellates, haptophytes, chlorophytes, cryptophytes, and cyanophytes. PHYDOTax was developed and validated in Monterey Bay, CA for the high resolution imaging spectrometer, Spectroscopic Aerial Mapping System with On-board Navigation (SAMSON - 3.5 nm resolution). PHYDOTax exploits the high spectral resolution of an imaging spectrometer and the improved spatial resolution that airborne data provides for coastal areas. The objective of this study was to apply PHYDOTax to a relatively lower resolution imaging spectrometer to test the algorithm's sensitivity to atmospheric correction, to evaluate capability with other sensors, and to determine if down-sampling spectral resolution would degrade its ability to discriminate among phytoplankton taxa. This study is a part of the larger Hyperspectral Infrared Imager (HyspIRI) airborne simulation campaign which is collecting Airborne Visible/Infrared Imaging Spectrometer (AVIRIS) imagery aboard NASA's ER-2 aircraft during three seasons in each of two years over terrestrial and marine targets in California. Our aquatic component seeks to develop and test algorithms to retrieve water quality properties (e.g. HABs and river plumes) in both marine and in

  13. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  14. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  15. Martian spectral units derived from ISM imaging spectrometer data

    Science.gov (United States)

    Murchie, S.; Mustard, J.; Saylor, R.

    1993-01-01

    Based on results of the Viking mission, the soil layer of Mars has been thought to be fairly homogeneous and to consist of a mixture of as few as two components, a 'dark gray' basaltic material and a 'bright red' altered material. However, near-infrared reflectance spectra measured recently both telescopically and from spacecraft indicate compositional heterogeneity beyond what can be explained by just two components. In particular, data from the ISM imaging spectrometer, which observed much of the equatorial region at a spatial resolution of approximately 22 km, indicate spatial differences in the presence and abundance of Fe-containing phases, hydroxylated silicates, and H2O. The ISM data was used to define, characterize, and map soil 'units' based on their spectral properties. The spatial distribution of these 'units' were compared to morphologic, visible color, and thermal inertia features recognized in Viking data.

  16. In Situ Visible to Short Wavelength Imaging Spectroscopy with the Ultra Compact Imaging Spectrometer (UCIS): Case Studies from the Mars Exploration Rovers

    Science.gov (United States)

    Blaney, D.; Mouroulis, P.; Green, R.; Rodriguez, J.; Sellar, G.; Van Gorp, B.; Wilson, D.

    2011-01-01

    In Situ imaging spectroscopy provides a way to address complex questions of geological evolution for both aqueous and igneous processes by mapping mineral composition at the spatial scale of rocks and outcrops. Examination of locations studied by the Mars Exploration Rovers Spirit and Opportunity can provide examples of the potential utility and define the needed measurement requirements. A compact instrument is needed to be able to adequately address these science questions from a rover platform. The Ultra Compact Imaging Spectrometer (UCIS) is an instrument designed to address the science need and implementation constraints.

  17. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  18. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  19. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  20. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  1. Solar occultation images analysis using Zernike polynomials ­— an ALTIUS imaging spectrometer application

    Science.gov (United States)

    Dekemper, Emmanuel; Fussen, Didier; Loodts, Nicolas; Neefs, Eddy

    The ALTIUS (Atmospheric Limb Tracker for the Investigation of the Upcoming Stratosphere) instrument is a major project of the Belgian Institute for Space Aeronomy (BIRA-IASB) in Brussels, Belgium. It has been designed to profit from the benefits of the limb scattering ge-ometry (vertical resolution, global coverage,...), while providing better accuracy on the tangent height knowledge than classical "knee" methods used by scanning spectrometers. The optical concept is based on 3 AOTF's (UV-Vis-NIR) responsible for the instantaneous spectral filtering of the incoming image (complete FOV larger than 100km x 100km at tangent point), ranging from 250nm to 1800nm, with a moderate resolution of a few nm and a typical acquisition time of 1-10s per image. While the primary goal of the instrument is the measurement of ozone with a good vertical resolution, the ability to record full images of the limb can lead to other applications, like solar occultations. With a pixel FOV of 200rad, the full high-sun image is formed of 45x45 pixels, which is sufficient for pattern recognition using moments analysis for instance. The Zernike polynomials form a complete othogonal set of functions over the unit circle. It is well suited for images showing circular shape. Any such image can then be decomposed into a finite set of weighted polynomials, the weighting is called the moments. Due to atmospheric refraction, the sun shape is modified during apparent sunsets and sunrises. The sun appears more flattened which leads to a modification of its zernike moment description. A link between the pressure or the temperature profile (equivalent to air density through the perfect gas law and the hydrostatic equation) and the Zernike moments of a given image can then be made and used to retrieve these atmospheric parameters, with the advantage that the whole sun is used and not only central or edge pixels. Some retrievals will be performed for different conditions and the feasibility of the method

  2. The spectrometer/telescope for imaging X-rays on board the ESA Solar Orbiter spacecraft

    International Nuclear Information System (INIS)

    Krucker, S.; Benz, A.O.; Hurford, G.J.; Arnold, N.G.; Orleański, P.; Gröbelbauer, H.-P.; Casadei, D.; Kobler, S.; Iseli, L.; Wiehl, H.J.; Csillaghy, A.; Etesi, L.; Hochmuth, N.; Battaglia, M.; Bednarzik, M.; Resanovic, R.; Grimm, O.; Viertel, G.; Commichau, V.; Howard, A.

    2013-01-01

    Solar Orbiter is a Sun-observing mission led by the European Space Agency, addressing the interaction between the Sun and the heliosphere. It will carry ten instruments, among them the X-ray imaging spectrometer STIX. STIX will determine the intensity, spectrum, timing, and location of thermal and accelerated electrons near the Sun through their bremsstrahlung X-ray emission. This report gives a brief overview of the STIX scientific goals and covers in more detail the instrument design and challenges

  3. A compact multichannel spectrometer for Thomson scattering

    Energy Technology Data Exchange (ETDEWEB)

    Schoenbeck, N. L.; Schlossberg, D. J.; Dowd, A. S.; Fonck, R. J.; Winz, G. R. [Department of Engineering Physics, University of Wisconsin, Madison, Wisconsin 53706 (United States)

    2012-10-15

    The availability of high-efficiency volume phase holographic (VPH) gratings and intensified CCD (ICCD) cameras have motivated a simplified, compact spectrometer for Thomson scattering detection. Measurements of T{sub e} < 100 eV are achieved by a 2971 l/mm VPH grating and measurements T{sub e} > 100 eV by a 2072 l/mm VPH grating. The spectrometer uses a fast-gated ({approx}2 ns) ICCD camera for detection. A Gen III image intensifier provides {approx}45% quantum efficiency in the visible region. The total read noise of the image is reduced by on-chip binning of the CCD to match the 8 spatial channels and the 10 spectral bins on the camera. Three spectrometers provide a minimum of 12 spatial channels and 12 channels for background subtraction.

  4. A mobile device-based imaging spectrometer for environmental monitoring by attaching a lightweight small module to a commercial digital camera.

    Science.gov (United States)

    Cai, Fuhong; Lu, Wen; Shi, Wuxiong; He, Sailing

    2017-11-15

    Spatially-explicit data are essential for remote sensing of ecological phenomena. Lately, recent innovations in mobile device platforms have led to an upsurge in on-site rapid detection. For instance, CMOS chips in smart phones and digital cameras serve as excellent sensors for scientific research. In this paper, a mobile device-based imaging spectrometer module (weighing about 99 g) is developed and equipped on a Single Lens Reflex camera. Utilizing this lightweight module, as well as commonly used photographic equipment, we demonstrate its utility through a series of on-site multispectral imaging, including ocean (or lake) water-color sensing and plant reflectance measurement. Based on the experiments we obtain 3D spectral image cubes, which can be further analyzed for environmental monitoring. Moreover, our system can be applied to many kinds of cameras, e.g., aerial camera and underwater camera. Therefore, any camera can be upgraded to an imaging spectrometer with the help of our miniaturized module. We believe it has the potential to become a versatile tool for on-site investigation into many applications.

  5. The BTeV main spectrometer

    International Nuclear Information System (INIS)

    Sheldon, P.D.

    2001-01-01

    BTeV is a second generation B-factory experiment that will use a double-arm, forward spectrometer in the C0 experimental hall at the Fermilab Tevatron. I will describe the motivation and design of the 'main spectrometer', consisting of a ring-imaging Cherenkov system for charged particle identification, an electromagnetic calorimeter of lead-tungstate crystals, a proportional tube muon system with magnetized filtering steel, and a straw-tube and silicon strip charged particle tracking system

  6. Thermal design and performance of the REgolith x-ray imaging spectrometer (REXIS) instrument

    Science.gov (United States)

    Stout, Kevin D.; Masterson, Rebecca A.

    2014-08-01

    The REgolith X-ray Imaging Spectrometer (REXIS) instrument is a student collaboration instrument on the OSIRIS-REx asteroid sample return mission scheduled for launch in September 2016. The REXIS science mission is to characterize the elemental abundances of the asteroid Bennu on a global scale and to search for regions of enhanced elemental abundance. The thermal design of the REXIS instrument is challenging due to both the science requirements and the thermal environment in which it will operate. The REXIS instrument consists of two assemblies: the spectrometer and the solar X-ray monitor (SXM). The spectrometer houses a 2x2 array of back illuminated CCDs that are protected from the radiation environment by a one-time deployable cover and a collimator assembly with coded aperture mask. Cooling the CCDs during operation is the driving thermal design challenge on the spectrometer. The CCDs operate in the vicinity of the electronics box, but a 130 °C thermal gradient is required between the two components to cool the CCDs to -60 °C in order to reduce noise and obtain science data. This large thermal gradient is achieved passively through the use of a copper thermal strap, a large radiator facing deep space, and a two-stage thermal isolation layer between the electronics box and the DAM. The SXM is mechanically mounted to the sun-facing side of the spacecraft separately from the spectrometer and characterizes the highly variable solar X-ray spectrum to properly interpret the data from the asteroid. The driving thermal design challenge on the SXM is cooling the silicon drift detector (SDD) to below -30 °C when operating. A two-stage thermoelectric cooler (TEC) is located directly beneath the detector to provide active cooling, and spacecraft MLI blankets cover all of the SXM except the detector aperture to radiatively decouple the SXM from the flight thermal environment. This paper describes the REXIS thermal system requirements, thermal design, and analyses, with

  7. Automated Identification of Coronal Holes from Synoptic EUV Maps

    Science.gov (United States)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  8. Calibration and Validation of the National Ecological Observatory Network's Airborne Imaging Spectrometers

    Science.gov (United States)

    Leisso, N.

    2015-12-01

    The National Ecological Observatory Network (NEON) is being constructed by the National Science Foundation and is slated for completion in 2017. NEON is designed to collect data to improve the understanding of changes in observed ecosystems. The observatory will produce data products on a variety of spatial and temporal scales collected from individual sites strategically located across the U.S. including Alaska, Hawaii, and Puerto Rico. Data sources include standardized terrestrial, instrumental, and aquatic observation systems in addition to three airborne remote sensing observation systems installed into leased Twin Otter aircraft. The Airborne Observation Platforms (AOP) are designed to collect 3-band aerial imagery, waveform and discrete LiDAR, and high-fidelity imaging spectroscopy data over the NEON sites annually at or near peak-greenness. The NEON Imaging Spectrometer (NIS) is a Visible and Shortwave Infrared (VSWIR) sensor designed by NASA JPL for ecological applications. Spectroscopic data is collected at 5-nm intervals across the solar-reflective spectral region (380-nm to 2500-nm) in a 34-degree FOV swath. A key uncertainty driver to the derived remote sensing NEON data products is the calibration of the imaging spectrometers. In addition, the calibration and accuracy of the higher-level data product algorithms is essential to the overall NEON mission to detect changes in the collected ecosystems over the 30-year expected lifetime. The typical calibration workflow of the NIS consists of the characterizing the focal plane, spectral calibration, and radiometric calibration. Laboratory spectral calibration is based on well-defined emission lines in conjunction with a scanning monochromator to define the individual spectral response functions. The radiometric calibration is NIST traceable and transferred to the NIS with an integrating sphere calibrated through the use of transfer radiometers. The laboratory calibration is monitored and maintained through

  9. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  10. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  11. Oil Spill Detection along the Gulf of Mexico Coastline based on Airborne Imaging Spectrometer Data

    Science.gov (United States)

    Arslan, M. D.; Filippi, A. M.; Guneralp, I.

    2013-12-01

    The Deepwater Horizon oil spill in the Gulf of Mexico between April and July 2010 demonstrated the importance of synoptic oil-spill monitoring in coastal environments via remote-sensing methods. This study focuses on terrestrial oil-spill detection and thickness estimation based on hyperspectral images acquired along the coastline of the Gulf of Mexico. We use AVIRIS (Airborne Visible/Infrared Imaging Spectrometer) imaging spectrometer data collected over Bay Jimmy and Wilkinson Bay within Barataria Bay, Louisiana, USA during September 2010. We also employ field-based observations of the degree of oil accumulation along the coastline, as well as in situ measurements from the literature. As part of our proposed spectroscopic approach, we operate on atmospherically- and geometrically-corrected hyperspectral AVIRIS data to extract image-derived endmembers via Minimum Noise Fraction transform, Pixel Purity Index-generation, and n-dimensional visualization. Extracted endmembers are then used as input to endmember-mapping algorithms to yield fractional-abundance images and crisp classification images. We also employ Multiple Endmember Spectral Mixture Analysis (MESMA) for oil detection and mapping in order to enable the number and types of endmembers to vary on a per-pixel basis, in contast to simple Spectral Mixture Analysis (SMA). MESMA thus better allows accounting for spectral variabiltiy of oil (e.g., due to varying oil thicknesses, states of degradation, and the presence of different oil types, etc.) and other materials, including soils and salt marsh vegetation of varying types, which may or may not be affected by the oil spill. A decision-tree approach is also utilized for comparison. Classification results do indicate that MESMA provides advantageous capabilities for mapping several oil-thickness classes for affected vegetation and soils along the Gulf of Mexico coastline, relative to the conventional approaches tested. Oil thickness-mapping results from MESMA

  12. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  13. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  14. Radiometric and spectral calibrations of the Geostationary Imaging Fourier Transform Spectrometer (GIFTS) using principle component analysis

    Science.gov (United States)

    Tian, Jialin; Smith, William L.; Gazarik, Michael J.

    2008-10-01

    The ultimate remote sensing benefits of the high resolution Infrared radiance spectrometers will be realized with their geostationary satellite implementation in the form of imaging spectrometers. This will enable dynamic features of the atmosphere's thermodynamic fields and pollutant and greenhouse gas constituents to be observed for revolutionary improvements in weather forecasts and more accurate air quality and climate predictions. As an important step toward realizing this application objective, the Geostationary Imaging Fourier Transform Spectrometer (GIFTS) Engineering Demonstration Unit (EDU) was successfully developed under the NASA New Millennium Program, 2000-2006. The GIFTS-EDU instrument employs three focal plane arrays (FPAs), which gather measurements across the long-wave IR (LWIR), short/mid-wave IR (SMWIR), and visible spectral bands. The raw GIFTS interferogram measurements are radiometrically and spectrally calibrated to produce radiance spectra, which are further processed to obtain atmospheric profiles via retrieval algorithms. The radiometric calibration is achieved using internal blackbody calibration references at ambient (260 K) and hot (286 K) temperatures. The absolute radiometric performance of the instrument is affected by several factors including the FPA off-axis effect, detector/readout electronics induced nonlinearity distortions, and fore-optics offsets. The GIFTS-EDU, being the very first imaging spectrometer to use ultra-high speed electronics to readout its large area format focal plane array detectors, operating at wavelengths as large as 15 microns, possessed non-linearity's not easily removable in the initial calibration process. In this paper, we introduce a refined calibration technique that utilizes Principle Component (PC) analysis to compensate for instrument distortions and artifacts remaining after the initial radiometric calibration process, thus, further enhance the absolute calibration accuracy. This method is

  15. Upgrade of a UV-VIS-NIR imaging spectrometer for the coastal ocean observation: concept, design, fabrication, and test of prototype.

    Science.gov (United States)

    Yu, Lei

    2017-06-26

    A novel UV-VIS-NIR imaging spectrometer prototype has been presented for the remote sensing of the coastal ocean by air. The concept is proposed for the needs of the observation. An advanced design has been demonstrated based on the Dyson spectrometer in details. The analysis and tests present excellent optical performances in the spectral broadband, easy and low cost fabrication and alignment, low inherent stray light, and high signal to noise ratio. The research provides an easy method for the coastal ocean observation.

  16. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  17. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  18. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  19. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  20. An imaging proton spectrometer for short-pulse laser plasma experiments

    International Nuclear Information System (INIS)

    Chen Hui; Hazi, A. U.; Maren, R. van; Chen, S. N.; Le Pape, S.; Rygg, J. R.; Shepherd, R.; Fuchs, J.; Gauthier, M.

    2010-01-01

    The ultraintense short pulse laser pulses incident on solid targets can generate energetic protons. In addition to their potentially important applications such as in cancer treatments and proton fast ignition, these protons are essential to understand the complex physics of intense laser plasma interaction. To better characterize these laser-produced protons, we designed and constructed a novel spectrometer that will not only measure proton energy distribution with high resolution but also provide its angular characteristics. The information obtained from this spectrometer compliments those from commonly used diagnostics including radiochromic film packs, CR39 nuclear track detectors, and nonimaging magnetic spectrometers. The basic characterizations and sample data from this instrument are presented.

  1. An imaging proton spectrometer for short-pulse laser plasma experiments

    Energy Technology Data Exchange (ETDEWEB)

    Chen Hui; Hazi, A. U.; Maren, R. van; Chen, S. N.; Le Pape, S.; Rygg, J. R.; Shepherd, R. [Lawrence Livermore National Laboratory, Livemore, California 94551 (United States); Fuchs, J.; Gauthier, M. [LULI Ecole Polytechnique, 91128 Palaiseau Cedex (France)

    2010-10-15

    The ultraintense short pulse laser pulses incident on solid targets can generate energetic protons. In addition to their potentially important applications such as in cancer treatments and proton fast ignition, these protons are essential to understand the complex physics of intense laser plasma interaction. To better characterize these laser-produced protons, we designed and constructed a novel spectrometer that will not only measure proton energy distribution with high resolution but also provide its angular characteristics. The information obtained from this spectrometer compliments those from commonly used diagnostics including radiochromic film packs, CR39 nuclear track detectors, and nonimaging magnetic spectrometers. The basic characterizations and sample data from this instrument are presented.

  2. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  3. Fourier Imaging X-ray Spectrometer (FIXS) for the Argentinian, Scout-launched satelite de Aplicaciones Cienficas-1 (SAC-1)

    International Nuclear Information System (INIS)

    Dennis, B.R.; Crannell, C.J.; Desai, U.D.

    1988-01-01

    The Fourier Imaging X-ray Spectrometer (FIXS) is one of four instruments on SAC-1, the Argentinian satellite being proposed for launch by NASA on a Scout rocket in 1992/3. The FIXS is designed to provide solar flare images at X-ray energies between 5 and 35 keV. Observations will be made on arcsecond size scales and subsecond time scales of the processes that modify the electron spectrum and the thermal distribution in flaring magnetic structures

  4. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  5. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  6. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  7. Gamma-ray imaging spectrometer (GRIS): a new balloon-borne experiment for gamma-ray line astronomy

    International Nuclear Information System (INIS)

    Teegarden, B.J.; Cline, T.L.; Gehrels, N.; Porreca, G.; Tueller, J.; Leventhal, M.; Huters, A.F.; MacCallum, C.J.; Stang, P.D.

    1985-01-01

    High resolution gamma-ray spectroscopy is a relatively new field that holds great promise for further understanding of high energy astrophysical processes. Preliminary results such as the annihilation radiation from the galactic center, the 26 Al line from the galactic plane and cyclotron lines from neutron stars may well be just the initial discoveries of a rich and as yet undeveloped field. When the high resolution gamma-ray spectrometer (GRSE) was removed from the GRO payload NASA decided to initiate a balloon program to permit continued development and improvement of instrumentation in this field, as well as continued scientific observations. The Gamma-Ray Imaging Spectrometer (GRIS) is one of the experiments selected as part of this program. The instrument contains a number of new and innovative features that are expected to produce a significant improvement in source location accuracy and sensitivity over previous balloon and satellite experiments. 6 refs., 2 figs

  8. REgolith X-Ray Imaging Spectrometer (REXIS) Aboard NASA’s OSIRIS-REx Mission

    Science.gov (United States)

    Hong, JaeSub; Allen, Branden; Grindlay, Jonathan E.; Binzel, Richard P.; Masterson, Rebecca; Inamdar, Niraj K; Chodas, Mark; Smith, Matthew W; Bautz, Mark W.; Kissel, Steven E; Villasenor, Jesus Noel; Oprescu, Antonia

    2014-06-01

    The REgolith X-Ray Imaging Spectrometer (REXIS) is a student-led instrument being designed, built, and operated as a collaborative effort involving MIT and Harvard. It is a part of NASA's OSIRIS-REx mission, which is scheduled for launch in September of 2016 for a rendezvous with, and collection of a sample from the surface of the primitive carbonaceous chondrite-like asteroid 101955 Bennu in 2019. REXIS will determine spatial variations in elemental composition of Bennu's surface through solar-induced X-ray fluorescence. REXIS consists of four X-ray CCDs in the detector plane and an X-ray mask. It is the first coded-aperture X-ray telescope in a planetary mission, which combines the benefit of high X-ray throughput of wide-field collimation with imaging capability of a coded-mask, enabling detection of elemental surface distributions at approximately 50-200 m scales. We present an overview of the REXIS instrument and the expected performance.

  9. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  10. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  11. [Research of dual-photoelastic-modulator-based beat frequency modulation and Fourier-Bessel transform imaging spectrometer].

    Science.gov (United States)

    Wang, Zhi-Bin; Zhang, Rui; Wang, Yao-Li; Huang, Yan-Fei; Chen, You-Hua; Wang, Li-Fu; Yang, Qiang

    2014-02-01

    As the existing photoelastic-modulator(PEM) modulating frequency in the tens of kHz to hundreds of kHz between, leading to frequency of modulated interference signal is higher, so ordinary array detector cannot effectively caprure interference signal..A new beat frequency modulation method based on dual-photoelastic-modulator (Dual-PEM) and Fourier-Bessel transform is proposed as an key component of dual-photoelastic-modulator-based imaging spectrometer (Dual-PEM-IS) combined with charge coupled device (CCD). The dual-PEM are operated as an electro-optic circular retardance modulator, Operating the PEMs at slightly different resonant frequencies w1 and w2 respectively, generates a differential signal at a much lower heterodyne frequency that modulates the incident light. This method not only retains the advantages of the existing PEM, but also the frequency of modulated photocurrent decreased by 2-3 orders of magnitude (10-500 Hz) and can be detected by common array detector, and the incident light spectra can be obtained by Fourier-Bessel transform of low frequency component in the modulated signal. The method makes the PEM has the dual capability of imaging and spectral measurement. The basic principle is introduced, the basic equations is derived, and the feasibility is verified through the corresponding numerical simulation and experiment. This method has' potential applications in imaging spectrometer technology, and analysis of the effect of deviation of the optical path difference. This work provides the necessary theoretical basis for remote sensing of new Dual-PEM-IS and for engineering implementation of spectra inversion.

  12. Wavelength calibration of x-ray imaging crystal spectrometer on Joint Texas Experimental Tokamak

    International Nuclear Information System (INIS)

    Yan, W.; Chen, Z. Y.; Jin, W.; Huang, D. W.; Ding, Y. H.; Li, J. C.; Zhang, X. Q.; Zhuang, G.; Lee, S. G.; Shi, Y. J.

    2014-01-01

    The wavelength calibration of x-ray imaging crystal spectrometer is a key issue for the measurements of plasma rotation. For the lack of available standard radiation source near 3.95 Å and there is no other diagnostics to measure the core rotation for inter-calibration, an indirect method by using tokamak plasma itself has been applied on joint Texas experimental tokamak. It is found that the core toroidal rotation velocity is not zero during locked mode phase. This is consistent with the observation of small oscillations on soft x-ray signals and electron cyclotron emission during locked-mode phase

  13. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  14. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  15. Development of a High Resolution X-Ray Imaging Crystal Spectrometer for Measurement of Ion-Temperature and Rotation-Velocity Profiles in Fusion Energy Research Plasmas

    International Nuclear Information System (INIS)

    Hill, K.W.; Bitter, M.L.; Broennimann, Ch.; Eikenberry, E.F.; Ince-Cushman, A.; Lee, S.G.; Rice, J.E.; Scott, S.; Barnsley, R.

    2008-01-01

    A new imaging high resolution x-ray crystal spectrometer (XCS) has been developed to measure continuous profiles of ion temperature and rotation velocity in fusion plasmas. Following proof-of-principle tests on the Alcator C-Mod tokamak and the NSTX spherical tokamak, and successful testing of a new silicon, pixilated detector with 1MHz count rate capability per pixel, an imaging XCS is being designed to measure full profiles of T i and ν φ on C-Mod. The imaging XCS design has also been adopted for ITER. Ion-temperature uncertainty and minimum measurable rotation velocity are calculated for the C-Mod spectrometer. The affects of x-ray and nuclear-radiation background on the measurement uncertainties are calculated to predict performance on ITER

  16. Optical design for a breadboard high-resolution spectrometer for SIRTF/IRS

    Science.gov (United States)

    Brown, Robert J.; Houck, James R.; van Cleve, Jeffrey E.

    1996-11-01

    The optical design of a breadboard high resolution infrared spectrometer for the IRS instrument on the SIRTF mission is discussed. The spectrometer uses a crossed echelle grating configuration to cover the spectral region from 10 to 20 micrometer with a resolving power of approximately equals 600. The all reflective spectrometer forms a nearly diffraction limited image of the two dimensional spectrum on a 128 multiplied by 128 arsenic doped silicon area array with 75 micrometer pixels. The design aspects discussed include, grating numerology, image quality, packaging and alignment philosophy.

  17. Basics of spectroscopic instruments. Hardware of NMR spectrometer

    International Nuclear Information System (INIS)

    Sato, Hajime

    2009-01-01

    NMR is a powerful tool for structure analysis of small molecules, natural products, biological macromolecules, synthesized polymers, samples from material science and so on. Magnetic Resonance Imaging (MRI) is applicable to plants and animals Because most of NMR experiments can be done by an automation mode, one can forget hardware of NMR spectrometers. It would be good to understand features and performance of NMR spectrometers. Here I present hardware of a modern NMR spectrometer which is fully equipped with digital technology. (author)

  18. FIR and sub-mm direct detection spectrometers for spaceborne astronomy

    Science.gov (United States)

    Wijnbergen, Jan J.; de Graauw, Thijs

    1990-12-01

    Candidate spaceborne sub-mm instrumentation proposed for space projects with large passively cooled telescopes are reviewed. Grating instruments and Fourier transform spectroscopy (FTS) spectrometers are discussed. Particular attention is given to imaging Fabry-Perot spectrometers. The special needs of the Large Deployable Reflector (LDR) and for the Far InfraRed Space Telescope (FIRST) missions in this area are outlined. Possible Fabry-Perot spectrometer setups are diagrammed and outlined. The use of spherical and multiplex Fabry-Perot spectrometers is discussed.

  19. The Copernicus Sentinel 4 mission: a geostationary imaging UVN spectrometer for air quality monitoring

    Science.gov (United States)

    Bazalgette Courrèges-Lacoste, G.; Sallusti, M.; Bulsa, G.; Bagnasco, G.; Veihelmann, Ben; Riedl, S.; Smith, D. J.; Maurer, R.

    2017-09-01

    Sentinel-4 is an imaging UVN (UV-VIS-NIR) spectrometer, developed by Airbus Defence and Space under ESA contract in the frame of the joint EU/ESA COPERNICUS program. The mission objective is the operational monitoring of trace gas concentrations for atmospheric chemistry and climate applications - hence the motto of Sentinel-4 "Knowing what we breathe". Sentinel-4 will provide accurate measurements of key atmospheric constituents such as ozone, nitrogen dioxide, sulfur dioxide, methane, and aerosol properties over Europe and adjacent regions from a geostationary orbit (see Fig. 1). In the family of already flown UVN spectrometers (SCIAMACHY, OMI, GOME and GOME 2) and of those spectrometers currently under development (Sentinel-5p and Sentinel-5), Sentinel-4 is unique in being the first geostationary UVN mission. Furthermore, thanks to its 60-minutes repeat cycle measurements and high spatial resolution (8x8 km2), Sentinel-4 will increase the frequency of cloud-free observations, which is necessary to assess troposphere variability. Two identical Sentinel-4 instruments (PFM and FM-2) will be embarked, as Customer Furnished Item (CFI), fully verified, qualified and calibrated respectively onto two EUMETSAT satellites: Meteosat Third Generation-Sounder 1 and 2 (MTG-S1 and MTG-S2), whose Flight Acceptance Reviews are presently planned respectively in Q4 2021 and Q1 2030. This paper gives an overview of the Sentinel-4 system1 architecture, its design and development status, current performances and the key technological challenges.

  20. Inelastic neutron scattering experiments with the monochromatic imaging mode of the RITA-II spectrometer

    International Nuclear Information System (INIS)

    Bahl, C.R.H.; Lefmann, K.; Abrahamsen, A.B.; Ronnow, H.M.; Saxild, F.; Jensen, T.B.S.; Udby, L.; Andersen, N.H.; Christensen, N.B.; Jakobsen, H.S.; Larsen, T.; Haefliger, P.S.; Streule, S.; Niedermayer, Ch.

    2006-01-01

    Recently a monochromatic multiple data taking mode has been demonstrated for diffraction experiments using a RITA type cold neutron spectrometer with a multi-bladed analyser and a position-sensitive detector. Here, we show how this mode can be used in combination with a flexible radial collimator to perform real inelastic neutron scattering experiments. We present the results from inelastic powder, single crystal dispersion and single crystal constant energy mapping experiments. The advantages and complications of performing these experiments are discussed along with a comparison between the imaging mode and the traditional monochromatic focussing mode

  1. Inelastic neutron scattering experiments with the monochromatic imaging mode of the RITA-II spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Bahl, C.R.H. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark) and Department of Physics, Technical University of Denmark, DK-2800 Lyngby (Denmark)]. E-mail: christian.bahl@risoe.dk; Lefmann, K. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark)]. E-mail: kim.lefmann@risoe.dk; Abrahamsen, A.B. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Ronnow, H.M. [Laboratory for Neutron Scattering, Paul Scherrer Institute, CH-5232 Villigen (Switzerland); Saxild, F. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Jensen, T.B.S. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Udby, L. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Andersen, N.H. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Christensen, N.B. [Department of Materials Research, Riso National Laboratory, Building 227, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Laboratory for Neutron Scattering, Paul Scherrer Institute, CH-5232 Villigen (Switzerland); Jakobsen, H.S. [Niels Bohr Institute for Astronomy, Physics and Geophysics, University of Copenhagen, DK-2100 Copenhagen (Denmark); Larsen, T. [Niels Bohr Institute for Astronomy, Physics and Geophysics, University of Copenhagen, DK-2100 Copenhagen (Denmark); Haefliger, P.S. [Laboratory for Neutron Scattering, Paul Scherrer Institute, CH-5232 Villigen (Switzerland); Streule, S.; Niedermayer, Ch. [Laboratory for Neutron Scattering, Paul Scherrer Institute, CH-5232 Villigen (Switzerland)

    2006-05-15

    Recently a monochromatic multiple data taking mode has been demonstrated for diffraction experiments using a RITA type cold neutron spectrometer with a multi-bladed analyser and a position-sensitive detector. Here, we show how this mode can be used in combination with a flexible radial collimator to perform real inelastic neutron scattering experiments. We present the results from inelastic powder, single crystal dispersion and single crystal constant energy mapping experiments. The advantages and complications of performing these experiments are discussed along with a comparison between the imaging mode and the traditional monochromatic focussing mode.

  2. Two-lens spectrometer for. beta. polarimetry

    Energy Technology Data Exchange (ETDEWEB)

    Holdsworth, D; Paul, D [Toronto Univ., Ontario (Canada). Dept. of Physics

    1984-06-01

    A test spectrometer has been built having four degrees of freedom which have been varied to optimize the transmission in a configuration in which the image distance is large compared to the object distance. Iron-clad magnets of 15 cm effective inside diameter were used. Within the limits of the primary magnet field, the optimized transmission T (%. of 4..pi.. sr) increases as the source is advanced through the magnet towards the polarimeter, approximately as T=5.1 exp(zsub(s)/10.5), where zsub(s) is in cm, measured from the position of peak axial field. When the source-image distance is 1.8 m the optimum separation of the peak axial fields of the magnets is 67+-1 cm and the spectrometer transmission is the same for parallel or antiparallel fields. When operating in the optimum configuration, the spectrometer accepts particles with initial trajectories from 18/sup 0/ to 42/sup 0/ relative to the axis and delivers them at the target with half-angle of convergence less than 4.1/sup 0/.

  3. Theory and optical design of x-ray echo spectrometers

    Science.gov (United States)

    Shvyd'ko, Yuri

    2017-08-01

    X-ray echo spectroscopy, a space-domain counterpart of neutron spin echo, is a recently proposed inelastic x-ray scattering (IXS) technique. X-ray echo spectroscopy relies on imaging IXS spectra and does not require x-ray monochromatization. Due to this, the echo-type IXS spectrometers are broadband, and thus have a potential to simultaneously provide dramatically increased signal strength, reduced measurement times, and higher resolution compared to the traditional narrow-band scanning-type IXS spectrometers. The theory of x-ray echo spectrometers presented earlier [Yu. Shvyd'ko, Phys. Rev. Lett. 116, 080801 (2016), 10.1103/PhysRevLett.116.080801] is developed here further with a focus on questions of practical importance, which could facilitate optical design and assessment of the feasibility and performance of the echo spectrometers. Among others, the following questions are addressed: spectral resolution, refocusing condition, echo spectrometer tolerances, refocusing condition adjustment, effective beam size on the sample, spectral window of imaging and scanning range, impact of the secondary source size on the spectral resolution, angular dispersive optics, focusing and collimating optics, and detector's spatial resolution. Examples of optical designs and characteristics of echo spectrometers with 1-meV and 0.1-meV resolutions are presented.

  4. Level 0 to 1 processing of the imaging Fourier transform spectrometer GLORIA: generation of radiometrically and spectrally calibrated spectra

    Directory of Open Access Journals (Sweden)

    A. Kleinert

    2014-12-01

    Full Text Available The Gimballed Limb Observer for Radiance Imaging of the Atmosphere (GLORIA is an imaging Fourier transform spectrometer that is capable of operating on various high-altitude research aircraft. It measures the atmospheric emission in the thermal infrared spectral region in limb and nadir geometry. GLORIA consists of a classical Michelson interferometer combined with an infrared camera. The infrared detector has a usable area of 128 × 128 pixels, measuring up to 16 384 interferograms simultaneously. Imaging Fourier transform spectrometers impose a number of challenges with respect to instrument calibration and algorithm development. The optical setup with extremely high optical throughput requires the development of new methods and algorithms for spectral and radiometric calibration. Due to the vast amount of data there is a high demand for scientifically intelligent optimisation of the data processing. This paper outlines the characterisation and processing steps required for the generation of radiometrically and spectrally calibrated spectra. Methods for performance optimisation of the processing algorithm are presented. The performance of the data processing and the quality of the calibrated spectra are demonstrated for measurements collected during the first deployments of GLORIA on aircraft.

  5. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  6. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  7. New imaging spectrometer CdTe very high spatial and spectral resolution for X and gamma astronomy

    International Nuclear Information System (INIS)

    Dubos, Sebastien

    2015-01-01

    The thesis work presented in this manuscript corresponds to the first development phase of the MC2 project, an ambitious R and D effort to realize a new type of cadmium telluride (CdTe) -based imaging spectrometer for future hard X- and gamma-rays astronomy missions. The final goal is to achieve a 300 micron-pitch pixelated detector plane hybridized with a very low noise front-end electronics for a total pixel density multiplied by 4 compared to the most advanced System recently available in the laboratory, the Caliste HD imaging spectrometer. Moreover, thanks to the joint development of readout circuits adapted to the interconnection of pixelated detectors with low capacitance and low leakage current, spectroscopic performances of such system are assumed to approach inherent limitations of the CdTe detector, especially for the lowest energies. The work was organized in parallel and complementary areas: evaluation of current Systems, feedback and identification of issues associated with the development of highly-resolved detection planes, implementation and complete characterization of a new two-dimensional ASIC specifically developed for this application, and modeling and study of the associated sensor to optimize the design of the detector pattern. Finally, a first hybrid prototype was completed and first experimental tests thereby conducted. (author) [fr

  8. The Fourier Imaging X-ray Spectrometer (FIXS) for the Argentinian, Scout-launched satelite de Aplicaciones Cienficas-1 (SAC-1)

    Science.gov (United States)

    Dennis, Brian R.; Crannell, Carol JO; Desai, Upendra D.; Orwig, Larry E.; Kiplinger, Alan L.; Schwartz, Richard A.; Hurford, Gordon J.; Emslie, A. Gordon; Machado, Marcos; Wood, Kent

    1988-01-01

    The Fourier Imaging X-ray Spectrometer (FIXS) is one of four instruments on SAC-1, the Argentinian satellite being proposed for launch by NASA on a Scout rocket in 1992/3. The FIXS is designed to provide solar flare images at X-ray energies between 5 and 35 keV. Observations will be made on arcsecond size scales and subsecond time scales of the processes that modify the electron spectrum and the thermal distribution in flaring magnetic structures.

  9. Calibration of the OHREX high-resolution imaging crystal spectrometer at the Livermore electron beam ion traps

    Energy Technology Data Exchange (ETDEWEB)

    Hell, N. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Dr. Remeis-Sternwarte and ECAP, Universität Erlangen-Nürnberg, Bamberg 96049 (Germany); Beiersdorfer, P.; Magee, E. W.; Brown, G. V. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States)

    2016-11-15

    We report the calibration of the Orion High-Resolution X-ray (OHREX) imaging crystal spectrometer at the EBIT-I electron beam ion trap at Livermore. Two such instruments, dubbed OHREX-1 and OHREX-2, are fielded for plasma diagnostics at the Orion laser facility in the United Kingdom. The OHREX spectrometer can simultaneously house two spherically bent crystals with a radius of curvature of r = 67.2 cm. The focusing properties of the spectrometer allow both for larger distance to the source due to the increase in collected light and for observation of extended sources. OHREX is designed to cover a 2.5°–3° spectral range at Bragg angles around 51.3°. The typically high resolving powers at these large Bragg angles are ideally suited for line shape diagnostics. For instance, the nominal resolving power of the instrument (>10 000) is much higher than the effective resolving power associated with the Doppler broadening due to the temperature of the trapped ions in EBIT-I. The effective resolving power is only around 3000 at typical EBIT-I conditions, which nevertheless is sufficient to set up and test the instrument’s spectral characteristics. We have calibrated the spectral range for a number of crystals using well known reference lines in the first and second order and derived the ion temperatures from these lines. We have also made use of the 50 μm size of the EBIT-I source width to characterize the spatial focusing of the spectrometer.

  10. Mass spectrometer with two ion sources

    International Nuclear Information System (INIS)

    Glickman, L.G.; Mit', A.G.

    2002-01-01

    Static mass spectrometer with mid-plane near which ions are moving is considered in this article. Two ion sources are used, their exit slits are perpendicular to the mid-plane. The simple method of the replacement of source is offered. Two concave two-electrode transaxial mirrors with two-plate electrodes are used for this aim. The mid-plane of these mirrors coincides with the mid-plane of the device. The exit slit of each source is located in the principal plane of the object space. The principal planes of the image space of the both mirrors coincide. The images of the exit slits of the sources are in these planes and coincide too. We used the mirrors making stigmatic images with the magnification one to one, in which the dispersion on energy and spherical aberrations of the second order are equal to zero. These images are the objects on which the ion-optical system of the mass spectrometer is tuned. When you choose one from two ion sources it is enough to switch the corresponding mirror

  11. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  12. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  13. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  14. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  15. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  16. Radiometric modeling and calibration of the Geostationary Imaging Fourier Transform Spectrometer (GIFTS) ground based measurement experiment

    Science.gov (United States)

    Tian, Jialin; Smith, William L.; Gazarik, Michael J.

    2008-12-01

    The ultimate remote sensing benefits of the high resolution Infrared radiance spectrometers will be realized with their geostationary satellite implementation in the form of imaging spectrometers. This will enable dynamic features of the atmosphere's thermodynamic fields and pollutant and greenhouse gas constituents to be observed for revolutionary improvements in weather forecasts and more accurate air quality and climate predictions. As an important step toward realizing this application objective, the Geostationary Imaging Fourier Transform Spectrometer (GIFTS) Engineering Demonstration Unit (EDU) was successfully developed under the NASA New Millennium Program, 2000-2006. The GIFTS-EDU instrument employs three focal plane arrays (FPAs), which gather measurements across the long-wave IR (LWIR), short/mid-wave IR (SMWIR), and visible spectral bands. The GIFTS calibration is achieved using internal blackbody calibration references at ambient (260 K) and hot (286 K) temperatures. In this paper, we introduce a refined calibration technique that utilizes Principle Component (PC) analysis to compensate for instrument distortions and artifacts, therefore, enhancing the absolute calibration accuracy. This method is applied to data collected during the GIFTS Ground Based Measurement (GBM) experiment, together with simultaneous observations by the accurately calibrated AERI (Atmospheric Emitted Radiance Interferometer), both simultaneously zenith viewing the sky through the same external scene mirror at ten-minute intervals throughout a cloudless day at Logan Utah on September 13, 2006. The accurately calibrated GIFTS radiances are produced using the first four PC scores in the GIFTS-AERI regression model. Temperature and moisture profiles retrieved from the PC-calibrated GIFTS radiances are verified against radiosonde measurements collected throughout the GIFTS sky measurement period. Using the GIFTS GBM calibration model, we compute the calibrated radiances from data

  17. CHIRON – A new high resolution spectrometer for CTIO

    Directory of Open Access Journals (Sweden)

    Marcy G.W.

    2011-07-01

    Full Text Available Small telescopes can play an important role in the search for exoplanets because they offer an opportunity for high cadence observations that are not possible with large aperture telescopes. However, there is a shortage of high resolution spectrometers for precision Doppler planet searches. We report on an innovative design for CHIRON, an inexpensive spectrometer that we are building for the 1.5-m telescope at CTIO in Chile. The resolution will be R >80.000, the spectral format spanning 410 to 880 nm. The total throughput of the telescope and spectrometer will be better than 12%, comparable with the efficiency of state-of-the-art spectrometers. The design is driven by the requirements for precision Doppler searches for exoplanets using an iodine cell. The optical layout is a classical echelle with 140 mm beam size. The bench-mounted spectrometer will be fibre-fed followed by an image slicer. An apochromatic refractor is used as the camera. Image quality and throughput of the design are excellent over the full spectral range. Extensive use of commercially available components and avoidance of complicated custom optics are key for quick and resource-efficient implementation.

  18. GHGSat-D: Greenhouse gas plume imaging and quantification from space using a Fabry-Perot imaging spectrometer

    Science.gov (United States)

    McKeever, J.; Durak, B. O. A.; Gains, D.; Jervis, D.; Varon, D. J.; Germain, S.; Sloan, J. J.

    2017-12-01

    GHGSat, Inc. has launched the first satellite designed to detect and quantify greenhouse gas emissions from individual industrial sites. Our demonstration satellite GHGSat-D or "CLAIRE" was launched in June 2016. It weighs less than 15 kg and its primary instrument is a miniaturized Fabry-Perot imaging spectrometer with spectral resolution on the order of 0.1 nm. The spectral bandpass is 1635-1670 nm, giving the instrument access to absorption bands of both CO2 and CH4. Our system is based on targeted observations rather than global coverage, and our spatial imaging resolution is a key differentiator. Specifically, with a ground sampling distance of effect of the Fabry-Perot resonator and the scrolling scene gives a different spectral sampling of each surface location in every image. While our data processing toolchain does not produce a conventional hyperspectral dataset, it does yield a spectral decomposition of the spatially resolved signal that is compared to a model that includes atmospheric radiative transfer and the instrument's pixel-dependent spectral responsivity. Our presentation will describe the instrument design, concept of operations and retrievals approach. We will also present images and results from GHGSat-D at different processing levels, including high-resolution column density retrievals. An observation of the degassing flux of methane from the outlet of a recently impounded hydroelectric reservoir will be shown as an example. Finally we discuss some performance limitations of GHGSat-D and our plans to overcome them as we update the instrument design for the next satellites.

  19. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  20. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  1. Sun-induced fluorescence - a new probe of photosynthesis: First maps from the imaging spectrometer HyPlant.

    Science.gov (United States)

    Rascher, U; Alonso, L; Burkart, A; Cilia, C; Cogliati, S; Colombo, R; Damm, A; Drusch, M; Guanter, L; Hanus, J; Hyvärinen, T; Julitta, T; Jussila, J; Kataja, K; Kokkalis, P; Kraft, S; Kraska, T; Matveeva, M; Moreno, J; Muller, O; Panigada, C; Pikl, M; Pinto, F; Prey, L; Pude, R; Rossini, M; Schickling, A; Schurr, U; Schüttemeyer, D; Verrelst, J; Zemek, F

    2015-12-01

    Variations in photosynthesis still cause substantial uncertainties in predicting photosynthetic CO2 uptake rates and monitoring plant stress. Changes in actual photosynthesis that are not related to greenness of vegetation are difficult to measure by reflectance based optical remote sensing techniques. Several activities are underway to evaluate the sun-induced fluorescence signal on the ground and on a coarse spatial scale using space-borne imaging spectrometers. Intermediate-scale observations using airborne-based imaging spectroscopy, which are critical to bridge the existing gap between small-scale field studies and global observations, are still insufficient. Here we present the first validated maps of sun-induced fluorescence in that critical, intermediate spatial resolution, employing the novel airborne imaging spectrometer HyPlant. HyPlant has an unprecedented spectral resolution, which allows for the first time quantifying sun-induced fluorescence fluxes in physical units according to the Fraunhofer Line Depth Principle that exploits solar and atmospheric absorption bands. Maps of sun-induced fluorescence show a large spatial variability between different vegetation types, which complement classical remote sensing approaches. Different crop types largely differ in emitting fluorescence that additionally changes within the seasonal cycle and thus may be related to the seasonal activation and deactivation of the photosynthetic machinery. We argue that sun-induced fluorescence emission is related to two processes: (i) the total absorbed radiation by photosynthetically active chlorophyll; and (ii) the functional status of actual photosynthesis and vegetation stress. © 2015 John Wiley & Sons Ltd.

  2. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  3. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  4. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  5. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  6. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  7. Multichannel euv spectroscopy of high temperature plasmas

    International Nuclear Information System (INIS)

    Fonck, R.J.

    1983-11-01

    Spectroscopy of magnetically confined high temperature plasmas in the visible through x-ray spectral ranges deals primarily with the study of impurity line radiation or continuum radiation. Detailed knowledge of absolute intensities, temporal behavior, and spatial distributions of the emitted radiation is desired. As tokamak facilities become more complex, larger, and less accessible, there has been an increased emphasis on developing new instrumentation to provide such information in a minimum number of discharges. The availability of spatially-imaging detectors for use in the vacuum ultraviolet region (especially the intensified photodiode array) has generated the development of a variety of multichannel spectrometers for applications on tokamak facilities

  8. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  9. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  10. SPECTROSCOPIC ANALYSIS OF AN EIT WAVE/DIMMING OBSERVED BY HINODE/EIS

    International Nuclear Information System (INIS)

    Chen, F.; Ding, M. D.; Chen, P. F.

    2010-01-01

    EUV Imaging Telescope (EIT) waves are a wavelike phenomenon propagating outward from the coronal mass ejection source region, with expanding dimmings following behind. We present a spectroscopic study of an EIT wave/dimming event observed by the Hinode/Extreme-ultraviolet Imaging Spectrometer. Although the identification of the wave front is somewhat affected by the pre-existing loop structures, the expanding dimming is well defined. We investigate the line intensity, width, and Doppler velocity for four EUV lines. In addition to the significant blueshift implying plasma outflows in the dimming region as revealed in previous studies, we find that the widths of all four spectral lines increase at the outer edge of the dimmings. We illustrate that this feature can be well explained by the field line stretching model, which claims that EIT waves are apparently moving brightenings that are generated by the successive stretching of the closed field lines.

  11. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  12. Snapshot hyperspectral imaging to measure oxygen saturation in the retina using fiber bundle and multi-slit spectrometer

    Science.gov (United States)

    Khoobehi, Bahram; Khoobehi, Aurash; Fournier, Paul

    2012-03-01

    We have developed a snapshot fiber bundle technique that circumvents the issue of saccades of the non-immobilized eye. In this technology, 458 individual fibers are assembled in a two-dimensional array where each fiber represents a portion of the image. These fibers are redistributed into two separate one-dimensional fiber rows interfaced into a two-slit spectrometer. The light from each fiber is decomposed into its spectral components by the spectrometer. Using this innovative technology, we have been able to detect the whole spectrum of hemoglobin using the single light exposure capabilities of a fundus camera. The hemoglobin signature of the retinal arteries, veins, and retina tissue can be recorded. The final result is a complete, 3-dimensional representation of the spectral and spatial information from a single exposure of the patient. By adjusting the field of view on the imaging portion of the fundus camera, the fiber optic cable may encompass a larger area. However, this causes a decrease in spatial resolution, so we increased the area of the fiber array by increasing the number of the fibers from 458 to 648, increased the size of each individual fiber from 10 μm to 20 &μm, and increased the number of slits to four.

  13. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  14. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  15. The REgolith X-Ray Imaging Spectrometer (REXIS) for OSIRIS-REx: Identifying Regional Elemental Enrichment on Asteroids

    OpenAIRE

    Allen, Branden; Grindlay, Jonathan; Hong, Jaesub; Binzel, Richard P.; Masterson, Rebecca; Inamdar, Niraj K.; Chodas, Mark; Smith, Matthew W.; Bautz, Marshall W.; Kissel, Steven E.; Villasenor, Joel; Oprescu, Miruna; Induni, Nicholas

    2013-01-01

    The OSIRIS-REx Mission was selected under the NASA New Frontiers program and is scheduled for launch in September of 2016 for a rendezvous with, and collection of a sample from the surface of asteroid Bennu in 2019. 101955 Bennu (previously 1999 RQ36) is an Apollo (near-Earth) asteroid originally discovered by the LINEAR project in 1999 which has since been classified as a potentially hazardous near-Earth object. The REgolith X-Ray Imaging Spectrometer (REXIS) was proposed jointly by MIT an...

  16. Recent ion optics and mass spectrometers

    International Nuclear Information System (INIS)

    Matsuda, Hisashi

    1976-01-01

    The establishment of the third order approximation method for computing the orbit of the ion optical system for mass spectrometers and the completion of its computer program are reported. A feature of this orbit computation is in that the effect of the fringing field can be considered with the accuracy of third order approximation. Several new ion optical systems for mass spectrometers have been proposed by using such orbit computing programs. Brief explanation and the description on the future prospect and problems are made on the following items: the vertual image double focusing mass spectrometer, the second order double focusing mass spectrometer, the E x B superposed field mass spectrometer, and the apparatus with a cylindrical electric field and Q-lens. In the E x B superposed field with Matsuda plates, if the magnetic field is generated by an electromagnet instead of a permanent magnet, the dispersion of mass and energy can be changed at will. The Matsuda plates are known as the auxiliary electrodes positioned at the top and bottom of a cylindrical capacitor. Utilizing those characteristics, a zoom spectrometer can be made, with which only a necessary part of mass spectra can be investigated in detail, but the whole spectra are investigated roughly. In addition, the distribution of energy can be investigated simultaneously after the separation of ionic mass similarly to the parabola apparatus. (Iwakiri, K.)

  17. Compact High Performance Spectrometers Using Computational Imaging, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Energy Research Company (ERCo), in collaboration with CoVar Applied Technologies, proposes the development of high throughput, compact, and lower cost spectrometers...

  18. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  19. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  20. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  1. Single-lens computed tomography imaging spectrometer and method of capturing spatial and spectral information

    Science.gov (United States)

    Wilson, Daniel W. (Inventor); Johnson, William R. (Inventor); Bearman, Gregory H. (Inventor)

    2011-01-01

    Computed tomography imaging spectrometers ("CTISs") employing a single lens are provided. The CTISs may be either transmissive or reflective, and the single lens is either configured to transmit and receive uncollimated light (in transmissive systems), or is configured to reflect and receive uncollimated light (in reflective systems). An exemplary transmissive CTIS includes a focal plane array detector, a single lens configured to transmit and receive uncollimated light, a two-dimensional grating, and a field stop aperture. An exemplary reflective CTIS includes a focal plane array detector, a single mirror configured to reflect and receive uncollimated light, a two-dimensional grating, and a field stop aperture.

  2. Wavelength calibration of an imaging spectrometer based on Savart interferometer

    Science.gov (United States)

    Li, Qiwei; Zhang, Chunmin; Yan, Tingyu; Quan, Naicheng; Wei, Yutong; Tong, Cuncun

    2017-09-01

    The basic principle of Fourier-transform imaging spectrometer (FTIS) based on Savart interferometer is outlined. The un-identical distribution of the optical path difference which leads to the wavelength drift of each row of the interferogram is analyzed. Two typical methods for wavelength calibration of the presented system are described. The first method unifies different spectral intervals and maximum spectral frequencies of each row by a reference monochromatic light with known wavelength, and the dispersion compensation of Savart interferometer is also involved. The second approach is based on the least square fitting which builds the functional relation between recovered wavelength, row number and calibrated wavelength by concise equations. The effectiveness of the two methods is experimentally demonstrated with monochromatic lights and mixed light source across the detecting band of the system, and the results indicate that the first method has higher precision and the mean root-mean-square error of the recovered wavelengths is significantly reduced from 19.896 nm to 1.353 nm, while the second method is more convenient to implement and also has good precision of 2.709 nm.

  3. ANALYSIS AND MODELING OF TWO FLARE LOOPS OBSERVED BY AIA AND EIS

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.; Ding, M. D. [School of Astronomy and Space Science, Nanjing University, Nanjing 210093 (China); Qiu, J. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States)

    2012-10-10

    We analyze and model an M1.0 flare observed by SDO/AIA and Hinode/EIS to investigate how flare loops are heated and evolve subsequently. The flare is composed of two distinctive loop systems observed in extreme ultraviolet (EUV) images. The UV 1600 A emission at the feet of these loops exhibits a rapid rise, followed by enhanced emission in different EUV channels observed by the Atmospheric Imaging Assembly (AIA) and the EUV Imaging Spectrometer (EIS). Such behavior is indicative of impulsive energy deposit and the subsequent response in overlying coronal loops that evolve through different temperatures. Using the method we recently developed, we infer empirical heating functions from the rapid rise of the UV light curves for the two loop systems, respectively, treating them as two big loops with cross-sectional area of 5'' by 5'', and compute the plasma evolution in the loops using the EBTEL model. We compute the synthetic EUV light curves, which, with the limitation of the model, reasonably agree with observed light curves obtained in multiple AIA channels and EIS lines: they show the same evolution trend and their magnitudes are comparable by within a factor of two. Furthermore, we also compare the computed mean enthalpy flow velocity with the Doppler shift measurements by EIS during the decay phase of the two loops. Our results suggest that the two different loops with different heating functions as inferred from their footpoint UV emission, combined with their different lengths as measured from imaging observations, give rise to different coronal plasma evolution patterns captured both in the model and in observations.

  4. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  5. A two-step method for fast and reliable EUV mask metrology

    Science.gov (United States)

    Helfenstein, Patrick; Mochi, Iacopo; Rajendran, Rajeev; Yoshitake, Shusuke; Ekinci, Yasin

    2017-03-01

    One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with - in principle - diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.

  6. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  7. Sentinel 4: a geostationary imaging UVN spectrometer for air quality monitoring: status of design, performance and development

    Science.gov (United States)

    Gulde, S. T.; Kolm, M. G.; Smith, D. J.; Maurer, R.; Bazalgette Courrèges-Lacoste, G.; Sallusti, M.; Bagnasco, G.

    2017-11-01

    SENTINEL 4 is an imaging UVN (UV-VIS-NIR) spectrometer, developed by Airbus Defence and Space under ESA contract in the frame of the joint European Union (EU)/ESA COPERNICUS program. The mission objective is the operational monitoring of trace gas concentrations for atmospheric chemistry and climate applications. To this end SENTINEL 4 will provide accurate measurements of key atmospheric constituents such as ozone, nitrogen dioxide, sulfur dioxide, formaldehyde, as well as aerosol and cloud properties.

  8. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  9. Chromatic aberrations correction for imaging spectrometer based on acousto-optic tunable filter with two transducers.

    Science.gov (United States)

    Zhao, Huijie; Wang, Ziye; Jia, Guorui; Zhang, Ying; Xu, Zefu

    2017-10-02

    The acousto-optic tunable filter (AOTF) with wide wavelength range and high spectral resolution has long crystal and two transducers. A longer crystal length leads to a bigger chromatic focal shift and the double-transducer arrangement induces angular mutation in diffracted beam, which increase difficulty in longitudinal and lateral chromatic aberration correction respectively. In this study, the two chromatic aberrations are analyzed quantitatively based on an AOTF optical model and a novel catadioptric dual-path configuration is proposed to correct both the chromatic aberrations. The test results exhibit effectiveness of the optical configuration for this type of AOTF-based imaging spectrometer.

  10. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  11. In-Flight Spectral Calibration of the APEX Imaging Spectrometer Using Fraunhofer Lines

    Science.gov (United States)

    Kuhlmann, Gerrit; Hueni, Andreas; Damm, Aalexander; Brunner, Dominik

    2015-11-01

    The Airborne Prism EXperiment (APEX) is an imaging spectrometer which allows to observe atmospheric trace gases such as nitrogen dioxide (NO2). Using a high resolution spectrum of solar Fraunhofer lines, APEX measurements collected during flight have been spectrally calibrated for centre wavelength positions (CW) and instrument slit function (ISF) and compared to the laboratory calibration. We find that CWs depend strongly on both across- and along-track position due to spectral smile and CWs dependency on ambient pressure. The width of the ISF is larger than estimated from the laboratory calibration but can be described by a linear scaling of the laboratory values. The ISF width depends on across- but not on along-track direction. The results demonstrate the importance of characterizing and monitoring the instrument performance during flight and will be used to improve the Empa APEX NO2 retrieval algorithm.

  12. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  13. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  14. [Design of Dual-Beam Spectrometer in Spectrophotometer for Colorimetry].

    Science.gov (United States)

    Liu, Yi-xuan; Yan, Chang-xiang

    2015-07-01

    Spectrophotometers for colorimetry are usually composed of two independent and identical spectrometers. In order to reduce the volume of spectrophotometer for colorimetry, a design method of double-beam spectrometer is put forward. A traditional spectrometer is modified so that a new spectrometer can realize the function of double spectrometers, which is especially suitable for portable instruments. One slit is replaced by the double-slit, than two beams of spectrum can be detected. The working principle and design requirement of double-beam spectrometer are described. A spectrometer of portable spectrophotometer is designed by this method. A toroidal imaging mirror is used for the Czerny-Turner double-beam spectrometer in this paper, which can better correct astigmatism, and prevent the dual-beam spectral crosstalk. The results demonstrate that the double-beam spectrometer designed by this method meets the design specifications, with the spectral resolution less than 10 nm, the spectral length of 9.12 mm, and the volume of 57 mm x 54 mm x 23 mm, and without the dual-beam spectral overlap in the detector either. Comparing with a traditional spectrophotometer, the modified spectrophotometer uses a set of double-beam spectrometer instead of two sets of spectrometers, which can greatly reduce the volume. This design method can be specially applied in portable spectrophotometers, also can be widely applied in other double-beam spectrophotometers, which offers a new idea for the design of dual-beam spectrophotometers.

  15. The Moon Mineralogy Mapper (M3) imaging spectrometer for lunar science: Instrument description, calibration, on-orbit measurements, science data calibration and on-orbit validation

    Science.gov (United States)

    Green, R.O.; Pieters, C.; Mouroulis, P.; Eastwood, M.; Boardman, J.; Glavich, T.; Isaacson, P.; Annadurai, M.; Besse, S.; Barr, D.; Buratti, B.; Cate, D.; Chatterjee, A.; Clark, R.; Cheek, L.; Combe, J.; Dhingra, D.; Essandoh, V.; Geier, S.; Goswami, J.N.; Green, R.; Haemmerle, V.; Head, J.; Hovland, L.; Hyman, S.; Klima, R.; Koch, T.; Kramer, G.; Kumar, A.S.K.; Lee, Kenneth; Lundeen, S.; Malaret, E.; McCord, T.; McLaughlin, S.; Mustard, J.; Nettles, J.; Petro, N.; Plourde, K.; Racho, C.; Rodriquez, J.; Runyon, C.; Sellar, G.; Smith, C.; Sobel, H.; Staid, M.; Sunshine, J.; Taylor, L.; Thaisen, K.; Tompkins, S.; Tseng, H.; Vane, G.; Varanasi, P.; White, M.; Wilson, D.

    2011-01-01

    The NASA Discovery Moon Mineralogy Mapper imaging spectrometer was selected to pursue a wide range of science objectives requiring measurement of composition at fine spatial scales over the full lunar surface. To pursue these objectives, a broad spectral range imaging spectrometer with high uniformity and high signal-to-noise ratio capable of measuring compositionally diagnostic spectral absorption features from a wide variety of known and possible lunar materials was required. For this purpose the Moon Mineralogy Mapper imaging spectrometer was designed and developed that measures the spectral range from 430 to 3000 nm with 10 nm spectral sampling through a 24 degree field of view with 0.7 milliradian spatial sampling. The instrument has a signal-to-noise ratio of greater than 400 for the specified equatorial reference radiance and greater than 100 for the polar reference radiance. The spectral cross-track uniformity is >90% and spectral instantaneous field-of-view uniformity is >90%. The Moon Mineralogy Mapper was launched on Chandrayaan-1 on the 22nd of October. On the 18th of November 2008 the Moon Mineralogy Mapper was turned on and collected a first light data set within 24 h. During this early checkout period and throughout the mission the spacecraft thermal environment and orbital parameters varied more than expected and placed operational and data quality constraints on the measurements. On the 29th of August 2009, spacecraft communication was lost. Over the course of the flight mission 1542 downlinked data sets were acquired that provide coverage of more than 95% of the lunar surface. An end-to-end science data calibration system was developed and all measurements have been passed through this system and delivered to the Planetary Data System (PDS.NASA.GOV). An extensive effort has been undertaken by the science team to validate the Moon Mineralogy Mapper science measurements in the context of the mission objectives. A focused spectral, radiometric

  16. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  17. AN IMAGING STUDY OF A COMPLEX SOLAR CORONAL RADIO ERUPTION

    Energy Technology Data Exchange (ETDEWEB)

    Feng, S. W.; Chen, Y.; Song, H. Q.; Wang, B.; Kong, X. L., E-mail: yaochen@sdu.edu.cn [Shandong Provincial Key Laboratory of Optical Astronomy and Solar-Terrestrial Environment, and Institute of Space Sciences, Shandong University, Weihai, Shandong 264209 (China)

    2016-08-10

    Solar coronal radio bursts are enhanced radio emission excited by energetic electrons accelerated during solar eruptions. Studying these bursts is important for investigating the origin and physical mechanism of energetic particles and further diagnosing coronal parameters. Earlier studies suffered from a lack of simultaneous high-quality imaging data of the radio burst and the eruptive structure in the inner corona. Here we present a study on a complex solar radio eruption consisting of a type II burst and three reversely drifting type III bursts, using simultaneous EUV and radio imaging data. It is found that the type II burst is closely associated with a propagating and evolving CME-driven EUV shock structure, originated initially at the northern shock flank and later transferred to the top part of the shock. This source transfer is coincident with the presence of shock decay and enhancing signatures observed at the corresponding side of the EUV front. The electron energy accelerated by the shock at the flank is estimated to be ∼0.3 c by examining the imaging data of the fast-drifting herringbone structure of the type II burst. The reverse-drifting type III sources are found to be within the ejecta and correlated with a likely reconnection event therein. The implications for further observational studies and relevant space weather forecasting techniques are discussed.

  18. Characterisation of deuterium spectra from laser driven multi-species sources by employing differentially filtered image plate detectors in Thomson spectrometers

    International Nuclear Information System (INIS)

    Alejo, A.; Kar, S.; Ahmed, H.; Doria, D.; Green, A.; Jung, D.; Lewis, C. L. S.; Nersisyan, G.; Krygier, A. G.; Freeman, R. R.; Clarke, R.; Green, J. S.; Notley, M.; Fernandez, J.; Fuchs, J.; Kleinschmidt, A.; Roth, M.; Morrison, J. T.; Najmudin, Z.; Nakamura, H.

    2014-01-01

    A novel method for characterising the full spectrum of deuteron ions emitted by laser driven multi-species ion sources is discussed. The procedure is based on using differential filtering over the detector of a Thompson parabola ion spectrometer, which enables discrimination of deuterium ions from heavier ion species with the same charge-to-mass ratio (such as C 6+ , O 8+ , etc.). Commonly used Fuji Image plates were used as detectors in the spectrometer, whose absolute response to deuterium ions over a wide range of energies was calibrated by using slotted CR-39 nuclear track detectors. A typical deuterium ion spectrum diagnosed in a recent experimental campaign is presented, which was produced from a thin deuterated plastic foil target irradiated by a high power laser

  19. Characterisation of deuterium spectra from laser driven multi-species sources by employing differentially filtered image plate detectors in Thomson spectrometers

    Science.gov (United States)

    Alejo, A.; Kar, S.; Ahmed, H.; Krygier, A. G.; Doria, D.; Clarke, R.; Fernandez, J.; Freeman, R. R.; Fuchs, J.; Green, A.; Green, J. S.; Jung, D.; Kleinschmidt, A.; Lewis, C. L. S.; Morrison, J. T.; Najmudin, Z.; Nakamura, H.; Nersisyan, G.; Norreys, P.; Notley, M.; Oliver, M.; Roth, M.; Ruiz, J. A.; Vassura, L.; Zepf, M.; Borghesi, M.

    2014-09-01

    A novel method for characterising the full spectrum of deuteron ions emitted by laser driven multi-species ion sources is discussed. The procedure is based on using differential filtering over the detector of a Thompson parabola ion spectrometer, which enables discrimination of deuterium ions from heavier ion species with the same charge-to-mass ratio (such as C6 +, O8 +, etc.). Commonly used Fuji Image plates were used as detectors in the spectrometer, whose absolute response to deuterium ions over a wide range of energies was calibrated by using slotted CR-39 nuclear track detectors. A typical deuterium ion spectrum diagnosed in a recent experimental campaign is presented, which was produced from a thin deuterated plastic foil target irradiated by a high power laser.

  20. Characterisation of deuterium spectra from laser driven multi-species sources by employing differentially filtered image plate detectors in Thomson spectrometers

    Energy Technology Data Exchange (ETDEWEB)

    Alejo, A.; Kar, S., E-mail: s.kar@qub.ac.uk; Ahmed, H.; Doria, D.; Green, A.; Jung, D.; Lewis, C. L. S.; Nersisyan, G. [Centre for Plasma Physics, School of Mathematics and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); Krygier, A. G.; Freeman, R. R. [Department of Physics, The Ohio State University, Columbus, Ohio 43210 (United States); Clarke, R.; Green, J. S.; Notley, M. [Central Laser Facility, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX (United Kingdom); Fernandez, J. [Central Laser Facility, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX (United Kingdom); Instituto de Fusión Nuclear, Universidad Politécnica de Madrid, 28006 Madrid (Spain); Fuchs, J. [LULI, École Polytechnique, CNRS, CEA, UPMC, 91128 Palaiseau (France); Kleinschmidt, A.; Roth, M. [Institut für Kernphysik, Technische Universität Darmstadt, Schloßgartenstrasse 9, D-64289 Darmstadt (Germany); Morrison, J. T. [Propulsion Systems Directorate, Air Force Research Lab, Wright Patterson Air Force Base, Ohio 45433 (United States); Najmudin, Z.; Nakamura, H. [Blackett Laboratory, Department of Physics, Imperial College, London SW7 2AZ (United Kingdom); and others

    2014-09-15

    A novel method for characterising the full spectrum of deuteron ions emitted by laser driven multi-species ion sources is discussed. The procedure is based on using differential filtering over the detector of a Thompson parabola ion spectrometer, which enables discrimination of deuterium ions from heavier ion species with the same charge-to-mass ratio (such as C{sup 6+}, O{sup 8+}, etc.). Commonly used Fuji Image plates were used as detectors in the spectrometer, whose absolute response to deuterium ions over a wide range of energies was calibrated by using slotted CR-39 nuclear track detectors. A typical deuterium ion spectrum diagnosed in a recent experimental campaign is presented, which was produced from a thin deuterated plastic foil target irradiated by a high power laser.

  1. Miniature Raman spectrometer development

    Science.gov (United States)

    Bonvallet, Joseph; Auz, Bryan; Rodriguez, John; Olmstead, Ty

    2018-02-01

    The development of techniques to rapidly identify samples ranging from, molecule and particle imaging to detection of high explosive materials, has surged in recent years. Due to this growing want, Raman spectroscopy gives a molecular fingerprint, with no sample preparation, and can be done remotely. These systems can be small, compact, lightweight, and with a user interface that allows for easy use and sample identification. Ocean Optics Inc. has developed several systems that would meet all these end user requirements. This talk will describe the development of different Ocean Optics Inc miniature Raman spectrometers. The spectrometer on a phone (SOAP) system was designed using commercial off the shelf (COTS) components, in a rapid product development cycle. The footprint of the system measures 40x40x14 mm (LxWxH) and was coupled directly to the cell phone detector camera optics. However, it gets roughly only 40 cm-1 resolution. The Accuman system is the largest (290x220X100 mm) of the three, but uses our QEPro spectrometer and get 7-11 cm-1 resolution. Finally, the HRS-30 measuring 165x85x40 mm is a combination of the other two systems. This system uses a modified EMBED spectrometer and gets 7-12 cm-1 resolution. Each of these units uses a peak matching algorithm that then correlates the results to the pre-loaded and customizable spectral libraries.

  2. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  3. Probing the Quiet Solar Atmosphere from the Photosphere to the Corona

    Science.gov (United States)

    Kontogiannis, Ioannis; Gontikakis, Costis; Tsiropoula, Georgia; Tziotziou, Kostas

    2018-04-01

    We investigate the morphology and temporal variability of a quiet-Sun network region in different solar layers. The emission in several extreme ultraviolet (EUV) spectral lines through both raster and slot time-series, recorded by the EUV Imaging Spectrometer (EIS) on board the Hinode spacecraft is studied along with Hα observations and high-resolution spectropolarimetric observations of the photospheric magnetic field. The photospheric magnetic field is extrapolated up to the corona, showing a multitude of large- and small-scale structures. We show for the first time that the smallest magnetic structures at both the network and internetwork contribute significantly to the emission in EUV lines, with temperatures ranging from 8× 104 K to 6× 105 K. Two components of transition region emission are present, one associated with small-scale loops that do not reach coronal temperatures, and another component that acts as an interface between coronal and chromospheric plasma. Both components are associated with persistent chromospheric structures. The temporal variability of the EUV intensity at the network region is also associated with chromospheric motions, pointing to a connection between transition region and chromospheric features. Intensity enhancements in the EUV transition region lines are preferentially produced by Hα upflows. Examination of two individual chromospheric jets shows that their evolution is associated with intensity variations in transition region and coronal temperatures.

  4. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  5. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  6. Engineering Model Panchromatic Fourier Transform Spectrometer (PanFTS) Instrument for the GEO-CAPE Mission

    Data.gov (United States)

    National Aeronautics and Space Administration — The Panchromatic Fourier Transform Spectrometer (PanFTS) is an imaging spectrometer that can measure pollutants, greenhouse gases, and aerosols as called for in the...

  7. Modeling the expected performance of the REgolith X-ray Imaging Spectrometer (REXIS)

    Science.gov (United States)

    Inamdar, Niraj K.; Binzel, Richard P.; Hong, Jae Sub; Allen, Branden; Grindlay, Jonathan; Masterson, Rebecca A.

    2014-09-01

    OSIRIS-REx is the third spacecraft in the NASA New Frontiers Program and is planned for launch in 2016. OSIRIS-REx will orbit the near-Earth asteroid (101955) Bennu, characterize it, and return a sample of the asteroid's regolith back to Earth. The Regolith X-ray Imaging Spectrometer (REXIS) is an instrument on OSIRIS-REx designed and built by students at MIT and Harvard. The purpose of REXIS is to collect and image sun-induced fluorescent X-rays emitted by Bennu, thereby providing spectroscopic information related to the elemental makeup of the asteroid regolith and the distribution of features over its surface. Telescopic reflectance spectra suggest a CI or CM chondrite analog meteorite class for Bennu, where this primitive nature strongly motivates its study. A number of factors, however, will influence the generation, measurement, and interpretation of the X-ray spectra measured by REXIS. These include: the compositional nature and heterogeneity of Bennu, the time-variable solar state, X-ray detector characteristics, and geometric parameters for the observations. In this paper, we will explore how these variables influence the precision to which REXIS can measure Bennu's surface composition. By modeling the aforementioned factors, we place bounds on the expected performance of REXIS and its ability to ultimately place Bennu in an analog meteorite class.

  8. Electron volt neutron spectrometers

    International Nuclear Information System (INIS)

    Pietropaolo, A.; Senesi, R.

    2011-01-01

    The advent of pulsed neutron sources has made available intense fluxes of epithermal neutrons (500 meV ≤E≤100 eV ). The possibility to open new investigations on condensed matter with eV neutron scattering techniques, is related to the development of methods, concepts and devices that drive, or are inspired by, emerging studies at this energy scale. Electron volt spectrometers have undergone continuous improvements since the construction of the first prototype instruments, but in the last decade major breakthroughs have been accomplished in terms of resolution and counting statistics, leading, for example, to the direct measurement of the proton 3-D Born–Oppenheimer potential in any material, or to quantitatively probe nuclear quantum effects in hydrogen bonded systems. This paper reports on the most effective methods and concepts for energy analysis and detection, as well as devices for the optimization of electron volt spectrometers for different applications. This is set in the context of the progress made up to date in instrument development. Starting from early stages of development of the technique, particular emphasis will be given to the Vesuvio eV spectrometer at the ISIS neutron source, the first spectrometer where extensive scientific, as well as research and development programmes have been carried out. The potential offered by this type of instrumentation, from single particle excitations to momentum distribution studies, is then put in perspective into the emerging fields of eV spectroscopy applied to cultural heritages and neutron irradiation effects in electronics. - Highlights: ► Neutron spectrometers at eV energies. ► Methods and techniques for eV neutrons counting at spallation sources. ► Scattering, imaging and radiation hardness tests with multi-eV neutrons.

  9. Integration and Evaluation of Microscope Adapter for the Ultra-Compact Imaging Spectrometer

    Science.gov (United States)

    Smith-Dryden, S. D.; Blaney, D. L.; Van Gorp, B.; Mouroulis, P.; Green, R. O.; Sellar, R. G.; Rodriguez, J.; Wilson, D.

    2012-12-01

    Petrologic, diagenetic, impact and weathering processes often happen at scales that are not observable from orbit. On Earth, one of the most common things that a scientist does when trying to understand detailed geologic history is to create a thin section of the rock and study the mineralogy and texture. Unfortunately, sample preparation and manipulation with advanced instrumentation may be a resource intensive proposition (e.g. time, power, complexity) in-situ. Getting detailed mineralogy and textural information without sample preparation is highly desirable. Visible to short wavelength microimaging spectroscopy has the potential to provide this information without sample preparation. Wavelengths between 500-2600 nm are sensitive to a wide range of minerals including mafic, carbonates, clays, and sulfates. The Ultra-Compact Imaging Spectrometer (UCIS) has been developed as a low mass (contract with the National Aeronautics and Space Administration. Work was carried out with JPL Research and Technology Development Funding.

  10. Imaging open-path Fourier transform infrared spectrometer for 3D cloud profiling

    Science.gov (United States)

    Rentz Dupuis, Julia; Mansur, David J.; Vaillancourt, Robert; Carlson, David; Evans, Thomas; Schundler, Elizabeth; Todd, Lori; Mottus, Kathleen

    2010-04-01

    OPTRA has developed an imaging open-path Fourier transform infrared (I-OP-FTIR) spectrometer for 3D profiling of chemical and biological agent simulant plumes released into test ranges and chambers. An array of I-OP-FTIR instruments positioned around the perimeter of the test site, in concert with advanced spectroscopic algorithms, enables real time tomographic reconstruction of the plume. The approach is intended as a referee measurement for test ranges and chambers. This Small Business Technology Transfer (STTR) effort combines the instrumentation and spectroscopic capabilities of OPTRA, Inc. with the computed tomographic expertise of the University of North Carolina, Chapel Hill. In this paper, we summarize the design and build and detail system characterization and test of a prototype I-OP-FTIR instrument. System characterization includes radiometric performance and spectral resolution. Results from a series of tomographic reconstructions of sulfur hexafluoride plumes in a laboratory setting are also presented.

  11. Do it yourself: optical spectrometer for physics undergraduate instruction in nanomaterial characterization

    International Nuclear Information System (INIS)

    Nuryantini, Ade Yeti; Mahen, Ea Cahya Septia; Sawitri, Asti; Nuryadin, Bebeh Wahid

    2017-01-01

    In this paper, we report on a homemade optical spectrometer using diffraction grating and image processing techniques. This device was designed to produce spectral images that could then be processed by measuring signal strength (pixel intensity) to obtain the light source, transmittance, and absorbance spectra of the liquid sample. The homemade optical spectrometer consisted of: (i) a white LED as a light source, (ii) a cuvette or sample holder, (iii) a slit, (iv) a diffraction grating, and (v) a CMOS camera (webcam). In this study, various concentrations of a carbon nanoparticle (CNP) colloid were used in the particle size sample test. Additionally, a commercial optical spectrometer and tunneling electron microscope (TEM) were used to characterize the optical properties and morphology of the CNPs, respectively. The data obtained using the homemade optical spectrometer, commercial optical spectrometer, and TEM showed similar results and trends. Lastly, the calculation and measurement of CNP size were performed using the effective mass approximation (EMA) and TEM. These data showed that the average nanoparticle sizes were approximately 2.4 nm and 2.5 ± 0.3 nm, respectively. This research provides new insights into the development of a portable, simple, and low-cost optical spectrometer that can be used in nanomaterial characterization for physics undergraduate instruction. (paper)

  12. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  13. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  14. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  15. Mission Concepts for High-Resolution Solar Imaging with a Photon Sieve

    Science.gov (United States)

    Rabin, Douglas M.; Davila, Joseph; Daw, Adrian N.; Denis, Kevin L.; Novo-Gradac, Anne-Marie; Shah, Neerav; Widmyer, Thomas R.

    2017-08-01

    The best EUV coronal imagers are unable to probe the expected energy dissipation scales of the solar corona (rocket, a single spacecraft with a deployed boom, and two spacecraft flying in precise formation.

  16. Advances in miniature spectrometer and sensor development

    Science.gov (United States)

    Malinen, Jouko; Rissanen, Anna; Saari, Heikki; Karioja, Pentti; Karppinen, Mikko; Aalto, Timo; Tukkiniemi, Kari

    2014-05-01

    Miniaturization and cost reduction of spectrometer and sensor technologies has great potential to open up new applications areas and business opportunities for analytical technology in hand held, mobile and on-line applications. Advances in microfabrication have resulted in high-performance MEMS and MOEMS devices for spectrometer applications. Many other enabling technologies are useful for miniature analytical solutions, such as silicon photonics, nanoimprint lithography (NIL), system-on-chip, system-on-package techniques for integration of electronics and photonics, 3D printing, powerful embedded computing platforms, networked solutions as well as advances in chemometrics modeling. This paper will summarize recent work on spectrometer and sensor miniaturization at VTT Technical Research Centre of Finland. Fabry-Perot interferometer (FPI) tunable filter technology has been developed in two technical versions: Piezoactuated FPIs have been applied in miniature hyperspectral imaging needs in light weight UAV and nanosatellite applications, chemical imaging as well as medical applications. Microfabricated MOEMS FPIs have been developed as cost-effective sensor platforms for visible, NIR and IR applications. Further examples of sensor miniaturization will be discussed, including system-on-package sensor head for mid-IR gas analyzer, roll-to-roll printed Surface Enhanced Raman Scattering (SERS) technology as well as UV imprinted waveguide sensor for formaldehyde detection.

  17. Bright soil units on Mars determined from ISM imaging spectrometer data

    Science.gov (United States)

    Murchie, Scott; Mustard, John

    1993-01-01

    The lithology of bright Martian soil provides evidence for chemical and physical processes that have modified the planet's surface. Data from the ISM imaging spectrometer, which observed much of the equatorial region at a spatial resolution of approximately 22 km, cover the NIR wavelength range critical to ascertaining the presence and abundance of Fe-containing phases, hydroxylated silicates, and H2O in the bright soil. ISM data previously have revealed spatial variations in depth of the 3.0-microns H2O absorption suggesting differences in water content, a weak absorption at 2.2 microns indicative of metal-OH in phyllosilicate, and variations in the 1-micron Fe absorption indicative of differences in Fe mineralogy. This paper summarizes first results of a systematic investigation of spectral heterogeneity in bright soils observed by ISM. At least seven 'units' with distinctive properties were discriminated. Comparison of their spatial distributions with Viking data shows that they generally correspond with previously recognized morphologic, color, and thermal features. These correspondences and the units' spectral attributes provide evidence for lithologic differences between the soils in different geologic settings.

  18. FIBRILLAR CHROMOSPHERIC SPICULE-LIKE COUNTERPARTS TO AN EXTREME-ULTRAVIOLET AND SOFT X-RAY BLOWOUT CORONAL JET

    International Nuclear Information System (INIS)

    Sterling, Alphonse C.; Moore, Ronald L.; Harra, Louise K.

    2010-01-01

    We observe an erupting jet feature in a solar polar coronal hole, using data from Hinode/Solar Optical Telescope (SOT), Extreme Ultraviolet Imaging Spectrometer (EIS), and X-Ray Telescope (XRT), with supplemental data from STEREO/EUVI. From extreme-ultraviolet (EUV) and soft X-ray (SXR) images we identify the erupting feature as a blowout coronal jet: in SXRs it is a jet with a bright base, and in EUV it appears as an eruption of relatively cool (∼50,000 K) material of horizontal size scale ∼30'' originating from the base of the SXR jet. In SOT Ca II H images, the most pronounced analog is a pair of thin (∼1'') ejections at the locations of either of the two legs of the erupting EUV jet. These Ca II features eventually rise beyond 45'', leaving the SOT field of view, and have an appearance similar to standard spicules except that they are much taller. They have velocities similar to that of 'type II' spicules, ∼100 km s -1 , and they appear to have spicule-like substructures splitting off from them with horizontal velocity ∼50 km s -1 , similar to the velocities of splitting spicules measured by Sterling et al. Motions of splitting features and of other substructures suggest that the macroscopic EUV jet is spinning or unwinding as it is ejected. This and earlier work suggest that a subpopulation of Ca II type II spicules are the Ca II manifestation of portions of larger scale erupting magnetic jets. A different subpopulation of type II spicules could be blowout jets occurring on a much smaller horizontal size scale than the event we observe here.

  19. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  20. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  1. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  2. Development and design of a line imaging spectrometer sampler (LISS) - A user manual

    DEFF Research Database (Denmark)

    Jørgensen, R.N.; Rasmussen, P.

    2002-01-01

    The objective of this report is to develop and describe the software for a Line Imaging Spectrometer Sampler (LISS) to perform measurements of spectra combined with a digital RGB photo of a measurant. Secondly this report should enable users to performmeasurements with the system. The measuring...... are developed as a Graphical User Interfaced (GUI) hosted by Matlab Release 12 from Mathworks. This GUI enables the operator to perform measurements from alldevices simultaneously together with notes specific for the measurant and store all the data in one Matlab data structure. The software includes dynamic...... exposure of the two CCD cameras ensuring optimal use of 16 bit range under unstable illuminationconditions. A routine, handling dark frame subtraction in a robust manner minimising the effect of hot pixels is also included. This report enables a novice user to perform measurements with LISS relatively easy...

  3. Selected programs at the new SURF III electron storage ring

    International Nuclear Information System (INIS)

    Furst, Mitchell L.; Arp, Uwe; Cauchon, Gilles P.; Graves, Rossie M.; Hamilton, Andrew D.; Hughey, Lanny R.; Lucatorto, Thomas B.; Tarrio, Charles

    2000-01-01

    The conversion of the electron storage ring at NIST (the National Institute of Standards and Technology) to SURF III (the Synchrotron Ultraviolet Radiation Facility) has resulted in a significant improvement to the azimuthal uniformity of the magnetic field as well as the capability for operating at higher beam energies. Measurements of magnetic field strength revealed azimuthal uniformity of better than ±0.05% at field strengths equivalent to operating energies of 52 MeV to 417 MeV. Initial operation is restricted to energies up to 331 MeV due to temporary limitations in the rf transmission system. Even at 331 MeV there is already a significant extension of the usable short wavelength range of the synchrotron radiation as compared to the range available at the 284 MeV operating energy of SURF II. These and other improvements have a major impact on SURF programs including: the Nanodetector, a conversion microscope which is a prototype real-time imaging system for EUV (extreme ultraviolet) lithography; the Spectrometer Calibration Beamline, used for high-accuracy absolute calibration of spectrometers; and the National EUV Reflectometry Facility, used to measure optical constants of thin-film multilayer optics

  4. Permanent-magnet energy spectrometer for electron beams from radiotherapy accelerators

    Energy Technology Data Exchange (ETDEWEB)

    McLaughlin, David J.; Shikhaliev, Polad M.; Matthews, Kenneth L. [Department of Physics and Astronomy, Louisiana State University, 202 Nicholson Hall, Baton Rouge, Louisiana 70803-4001 (United States); Hogstrom, Kenneth R., E-mail: hogstrom@lsu.edu; Carver, Robert L.; Gibbons, John P. [Mary Bird Perkins Cancer Center, 4950 Essen Lane, Baton Rouge, Louisiana 70809-3482 and Department of Physics and Astronomy, Louisiana State University, 202 Nicholson Hall, Baton Rouge, Louisiana 70803-4001 (United States); Clarke, Taylor; Henderson, Alexander; Liang, Edison P. [Physics and Astronomy Department, Rice University, 6100 Main MS-61, Houston, Texas 77005-1827 (United States)

    2015-09-15

    Purpose: The purpose of this work was to adapt a lightweight, permanent magnet electron energy spectrometer for the measurement of energy spectra of therapeutic electron beams. Methods: An irradiation geometry and measurement technique were developed for an approximately 0.54-T, permanent dipole magnet spectrometer to produce suitable latent images on computed radiography (CR) phosphor strips. Dual-pinhole electron collimators created a 0.318-cm diameter, approximately parallel beam incident on the spectrometer and an appropriate dose rate at the image plane (CR strip location). X-ray background in the latent image, reduced by a 7.62-cm thick lead block between the pinhole collimators, was removed using a fitting technique. Theoretical energy-dependent detector response functions (DRFs) were used in an iterative technique to transform CR strip net mean dose profiles into energy spectra on central axis at the entrance to the spectrometer. These spectra were transformed to spectra at 95-cm source to collimator distance (SCD) by correcting for the energy dependence of electron scatter. The spectrometer was calibrated by comparing peak mean positions in the net mean dose profiles, initially to peak mean energies determined from the practical range of central-axis percent depth-dose (%DD) curves, and then to peak mean energies that accounted for how the collimation modified the energy spectra (recalibration). The utility of the spectrometer was demonstrated by measuring the energy spectra for the seven electron beams (7–20 MeV) of an Elekta Infinity radiotherapy accelerator. Results: Plots of DRF illustrated their dependence on energy and position in the imaging plane. Approximately 15 iterations solved for the energy spectra at the spectrometer entrance from the measured net mean dose profiles. Transforming those spectra into ones at 95-cm SCD increased the low energy tail of the spectra, while correspondingly decreasing the peaks and shifting them to slightly lower

  5. Permanent-magnet energy spectrometer for electron beams from radiotherapy accelerators.

    Science.gov (United States)

    McLaughlin, David J; Hogstrom, Kenneth R; Carver, Robert L; Gibbons, John P; Shikhaliev, Polad M; Matthews, Kenneth L; Clarke, Taylor; Henderson, Alexander; Liang, Edison P

    2015-09-01

    The purpose of this work was to adapt a lightweight, permanent magnet electron energy spectrometer for the measurement of energy spectra of therapeutic electron beams. An irradiation geometry and measurement technique were developed for an approximately 0.54-T, permanent dipole magnet spectrometer to produce suitable latent images on computed radiography (CR) phosphor strips. Dual-pinhole electron collimators created a 0.318-cm diameter, approximately parallel beam incident on the spectrometer and an appropriate dose rate at the image plane (CR strip location). X-ray background in the latent image, reduced by a 7.62-cm thick lead block between the pinhole collimators, was removed using a fitting technique. Theoretical energy-dependent detector response functions (DRFs) were used in an iterative technique to transform CR strip net mean dose profiles into energy spectra on central axis at the entrance to the spectrometer. These spectra were transformed to spectra at 95-cm source to collimator distance (SCD) by correcting for the energy dependence of electron scatter. The spectrometer was calibrated by comparing peak mean positions in the net mean dose profiles, initially to peak mean energies determined from the practical range of central-axis percent depth-dose (%DD) curves, and then to peak mean energies that accounted for how the collimation modified the energy spectra (recalibration). The utility of the spectrometer was demonstrated by measuring the energy spectra for the seven electron beams (7-20 MeV) of an Elekta Infinity radiotherapy accelerator. Plots of DRF illustrated their dependence on energy and position in the imaging plane. Approximately 15 iterations solved for the energy spectra at the spectrometer entrance from the measured net mean dose profiles. Transforming those spectra into ones at 95-cm SCD increased the low energy tail of the spectra, while correspondingly decreasing the peaks and shifting them to slightly lower energies. Energy calibration

  6. GREGOR Fabry-Pérot interferometer and its companion the blue imaging solar spectrometer

    Science.gov (United States)

    Puschmann, Klaus G.; Denker, Carsten; Balthasar, Horst; Louis, Rohan E.; Popow, Emil; Woche, Manfred; Beck, Christian; Seelemann, Thomas; Volkmer, Reiner

    2013-08-01

    The GREGOR Fabry-Pérot Interferometer (GFPI) is one of three first-light instruments of the German 1.5-m GREGOR solar telescope at the Observatorio del Teide, Tenerife, Spain. The GFPI allows fast narrow-band imaging and postfactum image restoration. The retrieved physical parameters will be a fundamental building block for understanding the dynamic sun and its magnetic field at spatial scales down to ˜50 km on the solar surface. The GFPI is a tunable dual-etalon system in a collimated mounting. It is designed for spectrometric and spectropolarimetric observations between 530-860 nm and 580-660 nm, respectively, and possesses a theoretical spectral resolution of R≈250,000. Large-format, high-cadence charged coupled device detectors with sophisticated computer hard- and software enable the scanning of spectral lines in time-spans equivalent to the evolution time of solar features. The field-of-view (FOV) of 50″×38″ covers a significant fraction of the typical area of active regions in the spectroscopic mode. In case of Stokes-vector spectropolarimetry, the FOV reduces to 25″×38″. The main characteristics of the GFPI including advanced and automated calibration and observing procedures are presented. Improvements in the optical design of the instrument are discussed and first observational results are shown. Finally, the first concrete ideas for the integration of a second FPI, the blue imaging solar spectrometer, are laid out, which will explore the blue spectral region below 530 nm.

  7. Scientific Payload Of The Emirates Mars Mission: Emirates Mars Infrared Spectrometer (Emirs) Overview.

    Science.gov (United States)

    Altunaiji, E. S.; Edwards, C. S.; Christensen, P. R.; Smith, M. D.; Badri, K. M., Sr.

    2017-12-01

    The Emirates Mars Mission (EMM) will launch in 2020 to explore the dynamics in the atmosphere of Mars on a global scale. EMM has three scientific instruments to an improved understanding of circulation and weather in the Martian lower and middle atmosphere. Two of the EMM's instruments, which are the Emirates eXploration Imager (EXI) and Emirates Mars Infrared Spectrometer (EMIRS) will focus on the lower atmosphere observing dust, ice clouds, water vapor and ozone. On the other hand, the third instrument Emirates Mars Ultraviolet Spectrometer (EMUS) will focus on both the thermosphere of the planet and its exosphere. The EMIRS instrument, shown in Figure 1, is an interferometric thermal infrared spectrometer that is jointly developed by Arizona State University (ASU) and Mohammed Bin Rashid Space Centre (MBRSC). It builds on a long heritage of thermal infrared spectrometers designed, built, and managed, by ASU's Mars Space Flight Facility, including the Thermal Emission Spectrometer (TES), Miniature Thermal Emission Spectrometer (Mini-TES), and the OSIRIS-REx Thermal Emission Spectrometer (OTES). EMIRS operates in the 6-40+ µm range with 5 cm-1 spectral sampling, enabled by a Chemical Vapor-Deposited (CVD) diamond beamsplitter and state of the art electronics. This instrument utilizes a 3×3 detector array and a scan mirror to make high-precision infrared radiance measurements over most of a Martian hemisphere. The EMIRS instrument is optimized to capture the integrated, lower-middle atmosphere dynamics over a Martian hemisphere and will capture 60 global images per week ( 20 images per orbit) at a resolution of 100-300 km/pixel. After processing through an atmospheric retrieval algorithm, EMIRS will determine the vertical temperature profiles to 50km altitude and measure the column integrated global distribution and abundances of key atmospheric parameters (e.g. dust, water ice (clouds) and water vapor) over the Martian day, seasons and year.

  8. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  9. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  10. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  11. A Restrospective and Prospective Examination of NOAA Solar Imaging

    Science.gov (United States)

    Hill, S. M.

    2015-12-01

    NOAA has provided soft X-ray imaging of the lower corona since the early 2000's. It is currently building the spacecraft and instrumentation to observe the sun in the extreme ultraviolet (EUV) through 2036. After more than 6 million calibrated images, it is appropriate to examine NOAA data as providing retrospective context for scientific missions. In particular, this presentation examines the record of GOES Solar X-ray Imager (SXI) observations, including continuity, photometric stability and comparison to other contemporary x-ray imagers. The first GOES Solar X-ray Imager was launched in 2001 and entered operations in 2003. The current SXIs will remain in operations until approximately 2020, when a new series of Solar (extreme-)Ultraviolet Imagers (SUVIs) will replace them as the current satellites reach their end of life. In the sense that the SXIs are similar to Yokoh's SXT and Hinode's XRT, the SUVI instruments will be similar to SOHO's EIT and SDO's AIA. The move to narrowband EUV imagers will better support eventual operational estimation of plasma conditions. In particular, plans are to leverage advances in automated image processing and segmentation to assist forecasters. While NOAA's principal use of these observations is real-time space weather forecasting, they will continue to provide a consistent context measurement for researchers for decades to come.

  12. The OVIRS Visible/IR Spectrometer on the OSIRIS-Rex Mission

    Science.gov (United States)

    Reuter, D. C.; Simon-Miller, A. A.

    2012-01-01

    The OSIRIS-REx (Origins Spectral Interpretation Resource Identification Security Regolith Explorer) Mission is a planetary science mission to study, and return a sample from, the carbonaceous asteroid 1999 RQ-36. The third mission selected under NASA's New Frontiers Program, it is scheduled to be launched in 2016. It is led by PI Dante Lauretta at the University of Arizona and managed by NASA's Goddard Space Flight Center. The spacecraft and the asteroid sampling mechanism, TAGSAM (Touch-And-Go Sample Acquisition Mechanism) will be provided by Lockheed Martin Space Systems. Instrumentation for studying the asteroid include: OCAMS (the OSIRIS-REx Camera Suite), OLA (the OSIRIS-REx Laser Altimeter, a scanning LIDAR), OTES (The OSIRIS-REx Thermal Emission Spectrometer, a 4-50 micron point spectrometer) and OVIRS (the OSIRIS-REx Visible and IR Spectrometer, a 0.4 to 4.3 micron point spectrometer). The payload also includes REXIS (the Regolith X-ray Imaging Spectrometer) a student provided experiment. This paper presents a description of the OVIRS instrument.

  13. Phenomena of non-thermal electrons from the X-ray imaging crystal spectrometer on J-TEXT tokamak

    International Nuclear Information System (INIS)

    Yan, W.; Chen, Z.Y.; Jin, W.; Huang, D.W.; Lee, S.G.; Shi, Y.J.; Tong, R.H.; Wang, S.Y.; Wei, Y.N.; Ma, T.K.; Zhuang, G.

    2016-01-01

    Highlights: • Some lines from X-ray imaging crystal spectrometer (XICS) can be enhanced by non-thermal electrons, such as q, r satellite lines and z lines. • Analyze the non-thermal phenomena can reduce the error of electron temperature deduced from the intensity ratio of different lines of the He-like argon spectra from XICS. • XICS can be a tool to measure the non-thermal phenomena from these enhanced lines. - Abstract: A high spectra resolution X-ray imaging crystal spectrometer has been implemented on J-TEXT Tokamak for the measurements of K_α spectra of helium-like argon and its satellite lines. The wavelength range of K_α spectra of helium-like argon is from 3.9494 Å to 3.9944 Å that includes the resonance line w, intercombination lines x and y, forbidden line z and numerous satellite lines, referenced using standard Gabriel notation. In low-density discharge, the intensity of q, r satellite lines and z lines can be significantly enhanced by non-thermal electrons. Non-thermal electrons are produced due to the low plasma density. The high hard X-ray flux from NaI detector and significant downshift electron cyclotron emissions from energetic runaway electrons also indicated that there is a large population of runaway electrons in the low-density discharge. The non-thermal part of electrons can affect the excitation/transition equilibrium or ionization/recombination equilibrium. The q line is mainly produced by inner-shell excitation of lithium-like argon, and the r line is partially produced by inner-shell excitation of lithium-like argon and dielectronic recombination of helium-like argon.

  14. Phenomena of non-thermal electrons from the X-ray imaging crystal spectrometer on J-TEXT tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Yan, W. [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, School of Electrical and Electronic Engineering, Huazhong University of Science and Technology, Wuhan (China); Chen, Z.Y., E-mail: zychen@hust.edu.cn [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, School of Electrical and Electronic Engineering, Huazhong University of Science and Technology, Wuhan (China); Jin, W. [Center of Interface Dynamics for Sustainability, China Academy of Engineering Physics, Chengdu 610200, Sichuan (China); Huang, D.W. [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, School of Electrical and Electronic Engineering, Huazhong University of Science and Technology, Wuhan (China); Lee, S.G.; Shi, Y.J. [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Tong, R.H.; Wang, S.Y.; Wei, Y.N.; Ma, T.K.; Zhuang, G. [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, School of Electrical and Electronic Engineering, Huazhong University of Science and Technology, Wuhan (China)

    2016-11-01

    Highlights: • Some lines from X-ray imaging crystal spectrometer (XICS) can be enhanced by non-thermal electrons, such as q, r satellite lines and z lines. • Analyze the non-thermal phenomena can reduce the error of electron temperature deduced from the intensity ratio of different lines of the He-like argon spectra from XICS. • XICS can be a tool to measure the non-thermal phenomena from these enhanced lines. - Abstract: A high spectra resolution X-ray imaging crystal spectrometer has been implemented on J-TEXT Tokamak for the measurements of K{sub α} spectra of helium-like argon and its satellite lines. The wavelength range of K{sub α} spectra of helium-like argon is from 3.9494 Å to 3.9944 Å that includes the resonance line w, intercombination lines x and y, forbidden line z and numerous satellite lines, referenced using standard Gabriel notation. In low-density discharge, the intensity of q, r satellite lines and z lines can be significantly enhanced by non-thermal electrons. Non-thermal electrons are produced due to the low plasma density. The high hard X-ray flux from NaI detector and significant downshift electron cyclotron emissions from energetic runaway electrons also indicated that there is a large population of runaway electrons in the low-density discharge. The non-thermal part of electrons can affect the excitation/transition equilibrium or ionization/recombination equilibrium. The q line is mainly produced by inner-shell excitation of lithium-like argon, and the r line is partially produced by inner-shell excitation of lithium-like argon and dielectronic recombination of helium-like argon.

  15. Influence of the interaction volume on the kinetic energy resolution of a velocity map imaging spectrometer

    International Nuclear Information System (INIS)

    Zhang Peng; Feng Zheng-Peng; Luo Si-Qiang; Wang Zhe

    2016-01-01

    We investigate the influence of the interaction volume on the energy resolution of a velocity map imaging spectrometer. The simulation results show that the axial interaction size has a significant influence on the resolution. This influence is increased for a higher kinetic energy. We further show that the radial interaction size has a minor influence on the energy resolution for the electron or ion with medium energy, but it is crucial for the resolution of the electron or ion with low kinetic energy. By tracing the flight trajectories we show how the electron or ion energy resolution is influenced by the interaction size. (paper)

  16. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  17. Evaluation of spectroscopic modeling for iron ions and study on non-equilibrium ionization phenomena for solar and LHD plasmas

    International Nuclear Information System (INIS)

    Watanabe, Tetsuya; Hara, Hirohisa; Yamamoto, Norimasa; Kato, Daiji; Sakaue, Hiroyuki A.; Murakami, Izumi

    2013-01-01

    Spectroscopic observations of EUV emission lines in the transition region (TR) and the corona provide unique information on physical conditions in the outer atmosphere of the Sun. The EUV Imaging Spectrometer (EIS) on board the Hinode satellite is capable of observing, for the first time in EUV, spectra and monochromatic images of plasmas in the solar TR and corona; these plasmas could possibly be in non-ionization-equilibrium conditions. EIS observes over two-wavelength bands of 170 - 210 Å and 250 - 290 Å, with typical time-resolutions of 1 - 10 seconds. Iron line emissions emerging from these wavelengths reveal that dynamic plasma accelerations and heating take place in the solar atmosphere. On the other hand, the tracer-encapsulated-pellet (TESPEL) experiments provide spectral information of EUV emission lines from iron ions produced in the Large Helical Device (LHD). Relatively cool plasmas with electron temperatures similar to those of the solar corona can be generated by controlling the neutral beam injector (NBI) system. A time-dependent collisional radiative (CR) model for elemental iron is developed as a common tool to diagnose temperatures and densities of those plasmas in the Sun and in LHD; no systematic model yet exists for iron ions in the L- and M-shell ionization stages, which are very important for coronal plasma diagnostics. Adopting the best available theoretical calculations, as well as generating the experimental data, we improve the atomic parameters of highly charged iron ions, and these results are used to extract more accurate diagnostic information out of the EIS spectra. (author)

  18. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  19. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  20. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  1. Analysis of plasmaspheric plumes: CLUSTER and IMAGE observations

    Directory of Open Access Journals (Sweden)

    F. Darrouzet

    2006-07-01

    Full Text Available Plasmaspheric plumes have been routinely observed by CLUSTER and IMAGE. The CLUSTER mission provides high time resolution four-point measurements of the plasmasphere near perigee. Total electron density profiles have been derived from the electron plasma frequency identified by the WHISPER sounder supplemented, in-between soundings, by relative variations of the spacecraft potential measured by the electric field instrument EFW; ion velocity is also measured onboard these satellites. The EUV imager onboard the IMAGE spacecraft provides global images of the plasmasphere with a spatial resolution of 0.1 RE every 10 min; such images acquired near apogee from high above the pole show the geometry of plasmaspheric plumes, their evolution and motion. We present coordinated observations of three plume events and compare CLUSTER in-situ data with global images of the plasmasphere obtained by IMAGE. In particular, we study the geometry and the orientation of plasmaspheric plumes by using four-point analysis methods. We compare several aspects of plume motion as determined by different methods: (i inner and outer plume boundary velocity calculated from time delays of this boundary as observed by the wave experiment WHISPER on the four spacecraft, (ii drift velocity measured by the electron drift instrument EDI onboard CLUSTER and (iii global velocity determined from successive EUV images. These different techniques consistently indicate that plasmaspheric plumes rotate around the Earth, with their foot fully co-rotating, but with their tip rotating slower and moving farther out.

  2. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  3. Application of a VMI spectrometer to near-threshold photoionization with synchrotron radiation

    International Nuclear Information System (INIS)

    O'Keeffe, P; Bolognesi, P; Ovcharenko, E; Avaldi, L; Mihelic, A; Richter, R; Moise, A; King, G C

    2011-01-01

    A new developed velocity map imaging spectrometer has been used to study the photoionization of atoms near threshold. The application of the spectrometer to the measurement of the angular distributions of the photoelectrons emitted in the photoionization of the Ne 2p 3/2 state between the 2p spin orbit thresholds and of polarised Ne atoms are presented.

  4. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  5. Recovery of atmospheric water vapor total column abundance from imaging spectrometer data around 940 nm - Sensitivity analysis and application to Airborne Visible/Infrared Imaging Spectrometer (AVIRIS) Data

    International Nuclear Information System (INIS)

    Carrere, V.; Conel, J.E.

    1993-01-01

    Two simple techniques to retrieve path precipitable water from the Airborne Visible/Infrared Imaging Spectrometer (AVIRIS) high spectral resolution radiance data (Continuum Interpolated Band Ratio, CIBR, and Narrow/Wide ratio, N/W), using the 940 nm water absorption band, are compared. Since the shape and depth of the atmospheric water bands are influenced not only by the water present but also by surface (background) reflectance, atmospheric scattering, and instrument radiance by calibration, a sensitivity analysis was performed using the radiative transfer code LOWTRAN 7 to determine which one of these two approaches will provide a better estimate over land and water areas. The CIBR proved to be the technique less sensitive to perturbing effects, except for errors in visibility estimate. Both techniques were applied to AVIRIS radiance data acquired over Salton Sea, California. Resulting images confirmed that the used of a constant gray reflectance in the model led to a higher overestimation of the amount of water retrieved for N/W over vegetated areas. Validation was performed through comparison between an independent estimate of water vapor from concurrent Reagan sunphotometer measurements and AVIRIS estimates. Amounts retrieved using the N/W approach match more closely in situ measurements, even after adjusting model parameters for background reflectance, viewing geometry and type of aerosol at the site. The 13% underestimation observed for the CIBR was explained by small differences ΔL(λ i ) between AVIRIS and LOWTRAN 7 modeled radiances. Results from this study emphasizes the importance of accurate instrument calibration in flight and correct physical modeling of atmospheric absorptions

  6. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  7. Search for anomalies in the neutrino sector with muon spectrometers and large LArTPC imaging detectors at CERN

    CERN Document Server

    Antonello, A.; Baibussinov, B.; Bilokon, H.; Boffelli, F.; Bonesini, M.; Calligarich, E.; Canci, N.; Centro, S.; Cesana, A.; Cieslik, K.; Cline, D.B.; Cocco, A.G.; Dequal, D.; Dermenev, A.; Dolfini, R.; De Gerone, M.; Dussoni, S.; Farnese, C.; Fava, A.; Ferrari, A.; Fiorillo, G.; Garvey, G.T.; Gatti, F.; Gibin, D.; Gninenko, S.; Guber, F.; Guglielmi, A.; Haranczyk, M.; Holeczek, J.; Ivashkin, A.; Kirsanov, M.; Kisiel, J.; Kochanek, I.; Kurepin, A.; Lagoda, J.; Lucchini, G.; Louis, W.C.; Mania, S.; Mannocchi, G.; Marchini, S.; Matveev, V.; Menegolli, A.; Meng, G.; Mills, G.B.; Montanari, C.; Nicoletto, M.; Otwinowski, S.; Palczewki, T.J.; Passardi, G.; Perfetto, F.; Picchi, P.; Pietropaolo, F.; Plonski, P.; Rappoldi, A.; Raselli, G.L.; Rossella, M.; Rubbia, C.; Sala, P.; Scaramelli, A.; Segreto, E.; Stefan, D.; Stepaniak, J.; Sulej, R.; Suvorova, O.; Terrani, M.; Tlisov, D.; Van de Water, R.G.; Trinchero, G.; Turcato, M.; Varanini, F.; Ventura, S.; Vignoli, C.; Wang, H.G.; Yang, X.; Zani, A.; Zaremba, K; Benettoni, M.; Bernardini, P.; Bertolin, A.; Brugnera, R.; Calabrese, M.; Cecchetti, A.; Cecchini, S.; Collazuol, G.; Creti, P.; Corso, F.Dal; Del Prete, A.; De Mitri, I.; De Robertis, G.; De Serio, M.; Esposti, L.Degli; Di Ferdinando, D.; Dore, U.; Dusini, S.; Fabbricatore, P.; Fanin, C.; Fini, R.A.; Fiore, G.; Garfagnini, A.; Giacomelli, G.; Giacomelli, R.; Guandalini, C.; Guerzoni, M.; Kose, U.; Laurenti, G.; Laveder, M.; Lippi, I.; Loddo, F.; Longhin, A.; Loverre, P.; Mancarella, G.; Mandrioli, G.; Margiotta, A.; Marsella, G.; Mauri, N.; Medinaceli, E.; Mengucci, A.; Mezzetto, M.; Michinelli, R.; Muciaccia, M.T.; Orecchini, D.; Paoloni, A.; Papadia, G.; Pastore, A.; Patrizii, L.; Pozzato, M.; Rosa, G.; Sahnounm, Z.; Simone, S.; Sioli, M.; Sirri, G.; Spurio, M.; Stanco, L.; Surdo, A.; Tenti, M.; Togo, V.; Ventura, M.; Zago, M.

    2012-01-01

    A new experiment with an intense ~2 GeV neutrino beam at CERN SPS is proposed in order to definitely clarify the possible existence of additional neutrino states, as pointed out by neutrino calibration source experiments, reactor and accelerator experiments and measure the corresponding oscillation parameters. The experiment is based on two identical LAr-TPCs complemented by magnetized spectrometers detecting electron and muon neutrino events at Far and Near positions, 1600 m and 300 m from the proton target, respectively. The ICARUS T600 detector, the largest LAr-TPC ever built with a size of about 600 ton of imaging mass, now running in the LNGS underground laboratory, will be moved at the CERN Far position. An additional 1/4 of the T600 detector (T150) will be constructed and located in the Near position. Two large area spectrometers will be placed downstream of the two LAr-TPC detectors to perform charge identification and muon momentum measurements from sub-GeV to several GeV energy range, greatly comple...

  8. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  9. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  10. Properties of the water column and bottom derived from Airborne Visible Infrared Imaging Spectrometer (AVIRIS) data

    Science.gov (United States)

    Lee, Zhongping; Carder, Kendall L.; Chen, Robert F.; Peacock, Thomas G.

    2001-06-01

    Using Airborne Visible Infrared Imaging Spectrometer (AVIRIS) data as an example, we show in this study that the properties of the water column and bottom of a large, shallow area can be adequately retrieved using a model-driven optimization technique. The simultaneously derived properties include bottom depth, bottom albedo, and water absorption and backscattering coefficients, which in turn could be used to derive concentrations of chlorophyll, dissolved organic matter, and suspended sediments in the water column. The derived bottom depths were compared with a bathymetry chart and a boat survey and were found to agree very well. Also, the derived bottom albedo image shows clear spatial patterns, with end-members consistent with sand and seagrass. The image of absorption and backscattering coefficients indicates that the water is quite horizontally mixed. Without bottom corrections, chlorophyll a retrievals were ˜50 mg m-3, while the retrievals after bottom corrections were tenfold less, approximating real values. These results suggest that the model and approach used work very well for the retrieval of subsurface properties of shallow-water environments even for rather turbid environments like Tampa Bay, Florida.

  11. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  12. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  13. Correlation spectrometer

    Science.gov (United States)

    Sinclair, Michael B [Albuquerque, NM; Pfeifer, Kent B [Los Lunas, NM; Flemming, Jeb H [Albuquerque, NM; Jones, Gary D [Tijeras, NM; Tigges, Chris P [Albuquerque, NM

    2010-04-13

    A correlation spectrometer can detect a large number of gaseous compounds, or chemical species, with a species-specific mask wheel. In this mode, the spectrometer is optimized for the direct measurement of individual target compounds. Additionally, the spectrometer can measure the transmission spectrum from a given sample of gas. In this mode, infrared light is passed through a gas sample and the infrared transmission signature of the gasses present is recorded and measured using Hadamard encoding techniques. The spectrometer can detect the transmission or emission spectra in any system where multiple species are present in a generally known volume.

  14. The CubeSat Imaging X-ray Solar Spectrometer (CubIXSS) Mission Concept

    Science.gov (United States)

    Caspi, Amir; Shih, Albert Y.; Warren, Harry; DeForest, Craig; Laurent, Glenn Thomas; Schwartz, Richard A.; Woods, Thomas N.; Mason, James; Palo, Scott; Steslicki, Marek; Sylwester, Janusz; Gburek, Szymon; Mrozek, Tomasz; Kowalinski, Miroslaw; Torre, Gabriele; Crowley, Geoffrey; Schattenburg, Mark

    2017-08-01

    Solar soft X-ray (SXR) observations provide important diagnostics of plasma heating, during solar flares and quiescent times. Spectrally- and temporally-resolved measurements are crucial for understanding the dynamics, origins, and evolution of these energetic processes, providing probes both into the temperature distributions and elemental compositions of hot plasmas; spatially-resolved measurements are critical for understanding energy transport and mass flow. A better understanding of the thermal plasma improves our understanding of the relationships between particle acceleration, plasma heating, and the underlying release of magnetic energy during reconnection. We introduce a new proposed small satellite mission, the CubeSat Imaging X-ray Solar Spectrometer (CubIXSS), to measure spectrally- and spatially-resolved SXRs from the quiescent and flaring Sun from a 6U CubeSat platform in low-Earth orbit during a nominal 1-year mission. CubIXSS includes the Amptek X123-FastSDD silicon drift detector, a low-noise, commercial off-the-shelf (COTS) instrument enabling solar SXR spectroscopy from ~0.5 to ~30 keV with ~0.15 keV FWHM spectral resolution with low power, mass, and volume requirements. Multiple detectors and tailored apertures provide sensitivity to a wide range of solar conditions, optimized for a launch during solar minimum. The precise spectra from these instruments will provide detailed measurements of the coronal temperature distribution and elemental abundances from the quiet Sun to active regions and flares. CubIXSS also includes a novel spectro-spatial imager -- the first ever solar imager on a CubeSat -- utilizing a custom pinhole camera and Chandra-heritage X-ray transmission diffraction grating to provide spatially- resolved, full-Sun imaging spectroscopy from ~0.1 to ~10 keV, with ~25 arcsec and ~0.1 Å FWHM spatial and spectral resolutions, respectively. MOXSI’s unique capabilities enable SXR spectroscopy and temperature diagnostics of individual

  15. Use of digital Munsell color space to assist interretation of imaging spectrometer data: Geologic examples from the northern Grapevine Mountains, California and Nevada

    Science.gov (United States)

    Kruse, F. A.; Knepper, D. H., Jr.; Clark, R. N.

    1986-01-01

    Techniques using Munsell color transformations were developed for reducing 128 channels (or less) of Airborne Imaging Spectrometer (AIS) data to a single color-composite-image suitable for both visual interpretation and digital analysis. Using AIS data acquired in 1984 and 1985, limestone and dolomite roof pendants and sericite-illite and other clay minerals related to alteration were mapped in a quartz monzonite stock in the northern Grapevine Mountains of California and Nevada. Field studies and laboratory spectral measurements verify the mineralogical distributions mapped from the AIS data.

  16. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  17. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  18. Interfacing an aspiration ion mobility spectrometer to a triple quadrupole mass spectrometer

    International Nuclear Information System (INIS)

    Adamov, Alexey; Viidanoja, Jyrki; Kaerpaenoja, Esko; Paakkanen, Heikki; Ketola, Raimo A.; Kostiainen, Risto; Sysoev, Alexey; Kotiaho, Tapio

    2007-01-01

    This article presents the combination of an aspiration-type ion mobility spectrometer with a mass spectrometer. The interface between the aspiration ion mobility spectrometer and the mass spectrometer was designed to allow for quick mounting of the aspiration ion mobility spectrometer onto a Sciex API-300 triple quadrupole mass spectrometer. The developed instrumentation is used for gathering fundamental information on aspiration ion mobility spectrometry. Performance of the instrument is demonstrated using 2,6-di-tert-butyl pyridine and dimethyl methylphosphonate

  19. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  20. USING HINODE/EXTREME-ULTRAVIOLET IMAGING SPECTROMETER TO CONFIRM A SEISMOLOGICALLY INFERRED CORONAL TEMPERATURE

    International Nuclear Information System (INIS)

    Marsh, M. S.; Walsh, R. W.

    2009-01-01

    The Extreme-Ultraviolet Imaging Spectrometer on board the HINODE satellite is used to examine the loop system described in Marsh et al. by applying spectroscopic diagnostic methods. A simple isothermal mapping algorithm is applied to determine where the assumption of isothermal plasma may be valid, and the emission measure locii technique is used to determine the temperature profile along the base of the loop system. It is found that, along the base, the loop has a uniform temperature profile with a mean temperature of 0.89 ± 0.09 MK which is in agreement with the temperature determined seismologically in Marsh et al., using observations interpreted as the slow magnetoacoustic mode. The results further strengthen the slow mode interpretation, propagation at a uniform sound speed, and the analysis method applied in Marsh et al. It is found that it is not possible to discriminate between the slow mode phase speed and the sound speed within the precision of the present observations.

  1. Eclipse Science Results from the Airborne Infrared Spectrometer (AIR-Spec)

    Science.gov (United States)

    Samra, J.; Cheimets, P.; DeLuca, E.; Golub, L.; Judge, P. G.; Lussier, L.; Madsen, C. A.; Marquez, V.; Tomczyk, S.; Vira, A.

    2017-12-01

    We present the first science results from the commissioning flight of the Airborne Infrared Spectrometer (AIR-Spec), an innovative solar spectrometer that will observe the 2017 solar eclipse from the NSF/NCAR High-Performance Instrumented Airborne Platform for Environmental Research (HIAPER). During the eclipse, AIR-Spec will image five magnetically sensitive coronal emission lines between 1.4 and 4 microns to determine whether they may be useful probes of coronal magnetism. The instrument will measure emission line intensity, FWHM, and Doppler shift from an altitude of over 14 km, above local weather and most of the absorbing water vapor. Instrumentation includes an image stabilization system, feed telescope, grating spectrometer, infrared camera, and visible slit-jaw imager. Results from the 2017 eclipse are presented in the context of the mission's science goals. AIR-Spec will identify line strengths as a function of position in the solar corona and search for the high frequency waves that are candidates for heating and acceleration of the solar wind. The instrument will also identify large scale flows in the corona, particularly in polar coronal holes. Three of the five lines are expected to be strong in coronal hole plasmas because they are excited in part by scattered photospheric light. Line profile analysis will probe the origins of the fast and slow solar wind. Finally, the AIR-Spec measurements will complement ground based eclipse observations to provide detailed plasma diagnostics throughout the corona. AIR-Spec will measure infrared emission of ions observed in the visible from the ground, giving insight into plasma heating and acceleration at radial distances inaccessible to existing or planned spectrometers.

  2. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  3. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  4. Focal Plane Development for the Transition-Edge EBIT Microcalorimeter Spectrometer

    Data.gov (United States)

    National Aeronautics and Space Administration — I propose to produce the first fully operational 1000-pixel X-ray Transition-Edge Sensor microcalorimeter imaging spectrometer system, and to deliver it to the...

  5. Monolithic CMOS imaging x-ray spectrometers

    Science.gov (United States)

    Kenter, Almus; Kraft, Ralph; Gauron, Thomas; Murray, Stephen S.

    2014-07-01

    The Smithsonian Astrophysical Observatory (SAO) in collaboration with SRI/Sarnoff is developing monolithic CMOS detectors optimized for x-ray astronomy. The goal of this multi-year program is to produce CMOS x-ray imaging spectrometers that are Fano noise limited over the 0.1-10keV energy band while incorporating the many benefits of CMOS technology. These benefits include: low power consumption, radiation "hardness", high levels of integration, and very high read rates. Small format test devices from a previous wafer fabrication run (2011-2012) have recently been back-thinned and tested for response below 1keV. These devices perform as expected in regards to dark current, read noise, spectral response and Quantum Efficiency (QE). We demonstrate that running these devices at rates ~> 1Mpix/second eliminates the need for cooling as shot noise from any dark current is greatly mitigated. The test devices were fabricated on 15μm, high resistivity custom (~30kΩ-cm) epitaxial silicon and have a 16 by 192 pixel format. They incorporate 16μm pitch, 6 Transistor Pinned Photo Diode (6TPPD) pixels which have ~40μV/electron sensitivity and a highly parallel analog CDS signal chain. Newer, improved, lower noise detectors have just been fabricated (October 2013). These new detectors are fabricated on 9μm epitaxial silicon and have a 1k by 1k format. They incorporate similar 16μm pitch, 6TPPD pixels but have ~ 50% higher sensitivity and much (3×) lower read noise. These new detectors have undergone preliminary testing for functionality in Front Illuminated (FI) form and are presently being prepared for back thinning and packaging. Monolithic CMOS devices such as these, would be ideal candidate detectors for the focal planes of Solar, planetary and other space-borne x-ray astronomy missions. The high through-put, low noise and excellent low energy response, provide high dynamic range and good time resolution; bright, time varying x-ray features could be temporally and

  6. Calibration of the Herschel SPIRE Fourier Transform Spectrometer

    OpenAIRE

    Swinyard, Bruce; Polehampton, E. T.; Hopwood, R.; Valtchanov, I.; Lu, N.; Fulton, T.; Benielli, D.; Imhof, P.; Marchili, N.; Baluteau, J.- P.; Bendo, G. J.; Ferlet, M.; Griffin, Matthew Jason; Lim, T. L.; Makiwa, G.

    2014-01-01

    The Herschel Spectral and Photometric REceiver (SPIRE) instrument consists of an imaging photometric camera and an imaging Fourier Transform Spectrometer (FTS), both operating over a frequency range of ∼450–1550 GHz. In this paper, we briefly review the FTS design, operation, and data reduction, and describe in detail the approach taken to relative calibration (removal of instrument signatures) and absolute calibration against standard astronomical sources. The calibration scheme assumes a sp...

  7. Measurement of the electron and ion temperatures by the x-ray imaging crystal spectrometer on joint Texas experimental tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Yan, W.; Chen, Z. Y., E-mail: zychen@hust.edu.cn; Huang, D. W.; Tong, R. H.; Wang, S. Y.; Wei, Y. N.; Ma, T. K.; Zhuang, G. [State Key Laboratory of Advanced Electromagnetic Engineering and Technology, School of Electrical and Electronic Engineering, Huazhong University of Science and Technology, Wuhan (China); Jin, W. [Center of Interface Dynamics for Sustainability, China Academy of Engineering Physics, Chengdu, Sichuan 610200 (China); Lee, S. G. [National Fusion Research Institute, Daejeon 305-333 (Korea, Republic of); Shi, Y. J. [Department of Nuclear Engineering, Seoul National University, Seoul 08826 (Korea, Republic of)

    2016-11-15

    An x-ray imaging crystal spectrometer has been developed on joint Texas experimental tokamak for the measurement of electron and ion temperatures from the K{sub α} spectra of helium-like argon and its satellite lines. A two-dimensional multi-wire proportional counter has been applied to detect the spectra. The electron and ion temperatures have been obtained from the Voigt fitting with the spectra of helium-like argon ions. The profiles of electron and ion temperatures show the dependence on electron density in ohmic plasmas.

  8. Cryogenic optical systems for the rapid infrared imager/spectrometer (RIMAS)

    Science.gov (United States)

    Capone, John I.; Content, David A.; Kutyrev, Alexander S.; Robinson, Frederick D.; Lotkin, Gennadiy N.; Toy, Vicki L.; Veilleux, Sylvain; Moseley, Samuel H.; Gehrels, Neil A.; Vogel, Stuart N.

    2014-07-01

    The Rapid Infrared Imager/Spectrometer (RIMAS) is designed to perform follow-up observations of transient astronomical sources at near infrared (NIR) wavelengths (0.9 - 2.4 microns). In particular, RIMAS will be used to perform photometric and spectroscopic observations of gamma-ray burst (GRB) afterglows to compliment the Swift satellite's science goals. Upon completion, RIMAS will be installed on Lowell Observatory's 4.3 meter Discovery Channel Telescope (DCT) located in Happy Jack, Arizona. The instrument's optical design includes a collimator lens assembly, a dichroic to divide the wavelength coverage into two optical arms (0.9 - 1.4 microns and 1.4 - 2.4 microns respectively), and a camera lens assembly for each optical arm. Because the wavelength coverage extends out to 2.4 microns, all optical elements are cooled to ~70 K. Filters and transmission gratings are located on wheels prior to each camera allowing the instrument to be quickly configured for photometry or spectroscopy. An athermal optomechanical design is being implemented to prevent lenses from loosing their room temperature alignment as the system is cooled. The thermal expansion of materials used in this design have been measured in the lab. Additionally, RIMAS has a guide camera consisting of four lenses to aid observers in passing light from target sources through spectroscopic slits. Efforts to align these optics are ongoing.

  9. Compact Raman Spectrometer For In-Situ Planetary Chemistry, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — In this proposal, we demonstrate a new Raman imaging sensor based on a compact, CCD-mounted spectrometer. This enables high sensitivity and specificity for UV-Raman...

  10. A hard x-ray spectrometer for high angular resolution observations of cosmic sources

    International Nuclear Information System (INIS)

    Hailey, C.J.; Ziock, K.P.; Harrison, F.; Kahn, S.M.; Liedahl, D.; Lubin, P.M.; Seiffert, M.

    1988-01-01

    LAXRIS (large area x-ray imaging spectrometer) is an experimental, balloon-borne, hard x-ray telescope that consists of a coaligned array of x-ray imaging spectrometer modules capable of obtaining high angular resolution (1--3 arcminutes) with moderate energy resolution in the 20- to 300-keV region. Each spectrometer module consists of a CsI(Na) crystal coupled to a position-sensitive phototube with a crossed-wire, resistive readout. Imaging is provided by a coded aperture mask with a 4-m focal length. The high angular resolution is coupled with rather large area (/approximately/800 cm 2 ) to provide good sensitivity. Results are presented on performance and overall design. Sensitivity estimates are derived from a Monte-Carlo code developed to model the LAXRIS response to background encountered at balloon altitudes. We discuss a variety of observations made feasible by high angular resolution. For instance, spatially resolving the nonthermal x-ray emission from clusters of galaxies is suggested as an ideal program for LAXRIS. 15 refs., 5 figs

  11. Guided-wave high-performance spectrometers for the MEOS miniature earth observation satellite

    Science.gov (United States)

    Kruzelecky, Roman V.; Wong, Brian; Zou, Jing; Jamroz, Wes; Sloan, James; Cloutis, Edward

    2017-11-01

    The MEOS Miniature Earth Observing Satellite is a low-cost mission being developed for the Canadian Space Agency with international collaborations that will innovatively combine remote correlated atmospheric/land-cover measurements with the corresponding atmospheric and ecosystem modelling in near real-time to obtain simultaneous variations in lower tropospheric GHG mixing ratios and the resulting responses of the surface ecosystems. MEOS will provide lower tropospheric CO2, CH4, CO, N2O, H2O and aerosol mixing ratios over natural sources and sinks using two kinds of synergistic observations; a forward limb measurement and a follow-on nadir measurement over the same geographical tangent point. The measurements will be accomplished using separate limb and nadir suites of innovative miniature line-imaging spectrometers and will be spatially coordinated such that the same air mass is observed in both views within a few minutes. The limb data will consist of 16-pixel vertical spectral line imaging to provide 1-km vertical resolution, while the corresponding nadir measurements will view sixteen 5 by 10 km2 ground pixels with a 160-km East-West swath width. To facilitate the mission accommodation on a low-cost microsat with a net payload mass under 22 kg, groundbreaking miniature guided-wave spectrometers with advanced optical filtering and coding technologies will be employed based on MPBC's patented IOSPEC technologies. The data synergy requirements for each view will be innovatively met using two complementary miniature line-imaging spectrometers to provide broad-band measurements from 1200 to 2450 nm at about 1.2 nm/pixel bandwidth using a multislit binary-coded MEMS-IOSPEC and simultaneous high-resolution multiple microchannels at 0.03 nm FWHM using the revolutionary FP-IOSPEC Fabry-Perot guided-wave spectrometer concept. The guided-wave spectrometer integration provides an order of magnitude reduction in the mass and volume relative to traditional bulk

  12. ICARUS+NESSiE: A proposal for short baseline neutrino anomalies with innovative LAr imaging detectors coupled with large muon spectrometers

    Science.gov (United States)

    Gibin, D.

    2013-04-01

    The proposal for an experimental search for sterile neutrinos beyond the Standard Model with a new CERN-SPS neutrino beam is presented. The experiment is based on two identical LAr-TPC's followed by magnetized spectrometers, observing the electron and muon neutrino events at 1600 and 300 m from the proton target. This project will exploit the ICARUS T600, moved from LNGS to the CERN "Far" position. An additional 1/4 of the T600 detector will be constructed and located in the "Near" position. Two spectrometers will be placed downstream of the two LAr-TPC detectors to greatly complement the physics capabilities. Comparing the two detectors, in absence of oscillations, all cross sections and experimental biases cancel out. Any difference of the event distributions at the locations of the two detectors might be attributed to the possible existence of ν-oscillations, presumably due to additional neutrinos with a mixing angle sin2(2θ) and a larger mass difference Δmnew2. The superior quality of the LAr imaging TPC, in particular its unique electron-π0 discrimination allows full rejection of backgrounds and offers a lossless νe detection capability. The determination of the muon charge with the spectrometers allows the full separation of νμ from anti-νμ and therefore controlling systematics from muon mis-identification largely at high momenta.

  13. Reflectance conversion methods for the VIS/NIR imaging spectrometer aboard the Chang'E-3 lunar rover: based on ground validation experiment data

    International Nuclear Information System (INIS)

    Liu Bin; Liu Jian-Zhong; Zhang Guang-Liang; Zou Yong-Liao; Ling Zong-Cheng; Zhang Jiang; He Zhi-Ping; Yang Ben-Yong

    2013-01-01

    The second phase of the Chang'E Program (also named Chang'E-3) has the goal to land and perform in-situ detection on the lunar surface. A VIS/NIR imaging spectrometer (VNIS) will be carried on the Chang'E-3 lunar rover to detect the distribution of lunar minerals and resources. VNIS is the first mission in history to perform in-situ spectral measurement on the surface of the Moon, the reflectance data of which are fundamental for interpretation of lunar composition, whose quality would greatly affect the accuracy of lunar element and mineral determination. Until now, in-situ detection by imaging spectrometers was only performed by rovers on Mars. We firstly review reflectance conversion methods for rovers on Mars (Viking landers, Pathfinder and Mars Exploration rovers, etc). Secondly, we discuss whether these conversion methods used on Mars can be applied to lunar in-situ detection. We also applied data from a laboratory bidirectional reflectance distribution function (BRDF) using simulated lunar soil to test the availability of this method. Finally, we modify reflectance conversion methods used on Mars by considering differences between environments on the Moon and Mars and apply the methods to experimental data obtained from the ground validation of VNIS. These results were obtained by comparing reflectance data from the VNIS measured in the laboratory with those from a standard spectrometer obtained at the same time and under the same observing conditions. The shape and amplitude of the spectrum fits well, and the spectral uncertainty parameters for most samples are within 8%, except for the ilmenite sample which has a low albedo. In conclusion, our reflectance conversion method is suitable for lunar in-situ detection.

  14. Monolithic spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Rajic, Slobodan (Knoxville, TN); Egert, Charles M. (Oak Ridge, TN); Kahl, William K. (Knoxville, TN); Snyder, Jr., William B. (Knoxville, TN); Evans, III, Boyd M. (Oak Ridge, TN); Marlar, Troy A. (Knoxville, TN); Cunningham, Joseph P. (Oak Ridge, TN)

    1998-01-01

    A monolithic spectrometer is disclosed for use in spectroscopy. The spectrometer is a single body of translucent material with positioned surfaces for the transmission, reflection and spectral analysis of light rays.

  15. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  16. Measuring Velocities in the Early Stage of an Eruption: Using “Overlappogram” Data from Hinode EIS

    Energy Technology Data Exchange (ETDEWEB)

    Harra, Louise K.; Matthews, Sarah; Culhane, J. Leonard; Woods, Magnus M. [UCL-Mullard Space Science Laboratory Holmbury St Mary, Dorking, Surrey, RH5 6NT (United Kingdom); Hara, Hirohisa [National Astronomical Observatory of Japan, Osawa, Mitaka, Tokyo 181-8588 (Japan); Doschek, George A.; Warren, Harry, E-mail: l.harra@ucl.ac.uk [Space Science Division, Naval Research Laboratory, 4555 Overlook Avenue, SW, Washington, DC 20375 (United States)

    2017-06-10

    In order to understand the onset phase of a solar eruption, plasma parameter measurements in the early phases are key to constraining models. There are two current instrument types that allow us to make such measurements: narrow-band imagers and spectrometers. In the former case, even narrow-band filters contain multiple emission lines, creating some temperature confusion. With imagers, however, rapid cadences are achievable and the field of view can be large. Velocities of the erupting structures can be measured by feature tracking. In the spectrometer case, slit spectrometers can provide spectrally pure images by “rastering” the slit to build up an image. This method provides limited temporal resolution, but the plasma parameters can be accurately measured, including velocities along the line of sight. Both methods have benefits and are often used in tandem. In this paper we demonstrate for the first time that data from the wide slot on the Hinode EUV Imaging Spectrometer, along with imaging data from AIA, can be used to deconvolve velocity information at the start of an eruption, providing line-of-sight velocities across an extended field of view. Using He ii 256 Å slot data at flare onset, we observe broadening or shift(s) of the emission line of up to ±280 km s{sup −1}. These are seen at different locations—the redshifted plasma is seen where the hard X-ray source is later seen (energy deposition site). In addition, blueshifted plasma shows the very early onset of the fast rise of the filament.

  17. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  18. Doubly curved imaging Bragg crystal spectrometer for X-ray astronomy

    DEFF Research Database (Denmark)

    Byrnak, B. P.; Christensen, Finn Erland; Westergaard, Niels Jørgen Stenfeldt

    1985-01-01

    An X-ray spectrometer which is sensitive in the 0.5-7-keV energy range and is intended for use onboard astronomical satellites has been studied. The Bragg reflected rays from a doubly bent crystal positioned downstream of the focal plane of a grazing-incidence concentrator are focused along the a...

  19. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  20. DAMARIS – a flexible and open software platform for NMR spectrometer control

    OpenAIRE

    Gädke, Achim; Rosenstihl, Markus; Schmitt, Christopher; Stork, Holger; Nestle, Nikolaus

    2016-01-01

    Home-built NMR spectrometers with self-written control software have a long tradition in porous media research. Advantages of such spectrometers are not just lower costs but also more flexibility in developing new experiments (while commercial NMR systems are typically optimized for standard applications such as spectroscopy, imaging or quality control applications). Increasing complexity of computer operating systems, higher expectations with respect to user-friendliness and graphical use...

  1. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  2. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  3. SAFARI new and improved: extending the capabilities of SPICA's imaging spectrometer

    Science.gov (United States)

    Roelfsema, Peter; Giard, Martin; Najarro, Francisco; Wafelbakker, Kees; Jellema, Willem; Jackson, Brian; Sibthorpe, Bruce; Audard, Marc; Doi, Yasuo; di Giorgio, Anna; Griffin, Matthew; Helmich, Frank; Kamp, Inga; Kerschbaum, Franz; Meyer, Michael; Naylor, David; Onaka, Takashi; Poglitch, Albrecht; Spinoglio, Luigi; van der Tak, Floris; Vandenbussche, Bart

    2014-08-01

    The Japanese SPace Infrared telescope for Cosmology and Astrophysics, SPICA, aims to provide astronomers with a truly new window on the universe. With a large -3 meter class- cold -6K- telescope, the mission provides a unique low background environment optimally suited for highly sensitive instruments limited only by the cosmic background itself. SAFARI, the SpicA FAR infrared Instrument SAFARI, is a Fourier Transform imaging spectrometer designed to fully exploit this extremely low far infrared background environment. The SAFARI consortium, comprised of European and Canadian institutes, has established an instrument reference design based on a Mach-Zehnder interferometer stage with outputs directed to three extremely sensitive Transition Edge Sensor arrays covering the 35 to 210 μm domain. The baseline instrument provides R > 1000 spectroscopic imaging capabilities over a 2' by 2' field of view. A number of modifications to the instrument to extend its capabilities are under investigation. With the reference design SAFARI's sensitivity for many objects is limited not only by the detector NEP but also by the level of broad band background radiation - the zodiacal light for the shorter wavelengths and satellite baffle structures for the longer wavelengths. Options to reduce this background are dedicated masks or dispersive elements which can be inserted in the optics as required. The resulting increase in sensitivity can directly enhance the prime science goals of SAFARI; with the expected enhanced sensitivity astronomers would be in a better position to study thousands of galaxies out to redshift 3 and even many hundreds out to redshifts of 5 or 6. Possibilities to increase the wavelength resolution, at least for the shorter wavelength bands, are investigated as this would significantly enhance SAFARI's capabilities to study star and planet formation in our own galaxy.

  4. A design of a high speed dual spectrometer by single line scan camera

    Science.gov (United States)

    Palawong, Kunakorn; Meemon, Panomsak

    2018-03-01

    A spectrometer that can capture two orthogonal polarization components of s light beam is demanded for polarization sensitive imaging system. Here, we describe the design and implementation of a high speed spectrometer for simultaneous capturing of two orthogonal polarization components, i.e. vertical and horizontal components, of light beam. The design consists of a polarization beam splitter, two polarization-maintain optical fibers, two collimators, a single line-scan camera, a focusing lens, and a reflection blaze grating. The alignment of two beam paths was designed to be symmetrically incident on the blaze side and reverse blaze side of reflection grating, respectively. The two diffracted beams were passed through the same focusing lens and focused on the single line-scan sensors of a CMOS camera. The two spectra of orthogonal polarization were imaged on 1000 pixels per spectrum. With the proposed setup, the amplitude and shape of the two detected spectra can be controlled by rotating the collimators. The technique for optical alignment of spectrometer will be presented and discussed. The two orthogonal polarization spectra can be simultaneously captured at a speed of 70,000 spectra per second. The high speed dual spectrometer can simultaneously detected two orthogonal polarizations, which is an important component for the development of polarization-sensitive optical coherence tomography. The performance of the spectrometer have been measured and analyzed.

  5. MERTIS: the thermal infrared imaging spectrometer onboard of the Mercury Planetary Orbiter

    Science.gov (United States)

    Zeh, T.; Peter, G.; Walter, I.; Kopp, E.; Knollenberg, J.; Helbert, J.; Gebhardt, A.; Weber, I.; Hiesinger, Harry

    2017-11-01

    The MERTIS instrument is a thermal infrared imaging spectrometer onboard of ESA's cornerstone mission BepiColombo to Mercury. MERTIS has four goals: the study of Mercury's surface composition, identification of rock-forming minerals, mapping of the surface mineralogy, and the study of the surface temperature variations and thermal inertia. MERTIS will provide detailed information about the mineralogical composition of Mercury's surface layer by measuring the spectral emittance in the spectral range from 7-14 μm at high spatial and spectral resolution. Furthermore MERTIS will obtain radiometric measurements in the spectral range from 7-40 μm to study the thermo-physical properties of the surface material. The MERTIS detector is based on an uncooled micro-bolometer array providing spectral separation and spatial resolution according to its 2-dimensional shape. The operation principle is characterized by intermediate scanning of the planet surface and three different calibration targets - free space view and two on-board black body sources. In the current project phase, the MERTIS Qualification Model (QM) is under a rigorous testing program. Besides a general overview of the instrument principles, the papers addresses major aspects of the instrument design, manufacturing and verification.

  6. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  7. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  8. Design and Test of a Deployable Radiation Cover for the REgolith X-Ray Imaging Spectrometer

    Science.gov (United States)

    Carte, David B.; Inamdar, Niraj K.; Jones, Michael P.; Masterson, Rebecca A.

    2014-01-01

    The REgolith X-ray Imaging Spectrometer (REXIS) instrument contains a one-time deployable radiation cover that is opened using a shape memory alloy actuator (a "Frangibolt") from TiNi Aerospace and two torsion springs. The door will be held closed by the bolt for several years in cold storage during travel to the target asteroid, Bennu, and it is imperative to gain confidence that the door will open at predicted operational temperatures. This paper briefly covers the main design features of the radiation cover and measures taken to mitigate risks to cover deployment. As the chosen FD04 model Frangibolt actuator has minimal flight heritage, the main focus of this paper is the testing, results and conclusions with the FD04 while discussing key lessons learned with respect to the use of the FD04 actuator in this application.

  9. A Panchromatic Imaging Fourier Transform Spectrometer for the NASA Geostationary Coastal and Air Pollution Events Mission

    Science.gov (United States)

    Wu, Yen-Hung; Key, Richard; Sander, Stanley; Blavier, Jean-Francois; Rider, David

    2011-01-01

    This paper summarizes the design and development of the Panchromatic Imaging Fourier Transform Spectrometer (PanFTS) for the NASA Geostationary Coastal and Air Pollution Events (GEO-CAPE) Mission. The PanFTS instrument will advance the understanding of the global climate and atmospheric chemistry by measuring spectrally resolved outgoing thermal and reflected solar radiation. With continuous spectral coverage from the near-ultraviolet through the thermal infrared, this instrument is designed to measure pollutants, greenhouse gases, and aerosols as called for by the U.S. National Research Council Decadal Survey; Earth Science and Applications from Space: National Imperatives for the Next Decade and Beyond1. The PanFTS instrument is a hybrid instrument based on spectrometers like the Tropospheric Emissions Spectrometer (TES) that measures thermal emission, and those like the Orbiting Carbon Observatory (OCO), and the Ozone Monitoring Instrument (OMI) that measure scattered solar radiation. Simultaneous measurements over the broad spectral range from IR to UV is accomplished by a two sided interferometer with separate optical trains and detectors for the ultraviolet-visible and infrared spectral domains. This allows each side of the instrument to be independently optimized for its respective spectral domain. The overall interferometer design is compact because the two sides share a single high precision cryogenic optical path difference mechanism (OPDM) and metrology laser as well as a number of other instrument systems including the line-of-sight pointing mirror, the data management system, thermal control system, electrical system, and the mechanical structure. The PanFTS breadboard instrument has been tested in the laboratory and demonstrated the basic functionality for simultaneous measurements in the visible and infrared. It is set to begin operations in the field at the California Laboratory for Atmospheric Remote Sensing (CLARS) observatory on Mt. Wilson

  10. ICARUS+NESSiE: A proposal for short baseline neutrino anomalies with innovative LAr imaging detectors coupled with large muon spectrometers

    Energy Technology Data Exchange (ETDEWEB)

    Gibin, D., E-mail: daniele.gibin@pd.infn.it

    2013-04-15

    The proposal for an experimental search for sterile neutrinos beyond the Standard Model with a new CERN-SPS neutrino beam is presented. The experiment is based on two identical LAr-TPC's followed by magnetized spectrometers, observing the electron and muon neutrino events at 1600 and 300 m from the proton target. This project will exploit the ICARUS T600, moved from LNGS to the CERN “Far” position. An additional 1/4 of the T600 detector will be constructed and located in the “Near” position. Two spectrometers will be placed downstream of the two LAr-TPC detectors to greatly complement the physics capabilities. Comparing the two detectors, in absence of oscillations, all cross sections and experimental biases cancel out. Any difference of the event distributions at the locations of the two detectors might be attributed to the possible existence of ν-oscillations, presumably due to additional neutrinos with a mixing angle sin{sup 2}(2θ{sub new}) and a larger mass difference Δm{sub new}{sup 2}. The superior quality of the LAr imaging TPC, in particular its unique electron-π{sub 0} discrimination allows full rejection of backgrounds and offers a lossless ν{sub e} detection capability. The determination of the muon charge with the spectrometers allows the full separation of ν{sub μ} from anti-ν{sub μ} and therefore controlling systematics from muon mis-identification largely at high momenta.

  11. Processing method of images obtained during the TESIS/CORONAS-PHOTON experiment

    Science.gov (United States)

    Kuzin, S. V.; Shestov, S. V.; Bogachev, S. A.; Pertsov, A. A.; Ulyanov, A. S.; Reva, A. A.

    2011-04-01

    In January 2009, the CORONAS-PHOTON spacecraft was successfully launched. It includes a set of telescopes and spectroheliometers—TESIS—designed to image the solar corona in soft X-ray and EUV spectral ranges. Due to features of the reading system, to obtain physical information from these images, it is necessary to preprocess them, i.e., to remove the background, correct the white field, level, and clean. The paper discusses the algorithms and software developed and used for the preprocessing of images.

  12. EMC3-eIRENE simulation of impurity transport in comparison with EUV emission measurements in the stochastic layer of LHD: effects of force balance and transport coefficients

    Energy Technology Data Exchange (ETDEWEB)

    Dai, S. [National Institute for Fusion Science, Toki (Japan); Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Kobayashi, M.; Morita, S.; Oishi, T.; Suzuki, Y. [National Institute for Fusion Science, Toki (Japan); Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Kawamura, G. [National Institute for Fusion Science, Toki (Japan); Zhang, H.M.; Huang, X.L. [Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Feng, Y. [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Wang, D.Z. [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Collaboration: The LHD experiment group

    2016-08-15

    The transport properties and line emissions of the intrinsic carbon in the stochastic layer of the Large Helical Device have been investigated with the three-dimensional edge transport code EMC3-EIRENE. The simulations of impurity transport and emissivity have been performed to study the dedicated experiment in which the carbon emission distributions are measured by a space-resolved EUV spectrometer system. A discrepancy of the CIV impurity emission between the measurement and simulation is obtained, which is studied with the variation of the ion thermal force, friction force and the perpendicular diffusivity in the impurity transport model. An enhanced ion thermal force or a reduced friction force in the modelling can increase the CIV impurity emission at the inboard X-point region. Furthermore, the impact of the perpendicular diffusivity Dimp is studied which shows that the CIV impurity emission pattern is very sensitive to Dimp. It is found that the simulation results with the increased Dimp tend to be closer to the experimental observation. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Multidimensional spectrometer

    Science.gov (United States)

    Zanni, Martin Thomas; Damrauer, Niels H.

    2010-07-20

    A multidimensional spectrometer for the infrared, visible, and ultraviolet regions of the electromagnetic spectrum, and a method for making multidimensional spectroscopic measurements in the infrared, visible, and ultraviolet regions of the electromagnetic spectrum. The multidimensional spectrometer facilitates measurements of inter- and intra-molecular interactions.

  14. X-Ray Emission Spectrometer Design with Single-Shot Pump-Probe and Resonant Excitation Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Spoth, Katherine; /SUNY, Buffalo /SLAC

    2012-08-28

    Core-level spectroscopy in the soft X-ray regime is a powerful tool for the study of chemical bonding processes. The ultrafast, ultrabright X-ray pulses generated by the Linac Coherent Light Source (LCLS) allow these reactions to be studied in greater detail than ever before. In this study, we investigated a conceptual design of a spectrometer for the LCLS with imaging in the non-dispersive direction. This would allow single-shot collection of X-ray emission spectroscopy (XES) measurements with varying laser pump X-ray probe delay or a variation of incoming X-ray energy over the illuminated area of the sample. Ray-tracing simulations were used to demonstrate how the components of the spectrometer affect its performance, allowing a determination of the optimal final design. These simulations showed that the spectrometer's non-dispersive focusing is extremely sensitive to the size of the sample footprint; the spectrometer is not able to image a footprint width larger than one millimeter with the required resolution. This is compatible with a single shot scheme that maps out the laser pump X-ray probe delay in the non-dispersive direction as well as resonant XES applications at normal incidence. However, the current capabilities of the Soft X-Ray (SXR) beamline at the LCLS do not produce the required energy range in a small enough sample footprint, hindering the single shot resonant XES application at SXR for chemical dynamics studies at surfaces. If an upgraded or future beamline at LCLS is developed with lower monochromator energy dispersion the width can be made small enough at the required energy range to be imaged by this spectrometer design.

  15. Design of a miniaturized integrated spectrometer for spectral tissue sensing

    Science.gov (United States)

    Belay, Gebirie Yizengaw; Hoving, Willem; Ottevaere, Heidi; van der Put, Arthur; Weltjens, Wim; Thienpont, Hugo

    2016-04-01

    Minimally-invasive image-guided procedures become increasingly used by physicians to obtain real-time characterization feedback from the tissue at the tip of their interventional device (needle, catheter, endoscopic or laparoscopic probes, etc…) which can significantly improve the outcome of diagnosis and treatment, and ultimately reduce cost of the medical treatment. Spectral tissue sensing using compact photonic probes has the potential to be a valuable tool for screening and diagnostic purposes, e.g. for discriminating between healthy and tumorous tissue. However, this technique requires a low-cost broadband miniature spectrometer so that it is commercially viable for screening at point-of-care locations such as physicians' offices and outpatient centers. Our goal is therefore to develop a miniaturized spectrometer based on diffractive optics that combines the functionalities of a visible/near-infrared (VIS/NIR) and shortwave-infrared (SWIR) spectrometer in one very compact housing. A second goal is that the hardware can be produced in high volume at low cost without expensive time consuming alignment and calibration steps. We have designed a miniaturized spectrometer which operates both in the visible/near-infrared and shortwave-infrared wavelength regions ranging from 400 nm to 1700 nm. The visible/near-infrared part of the spectrometer is designed for wavelengths from 400 nm to 800 nm whereas the shortwave-infrared segment ranges from 850 nm to 1700 nm. The spectrometer has a resolution of 6 nm in the visible/near-infrared wavelength region and 10 nm in the shortwave-infrared. The minimum SNR of the spectrometer for the intended application is about 151 in the VIS/NIR range and 6000 for SWIR. In this paper, the modelling and design, and power budget analysis of the miniaturized spectrometer are presented. Our work opens a door for future affordable micro- spectrometers which can be integrated with smartphones and tablets, and used for point

  16. Small angle spectrometers: Summary

    International Nuclear Information System (INIS)

    Courant, E.; Foley, K.J.; Schlein, P.E.

    1986-01-01

    Aspects of experiments at small angles at the Superconducting Super Collider are considered. Topics summarized include a small angle spectrometer, a high contingency spectrometer, dipole and toroid spectrometers, and magnet choices

  17. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  18. Development of a Submillimeter-Wavelength Immersion Grating Spectrometer

    Science.gov (United States)

    Phillips, T. G.

    2001-01-01

    The broad goal of this project was to develop a broadband, moderate-resolution spectrometer for submillimeter wavelengths. Our original approach was to build an immersion grating spectrometer, and as such, the first step was to identify the best material (lowest loss, highest index) for the grating medium, and to characterize its properties at the foreseen optical-bench operating temperature of 1.5 K. To this end, we put our initial efforts into upgrading an existing laboratory submillimeter Fourier transform spectrometer, which allowed us to carry out the requisite materials measurements. The associated cryogenic detector dewar was also redesigned and rebuilt to carry out this work. This dewar houses the 1.5 K detector and the filter wheel used in the materials characterization. Our goal was to have the beam propagate through the samples as uniformly as possible, so the optics were redesigned to allow for the samples to be traversed by a well-defined collimated beam. The optics redesign also placed the samples at an image of the aperture stop located within the FTS. After the rebuild, we moved into the testing phase.

  19. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  20. The SPEDE spectrometer

    Science.gov (United States)

    Papadakis, P.; Cox, D. M.; O'Neill, G. G.; Borge, M. J. G.; Butler, P. A.; Gaffney, L. P.; Greenlees, P. T.; Herzberg, R.-D.; Illana, A.; Joss, D. T.; Konki, J.; Kröll, T.; Ojala, J.; Page, R. D.; Rahkila, P.; Ranttila, K.; Thornhill, J.; Tuunanen, J.; Van Duppen, P.; Warr, N.; Pakarinen, J.

    2018-03-01

    The electron spectrometer, SPEDE, has been developed and will be employed in conjunction with the Miniball spectrometer at the HIE-ISOLDE facility, CERN. SPEDE allows for direct measurement of internal conversion electrons emitted in-flight, without employing magnetic fields to transport or momentum filter the electrons. Together with the Miniball spectrometer, it enables simultaneous observation of γ rays and conversion electrons in Coulomb excitation experiments using radioactive ion beams.

  1. Combined Raman/LIBS spectrometer elegant breadboard: built and tested - and flight model spectrometer unit

    Science.gov (United States)

    Ahlers, B.; Hutchinson, I.; Ingley, R.

    2017-11-01

    A spectrometer for combined Raman and Laser Induced Breakdown Spectroscopy (LIBS) is amongst the different instruments that have been pre-selected for the Pasteur payload of the ExoMars rover. It is regarded as a fundamental, next-generation instrument for organic, mineralogical and elemental characterisation of Martian soil, rock samples and organic molecules. Raman spectroscopy and LIBS will be integrated into a single instrument sharing many hardware commonalities [1]. The combined Raman / LIBS instrument has been recommended as the highest priority mineralogy instrument to be included in the rover's analytical laboratory for the following tasks: Analyse surface and sub-surface soil and rocks on Mars, identify organics in the search for life and determine soil origin & toxicity. The synergy of the system is evident: the Raman spectrometer is dedicated to molecular analysis of organics and minerals; the LIBS provides information on the sample's elemental composition. An international team, under ESA contract and with the leadership of TNO Science and Industry, has built and tested an Elegant Bread Board (EBB) of the combined Raman / LIBS instrument. The EBB comprises a specifically designed, extremely compact, spectrometer with high resolution over a large wavelength range, suitable for both Raman spectroscopy and LIBS measurements. The EBB also includes lasers, illumination and imaging optics as well as fibre optics for light transfer. A summary of the functional and environmental requirements together with a description of the optical design and its expected performance are described in [2]. The EBB was developed and constructed to verify the instruments' end-to-end functional performance with natural samples. The combined Raman / LIBS EBB realisation and test results of natural samples will be presented. For the Flight Model (FM) instrument, currently in the design phase, the Netherlands will be responsible for the design, development and verification of the

  2. Improvements on coronal hole detection in SDO/AIA images using supervised classification

    Directory of Open Access Journals (Sweden)

    Reiss Martin A.

    2015-01-01

    Full Text Available We demonstrate the use of machine learning algorithms in combination with segmentation techniques in order to distinguish coronal holes and filaments in SDO/AIA EUV images of the Sun. Based on two coronal hole detection techniques (intensity-based thresholding, SPoCA, we prepared datasets of manually labeled coronal hole and filament channel regions present on the Sun during the time range 2011–2013. By mapping the extracted regions from EUV observations onto HMI line-of-sight magnetograms we also include their magnetic characteristics. We computed shape measures from the segmented binary maps as well as first order and second order texture statistics from the segmented regions in the EUV images and magnetograms. These attributes were used for data mining investigations to identify the most performant rule to differentiate between coronal holes and filament channels. We applied several classifiers, namely Support Vector Machine (SVM, Linear Support Vector Machine, Decision Tree, and Random Forest, and found that all classification rules achieve good results in general, with linear SVM providing the best performances (with a true skill statistic of ≈ 0.90. Additional information from magnetic field data systematically improves the performance across all four classifiers for the SPoCA detection. Since the calculation is inexpensive in computing time, this approach is well suited for applications on real-time data. This study demonstrates how a machine learning approach may help improve upon an unsupervised feature extraction method.

  3. Detection Capability Evaluation on Chang'e-5 Lunar Mineralogical Spectrometer (LMS)

    Science.gov (United States)

    Liu, Bin; Ren, Xin; Yan, Wei; Xu, Xuesen; Cai, Tingni; Liu, Dawei; Liu, Jianjun; Li, Chunlai

    2016-04-01

    The Chang'e-5 (CE-5) lunar sample return mission is scheduled to launch in 2017 to bring back lunar regolith and drill samples. The Chang'e-5 Lunar Mineralogical Spectrometer (LMS), as one of the three sets of scientific payload installed on the lander, is used to collect in-situ spectrum and analyze the mineralogical composition of the sampling site. It can also help to select the sampling site , and to compare the measured laboratory spectrum of returned sample with in-situ data. LMS employs acousto-optic tunable filters (AOTFs) and is composed of a VIS/NIR module (0.48μm-1.45μm) and an IR module (1.4μm -3.2μm). It has spectral resolution ranging from 3 to 25 nm, with a field of view (FOV) of 4.24°×4.24°. Unlike Chang'e-3 VIS/NIR Imaging Spectrometer (VNIS), the spectral coverage of LMS is extended from 2.4μm to 3.2μm, which has capability to identify H2O/OH absorption features around 2.7μm. An aluminum plate and an Infragold plate are fixed in the dust cover, being used as calibration targets in the VIS/NIR and IR spectral range respectively when the dust cover is open. Before launch, a ground verification test of LMS needs to be conducted in order to: 1) test and verify the detection capability of LMS through evaluation on the quality of image and spectral data collected for the simulated lunar samples; and 2) evaluate the accuracy of data processing methods by the simulation of instrument working on the moon. The ground verification test will be conducted both in the lab and field. The spectra of simulated lunar regolith/mineral samples will be collected simultaneously by the LMS and two calibrated spectrometers: a FTIR spectrometer (Model 102F) and an ASD FieldSpec 4 Hi-Res spectrometer. In this study, the results of the LMS ground verification test will be reported including the evaluation on the LMS spectral and image data quality, mineral identification and inversion ability, accuracy of calibration and geometric positioning .

  4. Application of spectrometer cropscan MSR 16R and Landsat imagery for identification the spectral characteristics of land cover

    Science.gov (United States)

    Tampubolon, Togi; Abdullah, Khiruddin bin; San, Lim Hwee

    2013-09-01

    The spectral characteristics of land cover are basic references in classifying satellite image for geophysics analysis. It can be obtained from the measurements using spectrometer and satellite image processing. The aims of this study to investigate the spectral characteristics of land cover based on the results of measurement using Spectrometer Cropscan MSR 16R and Landsat satellite imagery. The area of study in this research is in Medan, (Deli Serdang, North Sumatera) Indonesia. The scope of this study is the basic survey from the measurements of spectral land cover which is covered several type of land such as a cultivated and managed terrestrial areas, natural and semi-natural, cultivated aquatic or regularly flooded areas, natural and semi-natural aquatic, artificial surfaces and associated areas, bare areas, artificial waterbodies and natural waterbodies. The measurement and verification were conducted using a spectrometer provided their spectral characteristics and Landsat imagery, respectively. The results of the spectral characteristics of land cover shows that each type of land cover have a unique characteristic. The correlation of spectral land cover based on spectrometer Cropscan MSR 16R and Landsat satellite image are above 90 %. However, the land cover of artificial waterbodiese have a correlation under 40 %. That is because the measurement of spectrometer Cropscan MSR 16R and acquisition of Landsat satellite imagery has a time different.

  5. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  6. Spectrometer system for diffuse extreme ultraviolet radiation

    Science.gov (United States)

    Labov, Simon E.

    1989-01-01

    A unique grazing incidence spectrometer system has been designed to study diffuse line emission between 80 and 650 A with 10-30 A resolution. The minimum detectable emission line strength during a 5-min observation ranges from 100-2000 ph/sq cm sec str. The instrument uses mechanically ruled reflection gratings placed in front of a linear array of mirrors. These mirrors focus the spectral image on microchannel plate detectors located behind thin filters. The field of view is 40 min of arc by 15 deg, and there is no spatial imaging. This instrument has been fabricated, calibrated, and successfully flown on a sounding rocket to observe the astronomical background radiation.

  7. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  8. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  9. JWST-MIRI spectrometer main optics design and main results

    Science.gov (United States)

    Navarro, Ramón; Schoenmaker, Ton; Kroes, Gabby; Oudenhuysen, Ad; Jager, Rieks; Venema, Lars

    2017-11-01

    MIRI ('Mid InfraRed Instrument') is the combined imager and integral field spectrometer for the 5-29 micron wavelength range under development for the James Webb Space Telescope JWST. The flight acceptance tests of the Spectrometer Main Optics flight models (SMO), part of the MIRI spectrometer, are completed in the summer of 2008 and the system is delivered to the MIRI-JWST consortium. The two SMO arms contain 14 mirrors and form the MIRI optical system together with 12 selectable gratings on grating wheels. The entire system operates at a temperature of 7 Kelvin and is designed on the basis of a 'no adjustments' philosophy. This means that the optical alignment precision depends strongly on the design, tolerance analysis and detailed knowledge of the manufacturing process. Because in principle no corrections are needed after assembly, continuous tracking of the alignment performance during the design and manufacturing phases is important. The flight hardware is inspected with respect to performance parameters like alignment and image quality. The stability of these parameters is investigated after exposure to various vibration levels and successive cryogenic cool downs. This paper describes the philosophy behind the acceptance tests, the chosen test strategy and reports the results of these tests. In addition the paper covers the design of the optical test setup, focusing on the simulation of the optical interfaces of the SMO. Also the relation to the SMO qualification and verification program is addressed.

  10. Discrimination of hydrothermal alteration mineral assemblages at Virginia City, Nevada, using the airborne imaging spectrometer

    Science.gov (United States)

    Hutsinpiller, Amy

    1988-01-01

    The purpose of this study is to use airborne imaging spectrometer data to discriminate hydrothermal alteration mineral assemblages associated with silver and gold mineralization at Virginia City, NV. The data is corrected for vertical striping and sample gradients, and converted to flat-field logarithmic residuals. Log residual spectra from areas known to be altered are compared to field spectra for kaolinitic, illitic, sericitic, and propylitic alteration types. The areal distributions of these alteration types are estimated using a spectral matching technique. Both visual examination of spectra and the matching techniques are effective in distinguishing kaolinitic, illitic, and propylitic alteration types from each other. However, illitic and sericitic alteration cannot be separated using these techniques because the spectra of illite and sericite are very similar. A principal components analysis of 14 channels in the 2.14-2.38 micron wavelength region is also successful in discriminating and mapping illitic, kaolinitic, and propylitic alteration types.

  11. Heating and dynamics of two flare loop systems observed by AIA and EIS

    Energy Technology Data Exchange (ETDEWEB)

    Li, Y.; Ding, M. D. [School of Astronomy and Space Science, Nanjing University, Nanjing 210093 (China); Qiu, J., E-mail: yingli@nju.edu.cn [Department of Physics, Montana State University, Bozeman, MT 59717 (United States)

    2014-02-01

    We investigate heating and evolution of flare loops in a C4.7 two-ribbon flare on 2011 February 13. From Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA) imaging observations, we can identify two sets of loops. Hinode/EUV Imaging Spectrometer (EIS) spectroscopic observations reveal blueshifts at the feet of both sets of loops. The evolution and dynamics of the two sets are quite different. The first set of loops exhibits blueshifts for about 25 minutes followed by redshifts, while the second set shows stronger blueshifts, which are maintained for about one hour. The UV 1600 observation by AIA also shows that the feet of the second set of loops brighten twice. These suggest that continuous heating may be present in the second set of loops. We use spatially resolved UV light curves to infer heating rates in the few tens of individual loops comprising the two loop systems. With these heating rates, we then compute plasma evolution in these loops with the 'enthalpy-based thermal evolution of loops' model. The results show that, for the first set of loops, the synthetic EUV light curves from the model compare favorably with the observed light curves in six AIA channels and eight EIS spectral lines, and the computed mean enthalpy flow velocities also agree with the Doppler shift measurements by EIS. For the second set of loops modeled with twice-heating, there are some discrepancies between modeled and observed EUV light curves in low-temperature bands, and the model does not fully produce the prolonged blueshift signatures as observed. We discuss possible causes for the discrepancies.

  12. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  13. Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    The level of flare present in a 0.3-NA EUV optic (the MET optic) at the Advanced Light Source at Lawrence Berkeley National Laboratory is measured using a lithographic method. Photoresist behavior at high exposure doses makes analysis difficult. Flare measurement analysis under scanning electron microscopy (SEM) and optical microscopy is compared, and optical microscopy is found to be a more reliable technique. In addition, the measured results are compared with predictions based on surface roughness measurement of the MET optical elements. When the fields in the exposure matrix are spaced far enough apart to avoid influence from surrounding fields and the data is corrected for imperfect mask contrast and aerial image proximity effects, the results match predicted values quite well. The amount of flare present in this optic ranges from 4.7% for 2 (micro)m features to 6.8% for 500 nm features

  14. The SAGE spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Pakarinen, J.; Papadakis, P. [University of Liverpool, Department of Physics, Oliver Lodge Laboratory, Liverpool (United Kingdom); University of Jyvaeskylae, Department of Physics, Jyvaeskylae (Finland); Sorri, J.; Greenlees, P.T.; Jones, P.; Julin, R.; Konki, J.; Rahkila, P.; Sandzelius, M. [University of Jyvaeskylae, Department of Physics, Jyvaeskylae (Finland); Herzberg, R.D.; Butler, P.A.; Cox, D.M.; Cresswell, J.R.; Mistry, A.; Page, R.D.; Parr, E.; Sampson, J.; Seddon, D.A.; Thornhill, J.; Wells, D. [University of Liverpool, Department of Physics, Oliver Lodge Laboratory, Liverpool (United Kingdom); Coleman-Smith, P.J.; Lazarus, I.H.; Letts, S.C.; Pucknell, V.F.E.; Simpson, J. [STFC Daresbury Laboratory, Warrington (United Kingdom)

    2014-03-15

    The SAGE spectrometer has been constructed for in-beam nuclear structure studies. SAGE combines a Ge-detector array and an electron spectrometer for detection of γ-rays and internal conversion electrons, respectively, and allows simultaneous observation of both electrons and γ-rays emitted from excited nuclei. SAGE is set up in the Accelerator Laboratory of the University of Jyvaeskylae and works in conjunction with the RITU gas-filled recoil separator and the GREAT focal-plane spectrometer allowing the use of the recoil-decay tagging method. (orig.)

  15. The SAGE spectrometer

    International Nuclear Information System (INIS)

    Pakarinen, J.; Papadakis, P.; Sorri, J.; Greenlees, P.T.; Jones, P.; Julin, R.; Konki, J.; Rahkila, P.; Sandzelius, M.; Herzberg, R.D.; Butler, P.A.; Cox, D.M.; Cresswell, J.R.; Mistry, A.; Page, R.D.; Parr, E.; Sampson, J.; Seddon, D.A.; Thornhill, J.; Wells, D.; Coleman-Smith, P.J.; Lazarus, I.H.; Letts, S.C.; Pucknell, V.F.E.; Simpson, J.

    2014-01-01

    The SAGE spectrometer has been constructed for in-beam nuclear structure studies. SAGE combines a Ge-detector array and an electron spectrometer for detection of γ-rays and internal conversion electrons, respectively, and allows simultaneous observation of both electrons and γ-rays emitted from excited nuclei. SAGE is set up in the Accelerator Laboratory of the University of Jyvaeskylae and works in conjunction with the RITU gas-filled recoil separator and the GREAT focal-plane spectrometer allowing the use of the recoil-decay tagging method. (orig.)

  16. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  17. Analysis and implementation of a space resolving spherical crystal spectrometer for x-ray Thomson scattering experiments.

    Science.gov (United States)

    Harding, E C; Ao, T; Bailey, J E; Loisel, G; Sinars, D B; Geissel, M; Rochau, G A; Smith, I C

    2015-04-01

    The application of a space-resolving spectrometer to X-ray Thomson Scattering (XRTS) experiments has the potential to advance the study of warm dense matter. This has motivated the design of a spherical crystal spectrometer, which is a doubly focusing geometry with an overall high sensitivity and the capability of providing high-resolution, space-resolved spectra. A detailed analysis of the image fluence and crystal throughput in this geometry is carried out and analytical estimates of these quantities are presented. This analysis informed the design of a new spectrometer intended for future XRTS experiments on the Z-machine. The new spectrometer collects 6 keV x-rays with a spherically bent Ge (422) crystal and focuses the collected x-rays onto the Rowland circle. The spectrometer was built and then tested with a foam target. The resulting high-quality spectra prove that a spherical spectrometer is a viable diagnostic for XRTS experiments.

  18. Geometric and radiometric preprocessing of airborne visible/infrared imaging spectrometer (AVIRIS) data in rugged terrain for quantitative data analysis

    Science.gov (United States)

    Meyer, Peter; Green, Robert O.; Staenz, Karl; Itten, Klaus I.

    1994-01-01

    A geocoding procedure for remotely sensed data of airborne systems in rugged terrain is affected by several factors: buffeting of the aircraft by turbulence, variations in ground speed, changes in altitude, attitude variations, and surface topography. The current investigation was carried out with an Airborne Visible/Infrared Imaging Spectrometer (AVIRIS) scene of central Switzerland (Rigi) from NASA's Multi Aircraft Campaign (MAC) in Europe (1991). The parametric approach reconstructs for every pixel the observation geometry based on the flight line, aircraft attitude, and surface topography. To utilize the data for analysis of materials on the surface, the AVIRIS data are corrected to apparent reflectance using algorithms based on MODTRAN (moderate resolution transfer code).

  19. Introduction of a 20 kHz Nd:YVO4 laser into a hybrid quadrupole time-of-flight mass spectrometer for MALDI-MS imaging.

    Science.gov (United States)

    Trim, Paul J; Djidja, Marie-Claude; Atkinson, Sally J; Oakes, Keith; Cole, Laura M; Anderson, David M G; Hart, Philippa J; Francese, Simona; Clench, Malcolm R

    2010-08-01

    A commercial hybrid quadrupole time-of-flight mass spectrometer has been modified for high-speed matrix-assisted laser desorption ionisation (MALDI) imaging using a short-pulse optical technology Nd:YVO(4) laser. The laser operating in frequency-tripled mode (lambda = 355 nm) is capable of delivering 1.5-ns pulses of energy at up to 8 microJ at 5-10 kHz and 3 microJ at 20 kHz. Experiments to improve beam homogeneity and reduce laser speckle by mechanical vibration of the fibre-optic laser delivery system are reported along with data from trial and tissue imaging experiments using the modified instrument. The laser appeared to yield best results for MALDI-MS imaging experiments when operating at repetition rates 5-10 kHz. Combining this with raster imaging allowed images of rat brain sections to be recorded in 37 min. Similarly, images of the distribution of peptides in "on-tissue" digest experiments from tumour tissues were recorded in 1 h and 30 min rather than the 8-h acquisition time previously used. A brief investigation of targeted protein analysis/imaging by multiple reaction monitoring experiments "on-tissue" is reported. A total of 26 transitions were recorded over a 3-s cycle time and images of abundant proteins were successfully recorded.

  20. Low energy characterization of Caliste HD, a CdTe based imaging spectrometer

    International Nuclear Information System (INIS)

    Dubos, S.; Limousin, O.; Blondel, C.; Chipaux, R.; Menesguen, Y.; Meuris, A.; Orduna, T.; Tourette, T.; Sauvageon, A.

    2012-01-01

    spectrometer - has been studied: the energy calibration curve is linear for the entire energy window (INL=0.63%) and the standard deviation for the gain distribution is found less than 5%. This study clearly shows excellent imaging performances for energies as low as 2 keV, and reveals that spectroscopic performances remain good for such boundary operating conditions. (authors)

  1. Optical Bench Breadboard Of An Imaging Fourier Transform Spectrometer (iFTS) For Climate Observations.

    Science.gov (United States)

    Singh, G.; McElroy, C. T.; Vaziri, Z.; Barton, D.; Blair, G.; Grandmont, F. J.

    2017-12-01

    The fifth assessment report (AR5) of the Intergovernmental Panel on Climate Change (IPCC) states that the warming of zonal mean surface temperature at higher latitudes exceeds the global average temperature change. This poses a great problem as the warming leads to the thawing of the permafrost in the Arctic region that acts as an envelope to trap greenhouse gases such as carbon dioxide and methane. Therefore, there is an urgent need to develop scientific instruments that can be flown in space over the Arctic to provide atmospheric information to quantify the evolution and transport of these gases. The Laboratory for Atmospheric Remote Sounding from Space (LARSS) at York University is developing an imaging Fourier transform spectrometer (IFTS) for climate observations by atmospheric sounding. The spectrometer has two individual channels, one centred at 1650 nm to measure the atmospheric column of carbon dioxide and methane, and another centred at 762 nm to measure the temperature-pressure profile by making measurements of the O2A band. A Commercial-Off-The-Shelf (COTS) modulator has been purchased from ABB Inc. of Quebec City. Interferometers are widely used in many scientific laboratories to measure concentrations of different constituents in a given sample. The performance of these instruments is highly dependent on environmental effects and various properties of the input beam such as coherence, polarity, etc. Thus, the use of such instruments to measure atmospheric concentration is complicated and challenging. The immediate goal of this project is to develop an IFTS system which can measure backscattered radiation in a laboratory environment and develop design elements that will make it operable in the space environment. Progress on the project and information concerning some of the issues listed above will be discussed. The developments which flow from this research project will support efforts by Environment and Climate Change Canada, the Canadian Space

  2. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  3. FLEX: an imaging spectrometer for measurement of vegetation fluorescence

    Science.gov (United States)

    Smorenburg, Kees; Visser, Huib; Court, Andrew; Stoll, Marc Ph.

    2017-11-01

    Detection of vegetation fluorescence gives information about plant functioning, stress and vitality. During the past decades several ground based laser fluorosensors have been developed to investigate these processes and to demonstrate the value of this technique. FLEX (= FLuorescense EXplorer) is a space mission to measure the fluorescence of vegetation on earth over large areas from space. Such a mission would greatly improve the understanding and enhance the capability to quantify e.g. the role of terrestrial vegetation in global carbon sequestration. Because the fluorescence signal, which is excited by solar irradiation is low with respect to the reflected sunlight the signal from a satellite is proposed to be measured in the solar Fraunhofer lines, where the reflection signal is much reduced. The heart of FLEX is a high resolution imaging spectrometer with 2 channels: channel 1 around the Fraunhofer lines at ‡ = 397 nm, ‡= 423 nm and/or ‡ = 434 nm and channel 2 around the Fraunhofer line at ‡ = 656 nm. The required spectral resolution will depend on the linewidth (0.02-0.3 nm). A first definition of the field of view is 8.4 degrees, leading from an 800 km satellite altitude to a swath of about 120 km. For detection a 1024x1024 pixel frame transfer CCD detector is proposed, with a pixel dimension of 13 x 13 ‡ mm2. The maximum footprint is about 500x500m2. The optical configuration contains a scan mirror for solar calibration, for pointing the FOV in swath direction and for freezing the observed ground scene up to a few seconds to increase the signal to noise performance. At this moment the concept of FLEX is elaborated in a feasibility study. Both the scientific and instrument requirements are updated and the concept is studied in detail. Besides a development plan for FLEX is made. In this paper the idea and the headlines of FLEX are described.

  4. Mobile phone based mini-spectrometer for rapid screening of skin cancer

    Science.gov (United States)

    Das, Anshuman; Swedish, Tristan; Wahi, Akshat; Moufarrej, Mira; Noland, Marie; Gurry, Thomas; Aranda-Michel, Edgar; Aksel, Deniz; Wagh, Sneha; Sadashivaiah, Vijay; Zhang, Xu; Raskar, Ramesh

    2015-06-01

    We demonstrate a highly sensitive mobile phone based spectrometer that has potential to detect cancerous skin lesions in a rapid, non-invasive manner. Earlier reports of low cost spectrometers utilize the camera of the mobile phone to image the field after moving through a diffraction grating. These approaches are inherently limited by the closed nature of mobile phone image sensors and built in optical elements. The system presented uses a novel integrated grating and sensor that is compact, accurate and calibrated. Resolutions of about 10 nm can be achieved. Additionally, UV and visible LED excitation sources are built into the device. Data collection and analysis is simplified using the wireless interfaces and logical control on the smart phone. Furthermore, by utilizing an external sensor, the mobile phone camera can be used in conjunction with spectral measurements. We are exploring ways to use this device to measure endogenous fluorescence of skin in order to distinguish cancerous from non-cancerous lesions with a mobile phone based dermatoscope.

  5. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  6. The Omicron Spectrometer

    CERN Document Server

    Allardyce, B W

    1976-01-01

    It is intended to build a spectrometer with a large solid angle and a large momentum acceptance at the reconstructed synchrocyclotron at CERN. This spectrometer will have an energy resolution of about 1 MeV for particles with momenta up to about 400 MeV/c.

  7. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  8. Demonstrating Enabling Technologies for the High-Resolution Imaging Spectrometer of the Next NASA X-ray Astronomy Mission

    Science.gov (United States)

    Kilbourne, Caroline; Adams, J. S.; Bandler, S.; Chervenak, J.; Chiao, M.; Doriese, R.; Eckart, M.; Finkbeiner, F.; Fowler, J. W.; Hilton, G.; Irwin, K.; Kelley, R. L.; Moseley, S. J.; Porter, F. S.; Reintsema, C.; Sadleir, J.; Smith, S. J.; Swetz, D.; Ullom, J.

    2014-01-01

    NASA/GSFC and NIST-Boulder are collaborating on a program to advance superconducting transition-edge sensor (TES) microcalorimeter technology toward Technology Readiness Level (TRL) 6. The technology development for a TES imaging X-ray microcalorimeter spectrometer (TES microcalorimeter arrays and time-division multiplexed SQUID readout) is now at TRL 4, as evaluated by both NASA and the European Space Agency (ESA) during mission formulation for the International X-ray Observatory (IXO). We will present the status of the development program. The primary goal of the current project is to advance the core X-ray Microcalorimeter Spectrometer (XMS) detector-system technologies to a demonstration of TRL 5 in 2014. Additional objectives are to develop and demonstrate two important related technologies to at least TRL 4: position-sensitive TES devices and code-division multiplexing (CDM). These technologies have the potential to expand significantly the range of possible instrument optimizations; together they allow an expanded focal plane and higher per-pixel count rates without greatly increasing mission resources. The project also includes development of a design concept and critical technologies needed for the thermal, electrical, and mechanical integration of the detector and readout components into the focal-plane assembly. A verified design concept for the packaging of the focal-plane components will be needed for the detector system eventually to advance to TRL 6. Thus, the current project is a targeted development and demonstration program designed to make significant progress in advancing the XMS detector system toward TRL 6, establishing its readiness for a range of possible mission implementations.

  9. ACCURATELY CALCULATING THE SOLAR ORIENTATION OF THE TIANGONG-2 ULTRAVIOLET FORWARD SPECTROMETER

    Directory of Open Access Journals (Sweden)

    Z. Liu

    2018-04-01

    Full Text Available The Ultraviolet Forward Spectrometer is a new type of spectrometer for monitoring the vertical distribution of atmospheric trace gases in the global middle atmosphere. It is on the TianGong-2 space laboratory, which was launched on 15 September 2016. The spectrometer uses a solar calibration mode to modify its irradiance. Accurately calculating the solar orientation is a prerequisite of spectral calibration for the Ultraviolet Forward Spectrometer. In this paper, a method of calculating the solar orientation is proposed according to the imaging geometric characteristics of the spectrometer. Firstly, the solar orientation in the horizontal rectangular coordinate system is calculated based on the solar declination angle algorithm proposed by Bourges and the solar hour angle algorithm proposed by Lamm. Then, the solar orientation in the sensor coordinate system is achieved through several coordinate system transforms. Finally, we calculate the solar orientation in the sensor coordinate system and evaluate its calculation accuracy using actual orbital data of TianGong-2. The results show that the accuracy is close to the simulation method with STK (Satellite Tool Kit, and the error is not more than 2 %. The algorithm we present does not need a lot of astronomical knowledge, but only needs some observation parameters provided by TianGong-2.

  10. Neutronics analysis for the ITER core imaging X-ray spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Serikov, Arkady, E-mail: arkady.serikov@kit.edu [Karlsruhe Institute of Technology (KIT), Institute for Neutron Physics and Reactor Technology, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Fischer, Ulrich [Karlsruhe Institute of Technology (KIT), Institute for Neutron Physics and Reactor Technology, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Suarez, Alejandro; Barnsley, Robin; Bertalot, Luciano; O’Connor, Richard; Thenevin, Raphaël; Udintsev, Victor S. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France)

    2016-11-01

    Highlights: • Proposed substantial radiation shielding design improvements of the CIXS system. • Radiation protection of the CIXS Port Interspace (PI) to provide personnel access. • The SDDR at PI was reduced by 100× from 2 mSv/h to 20 microSv/h. • A screen plate as a temporary shield at the CIXS maintenance period has been proposed. • The shadow effect created by a screen plate reduces SDDR by 9×. - Abstract: This paper presents new results of the MCNP neutronics analysis for the core imaging X-ray spectrometer (CIXS) system of the ITER Equatorial Port Plug #17 (EPP#17). Substantial radiation shielding design improvements of the CIXS system have been suggested as the outcomes of this analysis. These suggested improvements allow reaching two major goals: (1) radiation protection of the CIXS Port Interspace (PI) to provide personnel access for maintenance of the vacuum extension flange; (2) reduction of the neutron and gamma loads on the detectors to reduce the need for maintenance itself. By implementing the improvements in our models such as filling void spaces around the CIXS beams with boron carbide and inserting the tungsten collimators in the narrowed beam channel, we were able to reduce the Shut-Down Dose Rate (SDDR) in the PI by 100× from 2 mSv/h in the original CIXS design to 20 microSv/h. In case of non-changed MCNP geometry, the D1S method was applied in calculations of SDDR. To allow the maintenance access to the flange, a part of shielding was removed, therefore the R2Smesh methodology was applied instead of D1S. During the maintenance access of CIXS from the PI side, a screen plate was proposed to introduce behind which a worker receives much less SDDR.

  11. A gamma scintillation spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Symbalisty, S

    1952-07-01

    A scintillation type gamma ray spectrometer employing coincidence counting, designed and built at the Physics Department of the University of Western Ontario is described. The spectrometer is composed of two anthracene and photomultiplier radiation detectors, two pulse analyzing channels, a coincidence stage, three scalers and a high voltage stabilized supply. A preliminary experiment to test the operation of the spectrometer was performed and the results of this test are presented. (author)

  12. Current Sheet Structures Observed by the TESIS EUV Telescope during a Flux Rope Eruption on the Sun

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Kuzin, S. V.

    2016-11-01

    We use the TESIS EUV telescope to study the current sheet signatures observed during flux rope eruption. The special feature of the TESIS telescope was its ability to image the solar corona up to a distance of 2 {R}⊙ from the Sun’s center in the Fe 171 Å line. The Fe 171 Å line emission illuminates the magnetic field lines, and the TESIS images reveal the coronal magnetic structure at high altitudes. The analyzed coronal mass ejection (CME) had a core with a spiral—flux rope—structure. The spiral shape indicates that the flux rope radius varied along its length. The flux rope had a complex temperature structure: cold legs (70,000 K, observed in He 304 Å line) and a hotter core (0.7 MK, observed in Fe 171 Å line). Such a structure contradicts the common assumption that the CME core is a cold prominence. When the CME impulsively accelerated, a dark double Y-structure appeared below the flux rope. The Y-structure timing, location, and morphology agree with the previously performed MHD simulations of the current sheet. We interpreted the Y-structure as a hot envelope of the current sheet and hot reconnection outflows. The Y-structure had a thickness of 6.0 Mm. Its length increased over time from 79 Mm to more than 411 Mm.

  13. Image-based spectroscopy for environmental monitoring

    Science.gov (United States)

    Bachmakov, Eduard; Molina, Carolyn; Wynne, Rosalind

    2014-03-01

    An image-processing algorithm for use with a nano-featured spectrometer chemical agent detection configuration is presented. The spectrometer chip acquired from Nano-Optic DevicesTM can reduce the size of the spectrometer down to a coin. The nanospectrometer chip was aligned with a 635nm laser source, objective lenses, and a CCD camera. The images from a nanospectrometer chip were collected and compared to reference spectra. Random background noise contributions were isolated and removed from the diffraction pattern image analysis via a threshold filter. Results are provided for the image-based detection of the diffraction pattern produced by the nanospectrometer. The featured PCF spectrometer has the potential to measure optical absorption spectra in order to detect trace amounts of contaminants. MATLAB tools allow for implementation of intelligent, automatic detection of the relevant sub-patterns in the diffraction patterns and subsequent extraction of the parameters using region-detection algorithms such as the generalized Hough transform, which detects specific shapes within the image. This transform is a method for detecting curves by exploiting the duality between points on a curve and parameters of that curve. By employing this imageprocessing technique, future sensor systems will benefit from new applications such as unsupervised environmental monitoring of air or water quality.

  14. Measurement of the Spectral Absorption of Liquid Water in Melting Snow With an Imaging Spectrometer

    Science.gov (United States)

    Green, Robert O.; Dozier, Jeff

    1995-01-01

    Melting of the snowpack is a critical parameter that drives aspects of the hydrology in regions of the Earth where snow accumulates seasonally. New techniques for measurement of snow melt over regional scales offer the potential to improve monitoring and modeling of snow-driven hydrological processes. In this paper we present the results of measuring the spectral absorption of liquid water in a melting snowpack with the Airborne Visible/Infrared Imaging Spectrometer (AVIRIS). AVIRIS data were acquired over Mammoth Mountain, in east central California on 21 May 1994 at 18:35 UTC. The air temperature at 2926 m on Mammoth Mountain at site A was measured at 15-minute intervals during the day preceding the AVIRIS data acquisition. At this elevation. the air temperature did not drop below freezing the night of the May 20 and had risen to 6 degrees Celsius by the time of the overflight on May 21. These temperature conditions support the presence of melting snow at the surface as the AVIRIS data were acquired.

  15. Acquisition of HPLC-Mass Spectrometer

    Science.gov (United States)

    2015-08-18

    31-Jan-2015 Approved for Public Release; Distribution Unlimited Final Report: Acquisition of HPLC -Mass Spectrometer The views, opinions and/or findings...published in peer-reviewed journals: Final Report: Acquisition of HPLC -Mass Spectrometer Report Title The acquisition of the mass spectrometer has been a

  16. An Airborne Infrared Spectrometer for Solar Eclipse Observations

    Science.gov (United States)

    Samra, Jenna; DeLuca, Edward E.; Golub, Leon; Cheimets, Peter; Philip, Judge

    2016-05-01

    The airborne infrared spectrometer (AIR-Spec) is an innovative solar spectrometer that will observe the 2017 solar eclipse from the NSF/NCAR High-Performance Instrumented Airborne Platform for Environmental Research (HIAPER). AIR-Spec will image five infrared coronal emission lines to determine whether they may be useful probes of coronal magnetism.The solar magnetic field provides the free energy that controls coronal heating, structure, and dynamics. Energy stored in coronal magnetic fields is released in flares and coronal mass ejections and ultimately drives space weather. Therefore, direct coronal field measurements have significant potential to enhance understanding of coronal dynamics and improve solar forecasting models. Of particular interest are observations of field lines in the transitional region between closed and open flux systems, providing important information on the origin of the slow solar wind.While current instruments routinely observe only the photospheric and chromospheric magnetic fields, AIR-Spec will take a step toward the direct observation of coronal fields by measuring plasma emission in the infrared at high spatial and spectral resolution. During the total solar eclipse of 2017, AIR-Spec will observe five magnetically sensitive coronal emission lines between 1.4 and 4 µm from the HIAPER Gulfstream V at an altitude above 14.9 km. The instrument will measure emission line intensity, width, and Doppler shift, map the spatial distribution of infrared emitting plasma, and search for waves in the emission line velocities.AIR-Spec consists of an optical system (feed telescope, grating spectrometer, and infrared detector) and an image stabilization system, which uses a fast steering mirror to correct the line-of-sight for platform perturbations. To ensure that the instrument meets its research goals, both systems are undergoing extensive performance modeling and testing. These results are shown with reference to the science requirements.

  17. Engineering design of the Regolith X-ray Imaging Spectrometer (REXIS) instrument: an OSIRIS-REx student collaboration

    Science.gov (United States)

    Jones, Michael; Chodas, Mark; Smith, Matthew J.; Masterson, Rebecca A.

    2014-07-01

    OSIRIS-REx is a NASA New Frontiers mission scheduled for launch in 2016 that will travel to the asteroid Bennu and return a pristine sample of the asteroid to Earth. The REgolith X-ray Imaging Spectrometer (REXIS) is a student collaboration instrument on-board the OSIRIS-REx spacecraft. REXIS is a NASA risk Class D instrument, and its design and development is largely student led. The engineering team consists of MIT graduate and undergraduate students and staff at the MIT Space Systems Laboratory. The primary goal of REXIS is the education of science and engineering students through participation in the development of light hardware. In light, REXIS will contribute to the mission by providing an elemental abundance map of the asteroid and by characterizing Bennu among the known meteorite groups. REXIS is sensitive to X-rays between 0.5 and 7 keV, and uses coded aperture imaging to map the distribution of iron with 50 m spatial resolution. This paper describes the science goals, concept of operations, and overall engineering design of the REXIS instrument. Each subsystem of the instrument is addressed with a high-level description of the design. Critical design elements such as the Thermal Isolation Layer (TIL), radiation cover, coded-aperture mask, and Detector Assembly Mount (DAM) are discussed in further detail.

  18. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  19. Fourier Transform Spectrometer System

    Science.gov (United States)

    Campbell, Joel F. (Inventor)

    2014-01-01

    A Fourier transform spectrometer (FTS) data acquisition system includes an FTS spectrometer that receives a spectral signal and a laser signal. The system further includes a wideband detector, which is in communication with the FTS spectrometer and receives the spectral signal and laser signal from the FTS spectrometer. The wideband detector produces a composite signal comprising the laser signal and the spectral signal. The system further comprises a converter in communication with the wideband detector to receive and digitize the composite signal. The system further includes a signal processing unit that receives the composite signal from the converter. The signal processing unit further filters the laser signal and the spectral signal from the composite signal and demodulates the laser signal, to produce velocity corrected spectral data.

  20. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.