WorldWideScience

Sample records for euv emission lines

  1. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  2. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  3. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  4. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  5. EUV emission from Kr and Xe capillary discharge plasmas

    International Nuclear Information System (INIS)

    Juschkin, L.; Ellwi, S.; Kunze, H-J.; Chuvatin, A.; Zakharov, S.V.

    2002-01-01

    Kr and Xe plasmas are very intensive emitters in the spectral range of 100-150 A, which is relevant for a number of applications (for example microlithography). We present investigations of the extreme utraviolet (EUV) emission from a slow capillary discharge with Kr and Xe fillings. The emission of Kr ions (Kr VIII to Kr XI) within the range of 70-150 A consists of three bands of lines of about 10 A width with maxima at 116, 103 and 86 A. Xe emission bands of about 15 A width have their maxima at 136 and 115 A (Xe IX to Xe XII). The radiation duration in this spectral range is ∼150 ns for both elements. At the optimum conditions, the Kr emission at 103 A is 2-3 times more intense than the Xe emission at 136 A. The measured spectral energy of Kr radiation is about 0.1 J sr -1 A -1 . Experimental results are compared with numerical modellings of the dynamics and emission of the capillary discharge plasma, which enables the determination of plasma parameters and the future use of the codes as additional instruments for plasma diagnostics. (author)

  6. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  7. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  8. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  9. Spatially and temporally resolved EUV emissions from SATURN z-pinches

    International Nuclear Information System (INIS)

    Nash, T.J.; Breeze, S.; Mock, R.; Jobe, D.

    1995-01-01

    EUV emissions can be used to measure several z-pinch parameters. The authors have measured implosion velocity from Doppler splitting of lines and estimated electron temperature during run-in from the mean ionization state of line emissions. In an argon pinch they measure an electron temperature of 100 eV before stagnation. To date Doppler split lines have measured implosion velocities less than 40 cm/microsecond. They are presently attempting to measure magnetic field or load current from Zeeman splitting and it may be possible to measure electron density from a Stark-broadened line. Opacity and ion thermal broadening may also contribute to line width information. The spectrometer utilizes a variable line space grating to give a flat focal field. Spectral resolution with a 60 micron detector resolution is up to 3,000 and generally increases with wavelength. This is sufficient to detect several plasma line broadening mechanisms. The spectrometer may detect lines above 100 angstrom and below 1,400 angstrom. Spectral range across a microchannel plate stripline detector decreases with increasing wavelength setting. The authors may gate two striplines with 1 to 12 nsec gates at any time during the pinch discharge. Each stripline spatially images the pinch diameter perpendicular to the direction of dispersion. Spatial resolution in the pinch diameter is 1 mm. Spatial acquisition along the z axis is also 1 mm. Data are presented from argon, krypton, and aluminum z-pinch discharges on the SATURN accelerator

  10. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  11. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  12. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  13. Center-to-Limb Variability of Hot Coronal EUV Emissions During Solar Flares

    Science.gov (United States)

    Thiemann, E. M. B.; Chamberlin, P. C.; Eparvier, F. G.; Epp, L.

    2018-02-01

    It is generally accepted that densities of quiet-Sun and active region plasma are sufficiently low to justify the optically thin approximation, and this is commonly used in the analysis of line emissions from plasma in the solar corona. However, the densities of solar flare loops are substantially higher, compromising the optically thin approximation. This study begins with a radiative transfer model that uses typical solar flare densities and geometries to show that hot coronal emission lines are not generally optically thin. Furthermore, the model demonstrates that the observed line intensity should exhibit center-to-limb variability (CTLV), with flares observed near the limb being dimmer than those occurring near disk center. The model predictions are validated with an analysis of over 200 flares observed by the EUV Variability Experiment (EVE) on the Solar Dynamics Observatory (SDO), which uses six lines, with peak formation temperatures between 8.9 and 15.8 MK, to show that limb flares are systematically dimmer than disk-center flares. The data are then used to show that the electron column density along the line of sight typically increases by 1.76 × 10^{19} cm^{-2} for limb flares over the disk-center flare value. It is shown that the CTLV of hot coronal emissions reduces the amount of ionizing radiation propagating into the solar system, and it changes the relative intensities of lines and bands commonly used for spectral analysis.

  14. Mg IX emission lines in an active region spectrum obtained with the Solar EUV Rocket Telescope and Spectrograph (SERTS)

    Science.gov (United States)

    Keenan, F. P.; Thomas, R. J.; Neupert, W. M.; Conlon, E. S.

    1994-01-01

    Theoretical electron-temperature-sensitive Mg IX emission line ratios are presented for R(sub 1) = I(443.96 A)/I(368.06 A), R(sub 2) = I(439.17 A)/I(368.06 A), R(sub 3) = I(443.37 A)/I(368.06 A), R(sub 4) = I(441.22 A)/I(368.06 A), and R(sub 5) = I(448.28 A)/I(368.06 A). A comparison of these with observational data for a solar active region, obtained during a rocket flight by the Solar EUV Rocket Telescope and Spectrograph (SERTS), reveals excellent agreement between theory and observation for R(sub 1) through R(sub 4), with discrepancies that average only 9%. This provides experimental support for the accuracy of the atomic data adopted in the line ratio calculations, and also resolves discrepancies found previously when the theoretical results were compared with solar data from the S082A instrument on board Skylab. However in the case of R(sub 5), the theoretical and observed ratios differ by almost a factor of 2. This may be due to the measured intensity of the 448.28 A line being seriously affected by instrumental effects, as it lies very close to the long wavelength edge of the SERTS spectral coverage (235.46-448.76 A).

  15. Extreme Ultraviolet Emission Lines of Iron Fe XI-XIII

    Science.gov (United States)

    Lepson, Jaan; Beiersdorfer, P.; Brown, G. V.; Liedahl, D. A.; Brickhouse, N. S.; Dupree, A. K.

    2013-04-01

    The extreme ultraviolet (EUV) spectral region (ca. 20--300 Å) is rich in emission lines from low- to mid-Z ions, particularly from the middle charge states of iron. Many of these emission lines are important diagnostics for astrophysical plasmas, providing information on properties such as elemental abundance, temperature, density, and even magnetic field strength. In recent years, strides have been made to understand the complexity of the atomic levels of the ions that emit the lines that contribute to the richness of the EUV region. Laboratory measurements have been made to verify and benchmark the lines. Here, we present laboratory measurements of Fe XI, Fe XII, and Fe XIII between 40-140 Å. The measurements were made at the Lawrence Livermore electron beam ion trap (EBIT) facility, which has been optimized for laboratory astrophysics, and which allows us to select specific charge states of iron to help line identification. We also present new calculations by the Hebrew University - Lawrence Livermore Atomic Code (HULLAC), which we also utilized for line identification. We found that HULLAC does a creditable job of reproducing the forest of lines we observed in the EBIT spectra, although line positions are in need of adjustment, and line intensities often differed from those observed. We identify or confirm a number of new lines for these charge states. This work was supported by the NASA Solar and Heliospheric Program under Contract NNH10AN31I and the DOE General Plasma Science program. Work was performed in part under the auspices of the Department of Energy by Lawrence Livermore National Laboratory under Contract DEAC52-07NA27344.

  16. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  17. EUV lines observed with EIS/Hinode in a solar prominence

    Science.gov (United States)

    Labrosse, N.; Schmieder, B.; Heinzel, P.; Watanabe, T.

    2011-07-01

    Context. During a multi-wavelength observation campaign with Hinode and ground-based instruments, a solar prominence was observed for three consecutive days as it crossed the western limb of the Sun in April 2007. Aims: We report on observations obtained on 26 April 2007 using EIS (Extreme ultraviolet Imaging Spectrometer) on Hinode. They are analysed to provide a qualitative diagnostic of the plasma in different parts of the prominence. Methods: After correcting for instrumental effects, the rasters at different wavelengths are presented. Several regions within the same prominence are identified for further analysis. Selected profiles for lines with formation temperatures between log (T) = 4.7 and log (T) = 6.3, as well as their integrated intensities, are given. The profiles of coronal, transition region, and He ii lines are discussed. We pay special attention to the He ii line, which is blended with coronal lines. Results: Some quantitative results are obtained by analysing the line profiles. They confirm that depression in EUV lines can be interpreted in terms of two mechanisms: absorption of coronal radiation by the hydrogen and neutral helium resonance continua, and emissivity blocking. We present estimates of the He ii line integrated intensity in different parts of the prominence according to different scenarios for the relative contribution of absorption and emissivity blocking to the coronal lines blended with the He ii line. We estimate the contribution of the He ii 256.32 Å line to the He ii raster image to vary between ~44% and 70% of the raster's total intensity in the prominence according to the different models used to take into account the blending coronal lines. The inferred integrated intensities of the He ii 256 Å line are consistent with the theoretical intensities obtained with previous 1D non-LTE radiative transfer calculations, yielding a preliminary estimate of the central temperature of 8700 K, a central pressure of 0.33 dyn cm-2, and a

  18. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  19. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  20. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  1. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  2. A photoionization model for the optical line emission from cooling flows

    Science.gov (United States)

    Donahue, Megan; Voit, G. M.

    1991-01-01

    The detailed predictions of a photoionization model previously outlined in Voit and Donahue (1990) to explain the optical line emission associated with cooling flows in X-ray emitting clusters of galaxies are presented. In this model, EUV/soft X-ray radiation from condensing gas photoionizes clouds that have already cooled. The energetics and specific consequences of such a model, as compared to other models put forth in the literature is discussed. Also discussed are the consequences of magnetic fields and cloud-cloud shielding. The results illustrate how varying the individual column densities of the ionized clouds can reproduce the range of line ratios observed and strongly suggest that the emission-line nebulae are self-irradiated condensing regions at the centers of cooling flows.

  3. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  4. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  5. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  6. Modeling 13.3nm Fe XXIII Flare Emissions Using the GOES-R EXIS Instrument

    Science.gov (United States)

    Rook, H.; Thiemann, E.

    2017-12-01

    The solar EUV spectrum is dominated by atomic transitions in ionized atoms in the solar atmosphere. As solar flares evolve, plasma temperatures and densities change, influencing abundances of various ions, changing intensities of different EUV wavelengths observed from the sun. Quantifying solar flare spectral irradiance is important for constraining models of Earth's atmosphere, improving communications quality, and controlling satellite navigation. However, high time cadence measurements of flare irradiance across the entire EUV spectrum were not available prior to the launch of SDO. The EVE MEGS-A instrument aboard SDO collected 0.1nm EUV spectrum data from 2010 until 2014, when the instrument failed. No current or future instrument is capable of similar high resolution and time cadence EUV observation. This necessitates a full EUV spectrum model to study EUV phenomena at Earth. It has been recently demonstrated that one hot flare EUV line, such as the 13.3nm Fe XXIII line, can be used to model cooler flare EUV line emissions, filling the role of MEGS-A. Since unblended measurements of Fe XXIII are typically unavailable, a proxy for the Fe XXIII line must be found. In this study, we construct two models of this line, first using the GOES 0.1-0.8nm soft x-ray (SXR) channel as the Fe XXIII proxy, and second using a physics-based model dependent on GOES emission measure and temperature data. We determine that the more sophisticated physics-based model shows better agreement with Fe XXIII measurements, although the simple proxy model also performs well. We also conclude that the high correlation between Fe XXIII emissions and the GOES 0.1-0.8nm band is because both emissions tend to peak near the GOES emission measure peak despite large differences in their contribution functions.

  7. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  8. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  9. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  10. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  11. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  12. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  13. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  14. A fast-time-response extreme ultraviolet spectrometer for measurement of impurity line emissions in the Experimental Advanced Superconducting Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Ling; Xu, Zong; Wu, Zhenwei; Zhang, Pengfei; Wu, Chengrui; Gao, Wei; Shen, Junsong; Chen, Yingjie; Liu, Xiang; Wang, Yumin; Gong, Xianzu; Hu, Liqun; Chen, Junlin; Zhang, Xiaodong; Wan, Baonian; Li, Jiangang [Institute of Plasma Physics Chinese Academy of Sciences, Hefei 230026, Anhui (China); Morita, Shigeru; Ohishi, Tetsutarou; Goto, Motoshi [National Institute for Fusion Science, Toki 509-5292, Gifu (Japan); Department of Fusion Science, Graduate University for Advanced Studies, Toki 509-5292, Gifu (Japan); Dong, Chunfeng [Southwestern Institute of Physics, Chengdu 610041, Sichuan (China); and others

    2015-12-15

    A flat-field extreme ultraviolet (EUV) spectrometer working in the 20-500 Å wavelength range with fast time response has been newly developed to measure line emissions from highly ionized tungsten in the Experimental Advanced Superconducting Tokamak (EAST) with a tungsten divertor, while the monitoring of light and medium impurities is also an aim in the present development. A flat-field focal plane for spectral image detection is made by a laminar-type varied-line-spacing concave holographic grating with an angle of incidence of 87°. A back-illuminated charge-coupled device (CCD) with a total size of 26.6 × 6.6 mm{sup 2} and pixel numbers of 1024 × 255 (26 × 26 μm{sup 2}/pixel) is used for recording the focal image of spectral lines. An excellent spectral resolution of Δλ{sub 0} = 3-4 pixels, where Δλ{sub 0} is defined as full width at the foot position of a spectral line, is obtained at the 80-400 Å wavelength range after careful adjustment of the grating and CCD positions. The high signal readout rate of the CCD can improve the temporal resolution of time-resolved spectra when the CCD is operated in the full vertical binning mode. It is usually operated at 5 ms per frame. If the vertical size of the CCD is reduced with a narrow slit, the time response becomes faster. The high-time response in the spectral measurement therefore makes possible a variety of spectroscopic studies, e.g., impurity behavior in long pulse discharges with edge-localized mode bursts. An absolute intensity calibration of the EUV spectrometer is also carried out with a technique using the EUV bremsstrahlung continuum at 20-150 Å for quantitative data analysis. Thus, the high-time resolution tungsten spectra have been successfully observed with good spectral resolution using the present EUV spectrometer system. Typical tungsten spectra in the EUV wavelength range observed from EAST discharges are presented with absolute intensity and spectral identification.

  15. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  16. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  17. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  18. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  19. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  20. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources

    International Nuclear Information System (INIS)

    Gaufridy de Dortan, F. de

    2006-01-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  1. Intensity of emission lines of the quiescent solar corona: comparison between calculated and observed values

    Science.gov (United States)

    Krissinel, Boris

    2018-03-01

    The paper reports the results of calculations of the center-to-limb intensity of optically thin line emission in EUV and FUV wavelength ranges. The calculations employ a multicomponent model for the quiescent solar corona. The model includes a collection of loops of various sizes, spicules, and free (inter-loop) matter. Theoretical intensity values are found from probabilities of encountering parts of loops in the line of sight with respect to the probability of absence of other coronal components. The model uses 12 loops with sizes from 3200 to 210000 km with different values of rarefaction index and pressure at the loop base and apex. The temperature at loop apices is 1 400 000 K. The calculations utilize the CHIANTI database. The comparison between theoretical and observed emission intensity values for coronal and transition region lines obtained by the SUMER, CDS, and EIS telescopes shows quite satisfactory agreement between them, particularly for the solar disk center. For the data acquired above the limb, the enhanced discrepancies after the analysis refer to errors in EIS measurements.

  2. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  3. EMC3-eIRENE simulation of impurity transport in comparison with EUV emission measurements in the stochastic layer of LHD: effects of force balance and transport coefficients

    Energy Technology Data Exchange (ETDEWEB)

    Dai, S. [National Institute for Fusion Science, Toki (Japan); Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Kobayashi, M.; Morita, S.; Oishi, T.; Suzuki, Y. [National Institute for Fusion Science, Toki (Japan); Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Kawamura, G. [National Institute for Fusion Science, Toki (Japan); Zhang, H.M.; Huang, X.L. [Department of Fusion Science, School of Physical Sciences, SOKENDAI (The Graduate University for Advanced Studies), Toki (Japan); Feng, Y. [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Wang, D.Z. [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian (China); Collaboration: The LHD experiment group

    2016-08-15

    The transport properties and line emissions of the intrinsic carbon in the stochastic layer of the Large Helical Device have been investigated with the three-dimensional edge transport code EMC3-EIRENE. The simulations of impurity transport and emissivity have been performed to study the dedicated experiment in which the carbon emission distributions are measured by a space-resolved EUV spectrometer system. A discrepancy of the CIV impurity emission between the measurement and simulation is obtained, which is studied with the variation of the ion thermal force, friction force and the perpendicular diffusivity in the impurity transport model. An enhanced ion thermal force or a reduced friction force in the modelling can increase the CIV impurity emission at the inboard X-point region. Furthermore, the impact of the perpendicular diffusivity Dimp is studied which shows that the CIV impurity emission pattern is very sensitive to Dimp. It is found that the simulation results with the increased Dimp tend to be closer to the experimental observation. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. SCRIC: a code dedicated to the detailed emission and absorption of heterogeneous NLTE plasmas; application to xenon EUV sources; SCRIC: un code pour calculer l'absorption et l'emission detaillees de plasmas hors equilibre, inhomogenes et etendus; application aux sources EUV a base de xenon

    Energy Technology Data Exchange (ETDEWEB)

    Gaufridy de Dortan, F. de

    2006-07-01

    Nearly all spectral opacity codes for LTE and NLTE plasmas rely on configurations approximate modelling or even supra-configurations modelling for mid Z plasmas. But in some cases, configurations interaction (either relativistic and non relativistic) induces dramatic changes in spectral shapes. We propose here a new detailed emissivity code with configuration mixing to allow for a realistic description of complex mid Z plasmas. A collisional radiative calculation. based on HULLAC precise energies and cross sections. determines the populations. Detailed emissivities and opacities are then calculated and radiative transfer equation is resolved for wide inhomogeneous plasmas. This code is able to cope rapidly with very large amount of atomic data. It is therefore possible to use complex hydrodynamic files even on personal computers in a very limited time. We used this code for comparison with Xenon EUV sources within the framework of nano-lithography developments. It appears that configurations mixing strongly shifts satellite lines and must be included in the description of these sources to enhance their efficiency. (author)

  5. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  6. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  7. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode/EUV Imaging Spectrometer (EIS)

    Science.gov (United States)

    Watanabe, Tetsuya; Hara, Hirohisa; Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Morita, Shigeru; Suzuki, Chihiro; Tamura, Naoki; Yamamoto, Norimasa; Nakamura, Nobuyuki

    2017-06-01

    Line intensities emerging from the Ne-sequence iron ion (Fe XVII) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe XVII λ 204.6/λ 254.8 are derived in the laboratory by unblending the contributions of the Fe XIII and XII line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  8. Neon-like Iron Ion Lines Measured in NIFS/Large Helical Device (LHD) and Hinode /EUV Imaging Spectrometer (EIS)

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, Tetsuya; Hara, Hirohisa [National Astronomical Observatory, National Institutes of Natural Sciences 2-21-1 Osawa Mitaka Tokyo, 181-8588 (Japan); Murakami, Izumi; Kato, Daiji; Morita, Shigeru [SOKENDAI (Graduate University for Advanced Studies) Hayama, Miura-gun, Kanagawa, 240-0193 (Japan); Sakaue, Hiroyuki A.; Suzuki, Chihiro; Tamura, Naoki [National Institute for Fusion Science, National Institutes of Natural Sciences 322-6 Oroshi-cho, Toki Gifu, 509-5292 (Japan); Yamamoto, Norimasa [Chubu University 1200 Matsumoto-cho, Kasugai Aichi, 487-0027 (Japan); Nakamura, Nobuyuki, E-mail: watanabe@uvlab.mtk.nao.ac.jp [The University of Electro-Communications 1-5-1 Chofugaoka, Chofu Tokyo, 182-8585 (Japan)

    2017-06-10

    Line intensities emerging from the Ne-sequence iron ion (Fe xvii) are measured in the laboratory, by the Large Helical Device at the National Institute for Fusion Science, and in the solar corona by the EUV Imaging Spectrometer (EIS) on board the Hinode mission. The intensity ratios of Fe xvii λ 204.6/ λ 254.8 are derived in the laboratory by unblending the contributions of the Fe xiii and xii line intensities. They are consistent with theoretical predictions and solar observations, the latter of which endorses the in-flight radiometric calibrations of the EIS instrument. The still remaining temperature-dependent behavior of the line ratio suggests the contamination of lower-temperature iron lines that are blended with the λ 204.6 line.

  9. Spectral lines and characteristic of temporal variations in photoionized plasmas induced with laser-produced plasma extreme ultraviolet source

    Science.gov (United States)

    Saber, I.; Bartnik, A.; Wachulak, P.; Skrzeczanowski, W.; Jarocki, R.; Fiedorowicz, H.

    2017-11-01

    Spectral lines for Kr/Ne/H2 photoionized plasma in the ultraviolet and visible (UV/Vis) wavelength ranges have been created using a laser-produced plasma (LPP) EUV source. The source is based on a double-stream gas puff target irradiated with a commercial Nd:YAG laser. The laser pulses were focused onto a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Spectral lines from photoionization in neutral Kr/Ne/H2 and up to few charged states were observed. The intense emission lines were associated with the Kr transition lines. Experimental and theoretical investigations on intensity variations for some ionic lines are presented. A decrease in the intensity with the delay time between the laser pulse and the spectrum acquisition was revealed. Electron temperature and electron density in the photoionized plasma have been estimated from the characteristic emission lines. Temperature was obtained using Boltzmann plot method, assuming that the population density of atoms and ions are considered in a local thermodynamic equilibrium (LTE). Electron density was calculated from the Stark broadening profile. The temporal evaluation of the plasma and the way of optimizing the radiation intensity of LPP EUV sources is discussed.

  10. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  11. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  12. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  13. OH+ emission from cometary knots in planetary nebulae

    Science.gov (United States)

    Priestley, F. D.; Barlow, M. J.

    2018-05-01

    We model the molecular emission from cometary knots in planetary nebulae (PNe) using a combination of photoionization and photodissociation region (PDR) codes, for a range of central star properties and gas densities. Without the inclusion of ionizing extreme ultraviolet (EUV) radiation, our models require central star temperatures T* to be near the upper limit of the range investigated in order to match observed H2 and OH+ surface brightnesses consistent with observations - with the addition of EUV flux, our models reproduce observed OH+ surface brightnesses for T* ≥ 100 kK. For T* non-detection of this molecule in PNe with such central star temperatures. Our predicted level of H2 emission is somewhat weaker than commonly observed in PNe, which may be resolved by the inclusion of shock heating or fluorescence due to UV photons. Some of our models also predict ArH+ and HeH+ rotational line emission above detection thresholds, despite neither molecule having been detected in PNe, although the inclusion of photodissociation by EUV photons, which is neglected by our models, would be expected to reduce their detectability.

  14. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  15. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  16. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  17. Thermal energy creation and transport and X-ray/EUV emission in a thermodynamic MHD CME simulation

    Science.gov (United States)

    Reeves, K.; Mikic, Z.; Torok, T.; Linker, J.; Murphy, N. A.

    2017-12-01

    We model a CME using the PSI 3D numerical MHD code that includes coronal heating, thermal conduction and radiative cooling in the energy equation. The magnetic flux distribution at 1 Rs is produced by a localized subsurface dipole superimposed on a global dipole field, mimicking the presence of an active region within the global corona. We introduce transverse electric fields near the neutral line in the active region to form a flux rope, then a converging flow is imposed that causes the eruption. We follow the formation and evolution of the current sheet and find that instabilities set in soon after the reconnection commences. We simulate XRT and AIA EUV emission and find that the instabilities manifest as bright features emanating from the reconnection region. We examine the quantities responsible for plasma heating and cooling during the eruption, including thermal conduction, radiation, adiabatic compression and expansion, coronal heating and ohmic heating due to dissipation of currents. We find that the adiabatic compression plays an important role in heating the plasma around the current sheet, especially in the later stages of the eruption when the instabilities are present. Thermal conduction also plays an important role in the transport of thermal energy away from the current sheet region throughout the reconnection process.

  18. Coronal Physics and the Chandra Emission Line Project

    Science.gov (United States)

    Brickhouse, N. S.; Drake, J. J.

    2000-01-01

    With the launch of the Chandra X-ray Observatory, high resolution X-ray spectroscopy of cosmic sources has begun. Early, deep observations of three stellar coronal sources Capella, Procyon, and HR 1099 are providing not only invaluable calibration data, but also benchmarks for plasma spectral models. These models are needed to interpret data from stellar coronae, galaxies and clusters of galaxies, supernova, remnants and other astrophysical sources. They have been called into question in recent years as problems with understanding low resolution ASCA and moderate resolution Extreme Ultraviolet Explorer Satellite (EUVE) data have arisen. The Emission Line Project is a collaborative effort, to improve the models, with Phase I being the comparison of models with observed spectra of Capella, Procyon, and HR 1099. Goals of these comparisons are (1) to determine and verify accurate and robust diagnostics and (2) to identify and prioritize issues in fundamental spectroscopy which will require further theoretical and/or laboratory work. A critical issue in exploiting the coronal data for these purposes is to understand the extent, to which common simplifying assumptions (coronal equilibrium, negligible optical depth) apply. We will discuss recent, advances in our understanding of stellar coronae, in this context.

  19. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  20. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  1. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  2. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  3. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  4. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  5. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  6. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  7. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  8. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  9. Database of emission lines

    Science.gov (United States)

    Binette, L.; Ortiz, P.; Joguet, B.; Rola, C.

    1998-11-01

    A widely accessible data bank (available through Netscape) and consiting of all (or most) of the emission lines reported in the litterature is being built. It will comprise objects as diverse as HII regions, PN, AGN, HHO. One of its use will be to define/refine existing diagnostic emission line diagrams.

  10. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  11. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  12. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  13. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  14. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  15. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    -to-Aerial printability) analysis of every defect. First, a defect-free or reference mask SEM is rendered from the post-OPC design, and the defective signature is detected from the defect-reference difference image. These signatures help assess the true nature of the defect as evident in e-beam imaging; for example, excess or missing absorber, line-edge roughness, contamination, etc. Next, defect and reference contours are extracted from the grayscale SEM images and fed into the simulation engine with an EUV scanner model to generate corresponding EUV defect and reference aerial images. These are then analyzed for printability and dispositioned using an Aerial Image Analyzer (AIA) application to automatically measure and determine the amount of CD errors. Thus by integrating EUV ADC and S2A applications together, every defect detection is characterized for its type and printability which is essential for not only determining which defects to repair, but also in monitoring the performance of EUV mask process tools. The accuracy of the S2A print modeling has been verified with other commercially-available simulators, and will also be verified with actual wafer print results. With EUV lithography progressing towards volume manufacturing at 5nm technology, and the likelihood of EBMI inspectors approaching the horizon, the EUV ADC-S2A system will continue serving an essential role of dispositioning defects off e-beam imaging.

  16. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  17. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    should be applicable in biomedical engineering. The compact laser plasma EUV source has been also used in a microscope based on a Fresnel optics for nanoimaging. Quasi-monochromatic EUV radiation at 13.8 nm was obtained by selection of a single line from the argon plasma spectrum produced using the argon gas puff target. The Mo/Si ellipsoidal mirror of 80 nm in diameter and the 45 deg incidence angle was applied as the selector. Using the Fresnel lens with the outer zone width of 50 nm the spatial resolution (half-pitch) of 70 nm was obtained. Acknowledgements. The research was supported by the Ministry of Science and Higher Education under the EUREKA project E 3892 ModPolEUV, the Foundation for Polish Science under the HOMING 2009 Program (grant number HOM2009/14B) and the EC's 7. Framework Program (LASERLAB-EUROPE, ELI-PP and COST Action MP0601).

  18. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  19. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  20. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  1. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  2. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  3. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  4. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  5. Free-electron laser emission architecture impact on extreme ultraviolet lithography

    Science.gov (United States)

    Hosler, Erik R.; Wood, Obert R.; Barletta, William A.

    2017-10-01

    Laser-produced plasma (LPP) EUV sources have demonstrated ˜125 W at customer sites, establishing confidence in EUV lithography (EUVL) as a viable manufacturing technology. However, for extension to the 3-nm technology node and beyond, existing scanner/source technology must enable higher-NA imaging systems (requiring increased resist dose and providing half-field exposures) and/or EUV multipatterning (requiring increased wafer throughput proportional to the number of exposure passes). Both development paths will require a substantial increase in EUV source power to maintain the economic viability of the technology, creating an opportunity for free-electron laser (FEL) EUV sources. FEL-based EUV sources offer an economic, high-power/single-source alternative to LPP EUV sources. Should FELs become the preferred next-generation EUV source, the choice of FEL emission architecture will greatly affect its operational stability and overall capability. A near-term industrialized FEL is expected to utilize one of the following three existing emission architectures: (1) self-amplified spontaneous emission, (2) regenerative amplifier, or (3) self-seeding. Model accelerator parameters are put forward to evaluate the impact of emission architecture on FEL output. Then, variations in the parameter space are applied to assess the potential impact to lithography operations, thereby establishing component sensitivity. The operating range of various accelerator components is discussed based on current accelerator performance demonstrated at various scientific user facilities. Finally, comparison of the performance between the model accelerator parameters and the variation in parameter space provides a means to evaluate the potential emission architectures. A scorecard is presented to facilitate this evaluation and provides a framework for future FEL design and enablement for EUVL applications.

  6. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  7. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  8. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  9. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  10. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  11. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  12. Correction of UBV Photometry for Emission Lines

    Directory of Open Access Journals (Sweden)

    Skopal A.

    2003-12-01

    Full Text Available We investigate the effect on U,B,V magnitudes of the removal of emission lines from the spectra of some symbiotic stars and novae during their nebular phases. We approach this problem by the precise reconstruction of the composite UV/optical continuum and the line spectrum. The corrections ΔU, ΔB and ΔV are determined from the ratio of fluxes with and without emission lines. We demonstrate the effect for symbiotic nova V1016 Cyg during its nebular phase. We find that about 68%, 78% and 66% of the observed flux in the U, B and V filters is radiated in the emission lines. The effect should be taken into account before using the observed color indices of emission-line objects for diagnosis of their radiation in the continuum.

  13. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  14. MICROLENSING OF QUASAR BROAD EMISSION LINES: CONSTRAINTS ON BROAD LINE REGION SIZE

    Energy Technology Data Exchange (ETDEWEB)

    Guerras, E.; Mediavilla, E. [Instituto de Astrofisica de Canarias, Via Lactea S/N, La Laguna E-38200, Tenerife (Spain); Jimenez-Vicente, J. [Departamento de Fisica Teorica y del Cosmos, Universidad de Granada, Campus de Fuentenueva, E-18071 Granada (Spain); Kochanek, C. S. [Department of Astronomy and the Center for Cosmology and Astroparticle Physics, The Ohio State University, 4055 McPherson Lab, 140 West 18th Avenue, Columbus, OH 43221 (United States); Munoz, J. A. [Departamento de Astronomia y Astrofisica, Universidad de Valencia, E-46100 Burjassot, Valencia (Spain); Falco, E. [Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Motta, V. [Departamento de Fisica y Astronomia, Universidad de Valparaiso, Avda. Gran Bretana 1111, Valparaiso (Chile)

    2013-02-20

    We measure the differential microlensing of the broad emission lines between 18 quasar image pairs in 16 gravitational lenses. We find that the broad emission lines are in general weakly microlensed. The results show, at a modest level of confidence (1.8{sigma}), that high ionization lines such as C IV are more strongly microlensed than low ionization lines such as H{beta}, indicating that the high ionization line emission regions are more compact. If we statistically model the distribution of microlensing magnifications, we obtain estimates for the broad line region size of r{sub s} = 24{sup +22} {sub -15} and r{sub s} = 55{sup +150} {sub -35} lt-day (90% confidence) for the high and low ionization lines, respectively. When the samples are divided into higher and lower luminosity quasars, we find that the line emission regions of more luminous quasars are larger, with a slope consistent with the expected scaling from photoionization models. Our estimates also agree well with the results from local reveberation mapping studies.

  15. UV line emission of symbiotic stars

    International Nuclear Information System (INIS)

    Nussbaumer, H.

    1982-01-01

    General characteristics of emission line spectra from symbiotic stars are outlined. Data from some special line ratios in the 1000 A - 3000 A range, and others connecting the visual and the far UV lines are presented, and their application to symbiotic stars is discussed. Integrated fractional abundances for ions easily observed in the far UV are given to facilitate abundance determinations for nebular conditions. It is found that the physical conditions of the regions emitting the emission line spectra differ considerably among different symbiotic stars. (Auth.)

  16. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  17. The Of emission lines near 4650 A

    International Nuclear Information System (INIS)

    Underhill, A.B.; Gilroy, K.K.; Hill, G.M.

    1989-01-01

    Rectified, normalized, high S/N intensity tracings of nine Of stars were obtained from Reticon spectra in the 4550-4800-A region. The well-known relatively sharp Of emission lines are seen to stand on pedestals of broad weak emission somewhat like the broad emission lines from WR stars. It is suggested that cascades following dielectronic recombination may be an important process driving some lines of N III, C III, and C IV into the emission of Of stars, and that the sharp Of lines come from plasma that is stationary with respect to the star. The broad emission features show an extensive low-density wind from each star. The results imply that the detection of two, more or less equal, broad jumps in the rest spectra of galaxies at about 4640 and 4686 A is more indicative of Of stars than of WR stars. 32 refs

  18. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  19. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  20. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  1. Alfvén Wave Turbulence as a Coronal Heating Mechanism: Simultaneously Predicting the Heating Rate and the Wave-induced Emission Line Broadening

    Energy Technology Data Exchange (ETDEWEB)

    Oran, R. [Department of Earth, Atmospheric, and Planetary Sciences, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, MA, 02139 (United States); Landi, E.; Holst, B. van der; Sokolov, I. V.; Gombosi, T. I., E-mail: roran@mit.edu [Atmospheric, Oceanic and Atmospheric Sciences, University of Michigan, 2455 Hayward, Ann Arbor, MI, 48109 (United States)

    2017-08-20

    We test the predictions of the Alfvén Wave Solar Model (AWSoM), a global wave-driven magnetohydrodynamic (MHD) model of the solar atmosphere, against high-resolution spectra emitted by the quiescent off-disk solar corona. AWSoM incorporates Alfvén wave propagation and dissipation in both closed and open magnetic field lines; turbulent dissipation is the only heating mechanism. We examine whether this mechanism is consistent with observations of coronal EUV emission by combining model results with the CHIANTI atomic database to create synthetic line-of-sight spectra, where spectral line widths depend on thermal and wave-related ion motions. This is the first time wave-induced line broadening is calculated from a global model with a realistic magnetic field. We used high-resolution SUMER observations above the solar west limb between 1.04 and 1.34 R {sub ⊙} at the equator, taken in 1996 November. We obtained an AWSoM steady-state solution for the corresponding period using a synoptic magnetogram. The 3D solution revealed a pseudo-streamer structure transversing the SUMER line of sight, which contributes significantly to the emission; the modeled electron temperature and density in the pseudo-streamer are consistent with those observed. The synthetic line widths and the total line fluxes are consistent with the observations for five different ions. Further, line widths that include the contribution from the wave-induced ion motions improve the correspondence with observed spectra for all ions. We conclude that the turbulent dissipation assumed in the AWSoM model is a viable candidate for explaining coronal heating, as it is consistent with several independent measured quantities.

  2. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  3. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  4. QSOs with narrow emission lines

    International Nuclear Information System (INIS)

    Baldwin, J.A.; Mcmahon, R.; Hazard, C.; Williams, R.E.

    1988-01-01

    Observations of two new high-redshift, narrow-lined QSOs (NLQSOs) are presented and discussed together with observations of similar objects reported in the literature. Gravitational lensing is ruled out as a possible means of amplifying the luminosity for one of these objects. It is found that the NLQSOs have broad bases on their emission lines as well as the prominent narrow cores which define this class. Thus, these are not pole-on QSOs. The FWHM of the emission lines fits onto the smoothly falling tail of the lower end of the line-width distribution for complete QSO samples. The equivalent widths of the combined broad and narrow components of the lines are normal for QSOs of the luminosity range under study. However, the NLQSOs do show ionization differences from broader-lined QSOs; most significant, the semiforbidden C III/C IV intensity ratio is unusually low. The N/C abundance ratio in these objects is found to be normal; the Al/C abundance ratio may be quite high. 38 references

  5. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  6. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  7. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  8. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  9. HIGH-REDSHIFT SDSS QUASARS WITH WEAK EMISSION LINES

    International Nuclear Information System (INIS)

    Diamond-Stanic, Aleksandar M.; Fan Xiaohui; Jiang Linhua; Kim, J. Serena; Schmidt, Gary D.; Smith, Paul S.; Vestergaard, Marianne; Young, Jason E.; Brandt, W. N.; Shemmer, Ohad; Gibson, Robert R.; Schneider, Donald P.; Strauss, Michael A.; Shen Yue; Anderson, Scott F.; Carilli, Christopher L.; Richards, Gordon T.

    2009-01-01

    We identify a sample of 74 high-redshift quasars (z > 3) with weak emission lines from the Fifth Data Release of the Sloan Digital Sky Survey and present infrared, optical, and radio observations of a subsample of four objects at z > 4. These weak emission-line quasars (WLQs) constitute a prominent tail of the Lyα + N v equivalent width distribution, and we compare them to quasars with more typical emission-line properties and to low-redshift active galactic nuclei with weak/absent emission lines, namely BL Lac objects. We find that WLQs exhibit hot (T ∼ 1000 K) thermal dust emission and have rest-frame 0.1-5 μm spectral energy distributions that are quite similar to those of normal quasars. The variability, polarization, and radio properties of WLQs are also different from those of BL Lacs, making continuum boosting by a relativistic jet an unlikely physical interpretation. The most probable scenario for WLQs involves broad-line region properties that are physically distinct from those of normal quasars.

  10. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  11. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  12. Optical emission line spectra of Seyfert galaxies and radio galaxies

    International Nuclear Information System (INIS)

    Osterbrock, D.E.

    1978-01-01

    Many radio galaxies have strong emission lines in their optical spectra, similar to the emission lines in the spectra of Seyfert galaxies. The range of ionization extends from [O I] and [N I] through [Ne V] and [Fe VII] to [Fe X]. The emission-line spectra of radio galaxies divide into two types, narrow-line radio galaxies whose spectra are indistinguishable from Seyfert 2 galaxies, and broad-line radio galaxies whose spectra are similar to Seyfert 1 galaxies. However on the average the broad-line radio galaxies have steeper Balmer decrements, stronger [O III] and weaker Fe II emission than the Seyfert 1 galaxies, though at least one Seyfert 1 galaxy not known to be a radio source has a spectrum very similar to typical broad-line radio galaxies. Intermediate-type Seyfert galaxies exist that show various mixtures of the Seyfert 1 and Seyfert 2 properties, and the narrow-line or Seyfert 2 property seems to be strongly correlated with radio emission. (Auth.)

  13. The Dependence of Solar Flare Limb Darkening on Emission Peak Formation Temperature

    Science.gov (United States)

    Thiemann, Edward; Epp, Luke; Eparvier, Francis; Chamberlin, Phillip C.

    2017-08-01

    Solar limb effects are local brightening or darkening of an emission that depend on where in the Sun's atmosphere it forms. Near the solar limb, optically thick (thin) emissions will darken (brighten) as the column of absorbers (emitters) along the line-of-sight increases. Note that in limb brightening, emission sources are re-arranged whereas in limb darkening they are obscured. Thus, only limb darkening is expected to occur in disk integrated observations. Limb darkening also results in center-to-limb variations of disk-integrated solar flare spectra, with important consequences for how planetary atmospheres are affected by flares. Flares are typically characterized by their flux in the optically thin 0.1-0.8 nm band measured by the X-ray Sensor (XRS) on board the Geostationary Operational Environmental Satellite (GOES). On the other hand, Extreme Ultraviolet (EUV) line emissions can limb darken because they are sensitive to resonant scattering, resulting in a flare's location on the solar disk controlling the amount of ionizing radiation that reaches a planet. For example, an X-class flare originating from disk center may significantly heat a planet's thermosphere, whereas the same flare originating near the limb may have no effect because much of the effective emissions are scattered in the solar corona.To advance the relatively poor understanding of flare limb darkening, we use over 300 M-class or larger flares observed by the EUV Variability Experiment (EVE) onboard the Solar Dynamics Observatory (SDO) to characterize limb darkening as a function of emission peak formation temperature, Tf. For hot coronal emissions (Tf>2 MK), these results show a linear relationship between the degree of limb darkening and Tf where lines with Tf=2 MK darken approximately 7 times more than lines with Tf=16 MK. Because the extent of limb darkening is dependent on the height of the source plasma, we use simple Beer-Lambert radiative transfer analysis to interpret these results

  14. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  15. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  16. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  17. GAME: GAlaxy Machine learning for Emission lines

    Science.gov (United States)

    Ucci, G.; Ferrara, A.; Pallottini, A.; Gallerani, S.

    2018-06-01

    We present an updated, optimized version of GAME (GAlaxy Machine learning for Emission lines), a code designed to infer key interstellar medium physical properties from emission line intensities of ultraviolet /optical/far-infrared galaxy spectra. The improvements concern (a) an enlarged spectral library including Pop III stars, (b) the inclusion of spectral noise in the training procedure, and (c) an accurate evaluation of uncertainties. We extensively validate the optimized code and compare its performance against empirical methods and other available emission line codes (PYQZ and HII-CHI-MISTRY) on a sample of 62 SDSS stacked galaxy spectra and 75 observed HII regions. Very good agreement is found for metallicity. However, ionization parameters derived by GAME tend to be higher. We show that this is due to the use of too limited libraries in the other codes. The main advantages of GAME are the simultaneous use of all the measured spectral lines and the extremely short computational times. We finally discuss the code potential and limitations.

  18. GAME: GAlaxy Machine learning for Emission lines

    Science.gov (United States)

    Ucci, G.; Ferrara, A.; Pallottini, A.; Gallerani, S.

    2018-03-01

    We present an updated, optimized version of GAME (GAlaxy Machine learning for Emission lines), a code designed to infer key interstellar medium physical properties from emission line intensities of UV/optical/far infrared galaxy spectra. The improvements concern: (a) an enlarged spectral library including Pop III stars; (b) the inclusion of spectral noise in the training procedure, and (c) an accurate evaluation of uncertainties. We extensively validate the optimized code and compare its performance against empirical methods and other available emission line codes (pyqz and HII-CHI-mistry) on a sample of 62 SDSS stacked galaxy spectra and 75 observed HII regions. Very good agreement is found for metallicity. However, ionization parameters derived by GAME tend to be higher. We show that this is due to the use of too limited libraries in the other codes. The main advantages of GAME are the simultaneous use of all the measured spectral lines, and the extremely short computational times. We finally discuss the code potential and limitations.

  19. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  20. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  1. Evidence of redshifts in the average solar line profiles of C IV and Si IV from OSO-8 observations

    Science.gov (United States)

    Roussel-Dupre, D.; Shine, R. A.

    1982-01-01

    Line profiles of C IV and Si V obtained by the Colorado spectrometer on OSO-8 are presented. It is shown that the mean profiles are redshifted with a magnitude varying from 6-20 km/s, and with a mean of 12 km/s. An apparent average downflow of material in the 50,000-100,000 K temperature range is measured. The redshifts are observed in the line center positions of spatially and temporally averaged profiles and are measured either relative to chromospheric Si I lines or from a comparison of sun center and limb profiles. The observations of 6-20 km/s redshifts place constraints on the mechanisms that dominate EUV line emission since it requires a strong weighting of the emission in regions of downward moving material, and since there is little evidence for corresponding upward moving materials in these lines.

  2. DETECTION OF REST-FRAME OPTICAL LINES FROM X-SHOOTER SPECTROSCOPY OF WEAK EMISSION-LINE QUASARS

    International Nuclear Information System (INIS)

    Plotkin, Richard M.; Gallo, Elena; Shemmer, Ohad; Trakhtenbrot, Benny; Anderson, Scott F.; Brandt, W. N.; Luo, Bin; Schneider, Donald P.; Fan, Xiaohui; Lira, Paulina; Richards, Gordon T.; Strauss, Michael A.; Wu, Jianfeng

    2015-01-01

    Over the past 15 yr, examples of exotic radio-quiet quasars with intrinsically weak or absent broad emission line regions (BELRs) have emerged from large-scale spectroscopic sky surveys. Here, we present spectroscopy of seven such weak emission line quasars (WLQs) at moderate redshifts (z = 1.4–1.7) using the X-shooter spectrograph, which provides simultaneous optical and near-infrared spectroscopy covering the rest-frame ultraviolet (UV) through optical. These new observations effectively double the number of WLQs with spectroscopy in the optical rest-frame, and they allow us to compare the strengths of (weak) high-ionization emission lines (e.g., C iv) to low-ionization lines (e.g., Mg ii, Hβ, Hα) in individual objects. We detect broad Hβ and Hα emission in all objects, and these lines are generally toward the weaker end of the distribution expected for typical quasars (e.g., Hβ has rest-frame equivalent widths ranging from 15–40 Å). However, these low-ionization lines are not exceptionally weak, as is the case for high-ionization lines in WLQs. The X-shooter spectra also display relatively strong optical Fe ii emission, Hβ FWHM ≲ 4000 km s −1 , and significant C iv blueshifts (≈1000–5500 km s −1 ) relative to the systemic redshift; two spectra also show elevated UV Fe ii emission, and an outflowing component to their (weak) Mg ii emission lines. These properties suggest that WLQs are exotic versions of “wind-dominated” quasars. Their BELRs either have unusual high-ionization components, or their BELRs are in an atypical photoionization state because of an unusually soft continuum

  3. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  4. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  5. High-redshift SDSS Quasars with Weak Emission Lines

    DEFF Research Database (Denmark)

    Diamond-Stanic, Aleksandar M.; Fan, Xiaohui; Brandt, W. N.

    2009-01-01

    We identify a sample of 74 high-redshift quasars (z > 3) with weak emission lines from the Fifth Data Release of the Sloan Digital Sky Survey and present infrared, optical, and radio observations of a subsample of four objects at z > 4. These weak emission-line quasars (WLQs) constitute a promine...

  6. Emission line galaxies and active galactic nuclei in WINGS clusters

    Science.gov (United States)

    Marziani, P.; D'Onofrio, M.; Bettoni, D.; Poggianti, B. M.; Moretti, A.; Fasano, G.; Fritz, J.; Cava, A.; Varela, J.; Omizzolo, A.

    2017-03-01

    We present the analysis of the emission line galaxies members of 46 low-redshift (0.04 employing diagnostic diagrams. We examined the emission line properties and frequencies of star-forming galaxies, transition objects, and active galactic nuclei (AGNs: LINERs and Seyferts), unclassified galaxies with emission lines, and quiescent galaxies with no detectable line emission. A deficit of emission line galaxies in the cluster environment is indicated by both a lower frequency, and a systematically lower Balmer emission line equivalent width and luminosity with respect to control samples; this implies a lower amount of ionized gas per unit mass and a lower star formation rate if the source is classified as Hii region. A sizable population of transition objects and of low-luminosity LINERs (≈ 10-20% of all emission line galaxies) are detected among WINGS cluster galaxies. These sources are a factor of ≈1.5 more frequent, or at least as frequent, as in control samples with respect to Hii sources. Transition objects and LINERs in clusters are most affected in terms ofline equivalent width by the environment and appear predominantly consistent with so-called retired galaxies. Shock heating can be a possible gas excitation mechanism that is able to account for observed line ratios. Specific to the cluster environment, we suggest interaction between atomic and molecular gas and the intracluster medium as a possible physical cause of line-emitting shocks. The data whose description is provided in Table B.1, and emission line catalog of the WINGS database are only available at the CDS via anonymous ftp to http://cdsarc.u-strasbg.fr (http://130.79.128.5) or via http://cdsarc.u-strasbg.fr/viz-bin/qcat?J/A+A/599/A83

  7. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  8. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  9. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  10. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  11. Current Sheet Structures Observed by the TESIS EUV Telescope during a Flux Rope Eruption on the Sun

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Kuzin, S. V.

    2016-11-01

    We use the TESIS EUV telescope to study the current sheet signatures observed during flux rope eruption. The special feature of the TESIS telescope was its ability to image the solar corona up to a distance of 2 {R}⊙ from the Sun’s center in the Fe 171 Å line. The Fe 171 Å line emission illuminates the magnetic field lines, and the TESIS images reveal the coronal magnetic structure at high altitudes. The analyzed coronal mass ejection (CME) had a core with a spiral—flux rope—structure. The spiral shape indicates that the flux rope radius varied along its length. The flux rope had a complex temperature structure: cold legs (70,000 K, observed in He 304 Å line) and a hotter core (0.7 MK, observed in Fe 171 Å line). Such a structure contradicts the common assumption that the CME core is a cold prominence. When the CME impulsively accelerated, a dark double Y-structure appeared below the flux rope. The Y-structure timing, location, and morphology agree with the previously performed MHD simulations of the current sheet. We interpreted the Y-structure as a hot envelope of the current sheet and hot reconnection outflows. The Y-structure had a thickness of 6.0 Mm. Its length increased over time from 79 Mm to more than 411 Mm.

  12. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  13. Spectroscopic Diagnostics of Solar Magnetic Flux Ropes Using Iron Forbidden Line

    OpenAIRE

    Cheng, X.; Ding, M. D.

    2016-01-01

    In this Letter, we present Interface Region Imaging Spectrograph Fe XXI 1354.08 A forbidden line emission of two magnetic flux ropes (MFRs) that caused two fast coronal mass ejections with velocities of $\\ge$1000 km s$^{-1}$ and strong flares (X1.6 and M6.5) on 2014 September 10 and 2015 June 22, respectively. The EUV images at the 131 A and 94 A passbands provided by the Atmospheric Imaging Assembly on board Solar Dynamics Observatory reveal that both MFRs initially appear as suspended hot c...

  14. Emission-line galaxies toward the booetes void

    International Nuclear Information System (INIS)

    Moody, J.W.

    1986-01-01

    Galaxies with strong emission are potentially useful as probes of the large-scale galaxian distribution. However, to serve as probes, their relative frequency and clustering properties must be known. This dissertation presents a study of these properties for field galaxies having [OIII] λ5007 emission equivalent widths greater than 10 A and reports on a search for galaxies with [OIII] λ5007 emission in the direction of the Booetes void, a volume located at α = 4/sup h/48/sup m/, δ = 47 0 , and cz = 15,000 km/sec that has been demonstrated to be under-abundant in galaxies by a factor of at least four. The study of field emission-line galaxies was done in two magnitude limited surveys consisting of 341 galaxies from both the north and south galactic caps having previously published redshifts and photometry. The galaxy spectra used for redshifts were examined and supplemented by new observations for 56 objects, primarily those with confirmed or suspected emission. Emission-line galaxies were found to comprise 8.8% of galaxies in a Illa-J selected sample or 6.6% of galaxies in a Illa-F selected sample. A search for emission-line galaxies towards the Booetes void was undertaken using the Burrell Schmidt telescope and an objective prism giving a reciprocal dispersion of 900 A/mm at Hβ. Three galaxies were found to lie within it, a result consistent with distributions through the void ranging from uniform to under-abundant by a factor of three

  15. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  16. Fingerprinting the type of line edge roughness

    Science.gov (United States)

    Fernández Herrero, A.; Pflüger, M.; Scholze, F.; Soltwisch, V.

    2017-06-01

    Lamellar gratings are widely used diffractive optical elements and are prototypes of structural elements in integrated electronic circuits. EUV scatterometry is very sensitive to structure details and imperfections, which makes it suitable for the characterization of nanostructured surfaces. As compared to X-ray methods, EUV scattering allows for steeper angles of incidence, which is highly preferable for the investigation of small measurement fields on semiconductor wafers. For the control of the lithographic manufacturing process, a rapid in-line characterization of nanostructures is indispensable. Numerous studies on the determination of regular geometry parameters of lamellar gratings from optical and Extreme Ultraviolet (EUV) scattering also investigated the impact of roughness on the respective results. The challenge is to appropriately model the influence of structure roughness on the diffraction intensities used for the reconstruction of the surface profile. The impact of roughness was already studied analytically but for gratings with a periodic pseudoroughness, because of practical restrictions of the computational domain. Our investigation aims at a better understanding of the scattering caused by line roughness. We designed a set of nine lamellar Si-gratings to be studied by EUV scatterometry. It includes one reference grating with no artificial roughness added, four gratings with a periodic roughness distribution, two with a prevailing line edge roughness (LER) and another two with line width roughness (LWR), and four gratings with a stochastic roughness distribution (two with LER and two with LWR). We show that the type of line roughness has a strong impact on the diffuse scatter angular distribution. Our experimental results are not described well by the present modelling approach based on small, periodically repeated domains.

  17. A proposed new method for the determination of the solar irradiance at EUV wavelength range

    Science.gov (United States)

    Feldman, Uri; Doschek, G. A.; Seely, J. F.; Landi, E.; Dammasch, I.

    The solar irradiance in the far ultraviolet (FUV) and extreme ultraviolet (EUV) and its time variability are important inputs to geospace models. It provides the primary mechanism for heating the earth's upper atmosphere and creating the ionosphere. Understanding various space weather phenomena requires reliable detailed knowledge of the solar EUV irradiance. Ideally one would like to have a single well-calibrated, high-resolution spectrometer that can continuously monitor the solar irradiance over the relevant wavelengths range. Since this is much too difficult to accomplish, a number of monitoring instruments were constructed in the past, each covering a fraction of the required wavelength range. Assembling solar irradiance from measurements by a number of instruments is extremely difficult and is usually plagued by large uncertainties. To overcome some of the difficulties resulting from such procedures, empirical models have been developed that rely in large part on solar activity levels as proxies. In recent years a different approach has been established for the determination of the solar irradiance, an approach independent of irradiance observations. The new approach is based on the line intensities calculated from emission measure (EM) distributions across the solar surface. The EM distributions are derived from spatially and spectrally resolved measurements of line intensities and describe the temperature and density structure of the basic large scale features of the solar atmosphere, specifically coronal holes, quiet Sun, and active regions. Recently, as a result of detailed analysis of solar upper atmosphere (SUA) spectra recorded by SUMER/SoHO it was discovered that, in contrast to earlier beliefs, the solar EM in 3x105 -4x106 K plasmas does not appear to vary continuously with temperature as previously assumed. Instead it appears to be composed of isothermal structures where each can attain but one of the following four main temperatures: 5x105 , 9x105

  18. Extreme ultraviolet spectroscopy of low pressure helium microwave driven discharges

    Science.gov (United States)

    Espinho, Susana; Felizardo, Edgar; Tatarova, Elena; Alves, Luis Lemos

    2016-09-01

    Surface wave driven discharges are reliable plasma sources that can produce high levels of vacuum and extreme ultraviolet radiation (VUV and EUV). The richness of the emission spectrum makes this type of discharge a possible alternative source in EUV/VUV radiation assisted applications. However, due to challenging experimental requirements, publications concerning EUV radiation emitted by microwave plasmas are scarce and a deeper understanding of the main mechanisms governing the emission of radiation in this spectral range is required. To this end, the EUV radiation emitted by helium microwave driven plasmas operating at 2.45 GHz has been studied for low pressure conditions. Spectral lines from excited helium atoms and ions were detected via emission spectroscopy in the EUV/VUV regions. Novel data concerning the spectral lines observed in the 23 - 33 nm wavelength range and their intensity behaviour with variation of the discharge operational conditions are presented. The intensity of all the spectral emissions strongly increases with the microwave power delivered to the plasma up to 400 W. Furthermore, the intensity of all the ion spectral emissions in the EUV range decreases by nearly one order of magnitude as the pressure was raised from 0.2 to 0.5 mbar. Work funded by FCT - Fundacao para a Ciencia e a Tecnologia, under Project UID/FIS/50010/2013 and grant SFRH/BD/52412/2013 (PD-F APPLAuSE).

  19. Investigation of mechanisms for He-I emission radial profile broadening in a weakly ionized cylindrical helium plasma with recombining edge

    Energy Technology Data Exchange (ETDEWEB)

    Hollmann, E. M.; Brandt, C.; Hudson, B.; Nishijima, D.; Pigarov, A. Yu. [University of California–San Diego, 9500 Gilman Dr., La Jolla, California 92093-0417 (United States); Kumar, D. [Johns Hopkins University, 3400 N Charles St., Baltimore, Maryland 21218 (United States)

    2013-09-15

    Spatially resolved spectroscopic measurements of He-I line emission are used to study the causes of emission profile broadening radially across the cylinder of a weakly ionized helium plasma. The plasma consists of an ionizing core (r < 2 cm) surrounded by a recombining edge (r > 2 cm) plasma. The brightness profiles of low-n EUV He-I resonance lines are shown to be strongly radially broadened due to opacity. The brightness profiles of high-n visible lines are also found to be strongly radially broadened, but dominantly due to edge recombination. Visible low-n lines are less strongly radially broadened, apparently by a combination of both recombination and EUV opacity. The low-n visible He-I line ratio method with central opacity correction is found to calculate central electron density and temperature well, with poor agreement at the edge, as expected for recombining plasma. In the recombining edge, high-n Boltzmann analysis is found to accurately measure the cold (T{sub e} < 0.2 eV) edge temperature. Near the core, however, high-n Boltzmann analysis can be complicated by electron-impact excitation, giving incorrect (T{sub e}≈ 0.1 eV) apparent temperatures. Probe measurements were not able to capture the cold edge temperature accurately, probably due to large potential fluctuations, even when using fast triple probe measurements. Fast spectroscopic measurements show that this discrepancy is not explained by recombining plasma alternating with ionizing plasma in the edge region.

  20. Thermal and Nonthermal Emissions of a Composite Flare Derived from NoRH and SDO Observations

    Science.gov (United States)

    Lee, Jeongwoo; White, Stephen M.; Jing, Ju; Liu, Chang; Masuda, Satoshi; Chae, Jongchul

    2017-12-01

    Differential emission measure (DEM) derived from the extreme ultraviolet (EUV) lines of the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamic Observatory is used in the analysis of a solar flare observed by the Nobeyama Radioheliograph (NoRH). The target was a composite event consisting of an impulsive flare, SOL2015-06-21T01:42 (GOES class M2.0), and a gradual flare, SOL2015-06-21T02:36 (M2.6), for which separation of thermal plasma heating from nonthermal particle acceleration was of major interest. We have calculated the thermal free-free intensity maps with the AIA-derived DEM and compared them against the observed NoRH maps to attribute the difference to the nonthermal component. In this way, we were able to locate three distinct sources: the major source with thermal and nonthermal components mixed, a nonthermal source devoid of thermal particles, and a thermal source lacking microwave emission. Both the first and the second nonthermal sources produced impulsively rising 17 GHz intensities and moved away from the local magnetic polarization inversion lines in correlation with the flare radiation. In contrast, the thermal sources stay in fixed locations and show temporal variations of the temperature and emission measure uncorrelated with the flare radiation. We interpret these distinct properties as indicating that nonthermal sources are powered by magnetic reconnection and thermal sources passively receive energy from the nonthermal donor. The finding of these distinct properties between thermal and nonthermal sources demonstrates the microwave and EUV emission measure combined diagnostics.

  1. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  2. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  3. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  4. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  5. Nebular Continuum and Line Emission in Stellar Population Synthesis Models

    Energy Technology Data Exchange (ETDEWEB)

    Byler, Nell; Dalcanton, Julianne J. [Department of Astronomy, University of Washington, Box 351580, Seattle, WA 98195 (United States); Conroy, Charlie; Johnson, Benjamin D., E-mail: ebyler@astro.washington.edu [Department of Astronomy, Harvard University, Cambridge, MA 02138 (United States)

    2017-05-01

    Accounting for nebular emission when modeling galaxy spectral energy distributions (SEDs) is important, as both line and continuum emissions can contribute significantly to the total observed flux. In this work, we present a new nebular emission model integrated within the Flexible Stellar Population Synthesis code that computes the line and continuum emission for complex stellar populations using the photoionization code Cloudy. The self-consistent coupling of the nebular emission to the matched ionizing spectrum produces emission line intensities that correctly scale with the stellar population as a function of age and metallicity. This more complete model of galaxy SEDs will improve estimates of global gas properties derived with diagnostic diagrams, star formation rates based on H α , and physical properties derived from broadband photometry. Our models agree well with results from other photoionization models and are able to reproduce observed emission from H ii regions and star-forming galaxies. Our models show improved agreement with the observed H ii regions in the Ne iii/O ii plane and show satisfactory agreement with He ii emission from z = 2 galaxies, when including rotating stellar models. Models including post-asymptotic giant branch stars are able to reproduce line ratios consistent with low-ionization emission regions. The models are integrated into current versions of FSPS and include self-consistent nebular emission predictions for MIST and Padova+Geneva evolutionary tracks.

  6. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  7. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  8. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  9. Line emissions from sonoluminescence in aqueous solutions of halide salts without noble gases

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Jinfu, E-mail: liang.shi2007@163.com [The Key Laboratory of Modern Acoustics, Ministry of Education, Institution of Acoustics, Nanjing University, Nanjing 210093 (China); School of Physics and Electronic Science, Guizhou Normal University, Guiyang 550001 (China); Chen, Weizhong, E-mail: wzchen@nju.edu.cn [The Key Laboratory of Modern Acoustics, Ministry of Education, Institution of Acoustics, Nanjing University, Nanjing 210093 (China); Zhou, Chao; Cui, Weicheng; Chen, Zhan [The Key Laboratory of Modern Acoustics, Ministry of Education, Institution of Acoustics, Nanjing University, Nanjing 210093 (China)

    2015-02-20

    Line emissions of trivalent terbium (Tb{sup 3+}) ion were observed from single-bubble sonoluminescence (SL) in an aqueous solution of terbium chloride (TbCl{sub 3}) that contained no noble gas. In addition, sodium (Na) lines were observed in multi-bubble SL in aqueous solutions of various halide salts that contained no noble gas. These observations show that the halide ions, such as Cl{sup −}, Br{sup −}, and I{sup −}, help for line emissions as the noble gases. The intensity of a line emission depends on both the chemical species produced by cavitation bubbles and the temperature of SL bubble that responds to the driving ultrasound pressure. With the increase of driving pressure, some line emissions attached to the continuous spectrum may become increasingly clear, while other line emissions gradually become indistinct. - Highlights: • Line emissions of Tb(III) ions were observed without the presence of noble gases. • The halide ions help to generate a line emission during sonoluminescence. • The intensity of a line emission mainly depends on the bubble's temperature. • The definition of a line emission is related to the temperature of caviation bubble and the kind of host liquid.

  10. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  11. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  12. Bright emission lines in new Seyfert galaxies

    International Nuclear Information System (INIS)

    Afanasev, V.L.; Denisiuk, E.K.; Lipovetskii, V.A.; Shapovalova, A.I.

    1983-01-01

    Observational data are given on bright emission lines (H-alpha, H-beta, and forbidden N II, S II, and O III) for 14 recently discovered Seyfert galaxies. The investigated objects can be divided into three groups, which correspond approximately to the first (5 objects), the intermediate (4 objects), and the second (4 objects) Seyfert types. Attention is drawn to the properties of the galaxy Markaryan 1018, which has features of both the first and the second type and is distinguished by the weakness of its emission lines, which is probably due to a gas deficit. 7 references

  13. Emission Line Correlations as Diagnostics of Quasar Winds

    Science.gov (United States)

    Sheldon, Keziah; Richards, Gordon

    2018-01-01

    We investigate correlations between UV and optical emission line properties for a sample of z~0.5 SDSS (Sloan Digital Sky Survey) quasars that have recently been observed by HST. The sample is designed to be comparable in luminosity to the existing reverberation mapping (RM) sample, but less biased in terms of their "eigenvector 1" properties. We seek to understand the conditions under which high-ionization emission lines become dominated by a wind. Our analysis takes advantage of spectral decomposition through Independent Component Analysis (ICA) and archival UV HST spectroscopy of SDSS quasars. With these data we will clarify the needs for RM analysis of quasars with wind-dominated emission features.

  14. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  15. Shift and broadening of emission lines in Nd 3: YAG laser crystal ...

    Indian Academy of Sciences (India)

    Home; Journals; Pramana – Journal of Physics; Volume 86; Issue 6. Shift and broadening of emission lines in Nd3+:YAG laser crystal influenced by input energy. POURMAND SEYED EBRAHIM REZAEI ... Keywords. Nd3+:YAG crystal; heat generation; three-level emission lines; four-level emission lines; input energy.

  16. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  17. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  18. Classification spectra of Sanduleak and Stephenson emission-line stars

    International Nuclear Information System (INIS)

    Allen, D.A.

    1978-01-01

    Low dispersion slit spectra of 89 emission-line stars are described; these stars were originally located and classified by Sanduleak and Stephenson in an objective-prism survey. The new data broadly confirm the classification scheme adopted by Sanduleak and Stephenson. In particular most of the large number of symbiotic stars they classified have been confirmed and others found. Many of these contain strong, broad emission bands in their red spectra. Two new Wolf-Rayet stars, one new planetary nebula and two new bipolar reflection nebulae involving hidden emission-line stars have been found. (author)

  19. Classification spectra of Sanduleak and Stephenson emission-line stars

    Energy Technology Data Exchange (ETDEWEB)

    Allen, D A [Anglo-Australian Observatory, Epping (Australia)

    1978-09-01

    Low dispersion slit spectra of 89 emission-line stars are described; these stars were originally located and classified by Sanduleak and Stephenson in an objective-prism survey. The new data broadly confirm the classification scheme adopted by Sanduleak and Stephenson. In particular most of the large number of symbiotic stars they classified have been confirmed and others found. Many of these contain strong, broad emission bands in their red spectra. Two new Wolf-Rayet stars, one new planetary nebula and two new bipolar reflection nebulae involving hidden emission-line stars have been found.

  20. Laser-produced lithium plasma as a narrow-band extended ultraviolet radiation source for photoelectron spectroscopy.

    Science.gov (United States)

    Schriever, G; Mager, S; Naweed, A; Engel, A; Bergmann, K; Lebert, R

    1998-03-01

    Extended ultraviolet (EUV) emission characteristics of a laser-produced lithium plasma are determined with regard to the requirements of x-ray photoelectron spectroscopy. The main features of interest are spectral distribution, photon flux, bandwidth, source size, and emission duration. Laser-produced lithium plasmas are characterized as emitters of intense narrow-band EUV radiation. It can be estimated that the lithium Lyman-alpha line emission in combination with an ellipsoidal silicon/molybdenum multilayer mirror is a suitable EUV source for an x-ray photoelectron spectroscopy microscope with a 50-meV energy resolution and a 10-mum lateral resolution.

  1. Kr photoionized plasma induced by intense extreme ultraviolet pulses

    Science.gov (United States)

    Bartnik, A.; Wachulak, P.; Fiedorowicz, H.; Skrzeczanowski, W.

    2016-04-01

    Irradiation of any gas with an intense EUV (extreme ultraviolet) radiation beam can result in creation of photoionized plasmas. The parameters of such plasmas can be significantly different when compared with those of the laser produced plasmas (LPP) or discharge plasmas. In this work, the photoionized plasmas were created in a krypton gas irradiated using an LPP EUV source operating at a 10 Hz repetition rate. The Kr gas was injected into the vacuum chamber synchronously with the EUV radiation pulses. The EUV beam was focused onto a Kr gas stream using an axisymmetrical ellipsoidal collector. The resulting low temperature Kr plasmas emitted electromagnetic radiation in the wide spectral range. The emission spectra were measured either in the EUV or an optical range. The EUV spectrum was dominated by emission lines originating from Kr III and Kr IV ions, and the UV/VIS spectra were composed from Kr II and Kr I lines. The spectral lines recorded in EUV, UV, and VIS ranges were used for the construction of Boltzmann plots to be used for the estimation of the electron temperature. It was shown that for the lowest Kr III and Kr IV levels, the local thermodynamic equilibrium (LTE) conditions were not fulfilled. The electron temperature was thus estimated based on Kr II and Kr I species where the partial LTE conditions could be expected.

  2. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  3. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  4. ON THE BRIGHT LOOP TOP EMISSION IN POST-ERUPTION ARCADES

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Rohit [National Centre for Radio Astrophysics, Post Bag 4, Ganeshkhind, Pune 411007 (India); Tripathi, Durgesh; Ghosh, Avyarthana [Inter-University Centre for Astronomy and Astrophysics, Post Bag 4, Ganeshkhind, Pune 411007 (India); Isobe, Hiroaki [Graduate School of Advanced Integrated Studies in Human Survivability, Kyoto University, 1 Yoshida-Nakaadachi-cho, Sakyo-ku, Kyoto 603-8306 (Japan)

    2016-05-20

    Observations of post-eruption arcades (PEAs) in X-rays and EUV reveal strong localized brightenings at the loop top regions. The origins of these brightenings and their dynamics are not well understood to date. Here, we study the dynamics of PEAs using one-dimensional hydrodynamic modeling, focusing on understanding the formation of localized brightening. Our findings suggest that these brightenings are the result of collisions between the counter-streaming chromospheric evaporation from both the footpoints. We perform forward modeling of the emission observed in simulated results in various spectral lines observed by the Extreme-Ultraviolet Imaging Telescope on board Hinode . The forward-modeled intensities in various spectral lines are in close agreement with a flare observed on 2006 December 17 by EIS.

  5. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  6. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  7. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  8. Comparison of the Scaling Properties of EUV Intensity Fluctuations in Coronal Holes to those in Regions of Quiet Sun

    Science.gov (United States)

    Cadavid, Ana Cristina; Lawrence, John K.; Jennings, Peter John

    2017-08-01

    We investigate the scaling properties of EUV intensity fluctuations seen in low-latitude coronal holes (CH) and in regions of Quiet Sun (QS), in signals obtained with the SDO/AIA instrument in the 193 Å waveband. Contemporaneous time series in the 171 and 211 Å wavebands are used for comparison among emissions at different heights in the transition region and low corona. Potential-field extrapolations of contemporaneous SDO/HMI line-of-sight magnetic fields provide a context in the physical environment. Detrended fluctuation analysis (DFA) shows that the variance of the fluctuations obeys a power-law as a function of temporal scales with periods in the range ~15-60 min. This scaling is characterized by a generalized Hurst exponent α. In QS regions, and in regions within CHs that include magnetic bipoles, the scaling exponent lies in the range 1.0 anti-correlated, turbulent-like, dynamical processes. Regions inside the coronal holes primarily associated with magnetic field of a dominant single polarity, have a generalized exponent (0.5 correlated (“persistent”) processes. The results indicate the influence of the magnetic fields on the dynamics of the emission.

  9. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  10. Probing the Quiet Solar Atmosphere from the Photosphere to the Corona

    Science.gov (United States)

    Kontogiannis, Ioannis; Gontikakis, Costis; Tsiropoula, Georgia; Tziotziou, Kostas

    2018-04-01

    We investigate the morphology and temporal variability of a quiet-Sun network region in different solar layers. The emission in several extreme ultraviolet (EUV) spectral lines through both raster and slot time-series, recorded by the EUV Imaging Spectrometer (EIS) on board the Hinode spacecraft is studied along with Hα observations and high-resolution spectropolarimetric observations of the photospheric magnetic field. The photospheric magnetic field is extrapolated up to the corona, showing a multitude of large- and small-scale structures. We show for the first time that the smallest magnetic structures at both the network and internetwork contribute significantly to the emission in EUV lines, with temperatures ranging from 8× 104 K to 6× 105 K. Two components of transition region emission are present, one associated with small-scale loops that do not reach coronal temperatures, and another component that acts as an interface between coronal and chromospheric plasma. Both components are associated with persistent chromospheric structures. The temporal variability of the EUV intensity at the network region is also associated with chromospheric motions, pointing to a connection between transition region and chromospheric features. Intensity enhancements in the EUV transition region lines are preferentially produced by Hα upflows. Examination of two individual chromospheric jets shows that their evolution is associated with intensity variations in transition region and coronal temperatures.

  11. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  12. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  13. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  14. Extreme Ultraviolet Emission Spectrum of CO_2 Induced by Electron Impact at 200 eV

    Science.gov (United States)

    Kanik, I.; Ajello, J. M.; James, G. K.

    1993-01-01

    We present the extreme ultraviolet (EUV) emission spectrum of CO_2 induced by electronimpact at 200 eV. There are 36 spectral features which are identified with a resolution of 0.5 nmover the wavelength range of 40 to 125 nm. Absolute emission cross sections were obtained for eachof these features. The EUV emission spectrum induced by electron impact consist of atomicmultiplets of CI,II and OI,II,III as well as CO and CO^+ molecular band systems produced bydissociative excitation. The CI (119.4 nm) multiplet is the strongest feature of CI with a peak crosssection of 3.61 x 10^(-19) cm^2 at 200 eV. The strongest feature of OI in the EUV spectrum is theOI (99.0 nm) multiplet with a peak cross section of 3.59 x 10^(-19) cm^2 at 200 eV.

  15. Emission lines of Mg2 and Ca2 in planetary nebulae

    International Nuclear Information System (INIS)

    Gurzadyan, G.A.

    1979-01-01

    Conditions of exciting resonance lines in the emission of ionized magnesium (lambda lambda 2796+2803 Mg2) and calcium (lambda lambda 3934+3968 Ca2) in planetary nebulae have been analyzed. It is shown that the allowed lines are excited with the same mechanism, as the forbidden lines, i.e. inelastic electron collisions, but not with common fluorescence. The emission line lambda 2800 Mg2 of enough force can be observed only in the spectra of planetary nebulae with mean excitation (IC 2149) as well as in the spectra of diffuse nebulae. The line must not be observed in high-excited planetary nebulae (NGC 7026, 7662). The absence of emission lines H and K Ca2 in planetary nebulae spectra results from the fact, that their expected intensity is by 3-4 orders less than the intensity of the line lambda 2800 Mg2 or Hsub(β) hydrogen

  16. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  17. X-ray Emission Line Spectroscopy of Nearby Galaxies

    Science.gov (United States)

    Wang, Daniel

    What are the origins of the diffuse soft X-ray emission from non-AGN galaxies? Preliminary analysis of XMM-Newton RGS spectra shows that a substantial fraction of the emission cannot arise from optically-thin thermal plasma, as commonly assumed, and may originate in charge exchange at the interface with neutral gas. We request the support for a comprehensive observing, data analysis, and modeling program to spectroscopically determine the origins of the emission. First, we will use our scheduled XMM-Newton AO-10 368 ks observations of the nearest compact elliptical galaxy M32 to obtain the first spectroscopic calibration of the cumulative soft X-ray emission from the old stellar population and will develop a spectral model for the charge exchange, as well as analysis tools to measure the spatial and kinematic properties of the X-ray line- emitting plasma. Second, we will characterize the truly diffuse emission from the hot plasma and/or its interplay with the neutral gas in a sample of galactic spheroids and active star forming/starburst regions in nearby galaxies observed by XMM-Newton. In particular, we will map out the spatial distributions of key emission lines and measure (or tightly constrain) the kinematics of hot plasma outflows for a few X-ray-emitting regions with high-quality RGS data. For galaxies with insufficient counting statistics in individual emission lines, we will conduct a spectral stacking analysis to constrain the average properties of the X-ray-emitting plasma. We will use the results of these X-ray spectroscopic analyses, together with complementary X-ray CCD imaging/spectral data and observations in other wavelength bands, to test the models of the emission. In addition to the charge exchange, alternative scenarios such as resonance scattering and relic AGN photo-ionization will also be examined for suitable regions. These studies are important to the understanding of the relationship between the diffuse soft X-ray emission and various

  18. The size of the narrow-line-emitting region in the Seyfert 1 galaxy NGC 5548 from emission-line variability

    International Nuclear Information System (INIS)

    Peterson, B. M.; Denney, K. D.; De Rosa, G.; Grier, C. J.; Pogge, R. W.; Kochanek, C. S.; Bentz, M. C.; Vestergaard, M.; Kilerci-Eser, E.; G. Galilei, Università di Padova, Vicolo dell'Osservatorio 3 I-35122, Padova (Italy))" data-affiliation=" (Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Vicolo dell'Osservatorio 3 I-35122, Padova (Italy))" >Dalla Bontà, E.; G. Galilei, Università di Padova, Vicolo dell'Osservatorio 3 I-35122, Padova (Italy))" data-affiliation=" (Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Vicolo dell'Osservatorio 3 I-35122, Padova (Italy))" >Ciroi, S.

    2013-01-01

    The narrow [O III] λλ4959, 5007 emission-line fluxes in the spectrum of the well-studied Seyfert 1 galaxy NGC 5548 are shown to vary with time. From this we show that the narrow-line-emitting region has a radius of only 1-3 pc and is denser (n e ∼ 10 5 cm –3 ) than previously supposed. The [O III] line width is consistent with virial motions at this radius given previous determinations of the black hole mass. Since the [O III] emission-line flux is usually assumed to be constant and is therefore used to calibrate spectroscopic monitoring data, the variability has ramifications for the long-term secular variations of continuum and emission-line fluxes, though it has no effect on shorter-term reverberation studies. We present corrected optical continuum and broad Hβ emission-line light curves for the period 1988-2008.

  19. The size of the narrow-line-emitting region in the Seyfert 1 galaxy NGC 5548 from emission-line variability

    Energy Technology Data Exchange (ETDEWEB)

    Peterson, B. M.; Denney, K. D.; De Rosa, G.; Grier, C. J.; Pogge, R. W.; Kochanek, C. S. [Department of Astronomy, The Ohio State University, 140 W 18th Avenue, Columbus, OH 43210 (United States); Bentz, M. C. [Department of Physics and Astronomy, Georgia State University, 25 Park Place, Suite 610, Atlanta, GA 30303 (United States); Vestergaard, M.; Kilerci-Eser, E. [Dark Cosmology Centre, Niels Bohr Institute, University of Copenhagen, Juliane Maries Vej 30, DK-2100 Copenhagen (Denmark); Dalla Bontà, E.; Ciroi, S. [Dipartimento di Fisica e Astronomia " G. Galilei," Università di Padova, Vicolo dell' Osservatorio 3 I-35122, Padova (Italy)

    2013-12-20

    The narrow [O III] λλ4959, 5007 emission-line fluxes in the spectrum of the well-studied Seyfert 1 galaxy NGC 5548 are shown to vary with time. From this we show that the narrow-line-emitting region has a radius of only 1-3 pc and is denser (n {sub e} ∼ 10{sup 5} cm{sup –3}) than previously supposed. The [O III] line width is consistent with virial motions at this radius given previous determinations of the black hole mass. Since the [O III] emission-line flux is usually assumed to be constant and is therefore used to calibrate spectroscopic monitoring data, the variability has ramifications for the long-term secular variations of continuum and emission-line fluxes, though it has no effect on shorter-term reverberation studies. We present corrected optical continuum and broad Hβ emission-line light curves for the period 1988-2008.

  20. Diagnostics of Coronal Heating in Solar Active Regions

    Science.gov (United States)

    Fludra, Andrzej; Hornsey, Christopher; Nakariakov, Valery

    2015-04-01

    We aim to develop a diagnostic method for the coronal heating mechanism in active region loops. Observational constraints on coronal heating models have been sought using measurements in the X-ray and EUV wavelengths. Statistical analysis, using EUV emission from many active regions, was done by Fludra and Ireland (2008) who studied power-law relationships between active region integrated magnetic flux and emission line intensities. A subsequent study by Fludra and Warren (2010) for the first time compared fully resolved images in an EUV spectral line of OV 63.0 nm with the photospheric magnetic field, leading to the identification of a dominant, ubiquitous variable component of the transition region EUV emission and a discovery of a steady basal heating, and deriving the dependence of the basal heating rate on the photospheric magnetic flux density. In this study, we compare models of single coronal loops with EUV observations. We assess to what degree observations of individual coronal loops made in the EUV range are capable of providing constraints on the heating mechanism. We model the coronal magnetic field in an active region using an NLFF extrapolation code applied to a photospheric vector magnetogram from SDO/HMI and select several loops that match an SDO/AIA 171 image of the same active region. We then model the plasma in these loops using a 1D hydrostatic code capable of applying an arbitrary heating rate as a function of magnetic field strength along the loop. From the plasma parameters derived from this model, we calculate the EUV emission along the loop in AIA 171 and 335 bands, and in pure spectral lines of Fe IX 17.1 nm and Fe XVI 33.5 nm. We use different spatial distributions of the heating function: concentrated near the loop top, uniform and concentrated near the footpoints, and investigate their effect on the modelled EUV intensities. We find a diagnostics based on the dependence of the total loop intensity on the shape of the heating function

  1. Extended emission-line regions in active galaxies

    International Nuclear Information System (INIS)

    Hutchings, J.B.; Hickson, P.

    1988-01-01

    Long-slit spectra of four active galaxies in the redshift range 0.06-0.10 are presented. Two have interacting companions. Spectra of the galaxies show extended narrow emission lines in all cases. Continuum color changes, emision-line ratio changes, and velocity changes with 1 arcsec resolution can be detected. Relative velocities between AGN and companion galaxies are also given. These objects appear to lie in galaxies in which there is considerable star-formation activity, and very extended line emision. 20 references

  2. Emission line spectra of Herbig-Haro objects

    International Nuclear Information System (INIS)

    Brugel, E.W.; Boehm, K.H.; Mannery, E.

    1981-01-01

    Spectrophotometric data have been obtained for 12 Herbig-Haro nebulae with the multichannel spectrometer on the Mt. Palomar 5.08 m telescope and with the image intensified dissector scanner on the Kitt Peak 2.13 m telescope. Optical emission line fluxes are presented for the following Herbig-Haro objects: H-H 1 (NW), H-H 1 (SE), H-H 2A, H-H 2G, H-H 2H, H-H 3, H-H 7, H-H 11, H-H 24A, H-H 30, H-H 32, and H-H 40. Values for the electron temperature and electron density have been determined for 10 of these condensations. Significant inhomogeneities in the line-forming regions of these H-H objects are indicated by the derived N/sub e/-T/sub e/ diagrams. Empirical two-component density models have been constructed to interpret the emission line spectra of the five brightest condensations. Slightly less satisfactory homogeneous models are presented for the remaining five objects

  3. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  4. An emission-line model for AM Herculis systems

    International Nuclear Information System (INIS)

    Ferrario, L.; Tuohy, I.R.; Wickramasinghe, D.T.; Australian National Univ., Canberra)

    1989-01-01

    The optical spectra of the AM Herculis binaries are characterized by extremely complex emission lines whose profiles can be resolved into at least three components which are formed in different regions of the accretion stream leading from the companion star toward the magnetic white dwarf. A theoretical model is presented for the radial velocity and velocity dispersion of the broad emission line component assuming that it originates mainly in the gas which is diverted out of the orbital plane and funneled onto the white dwarf surface along magnetic field lines. The model is used to locate the line-forming region in three AM Her variables: E1405-451, CW 1103+254, and EXO 033319-2554.2, using as constraints the radial velocity and velocity dispersion data. The analyses of these systems show that the material is threaded by the magnetic field in a very azimuthally extended coupling region located 0.5-0.75 of the way between the white dwarf and the inner Lagrange point. 36 refs

  5. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  6. Thermal wind model for the broad emission line region of quasars

    International Nuclear Information System (INIS)

    Weymann, R.J.; Scott, J.S.; Schiano, A.V.R.; Christiansen, W.A.

    1982-01-01

    Arguments are summarized for supposing that the clouds giving rise to the broad emission lines of QSOs are confined by the pressure of an expanding thermal gas and that a flux of relativistic particles with luminosity comparable to the photon luminosity streams through this gas. The resulting heating and momentum deposition produces a transonic thermal wind whose dynamical properties are calculated in detail. This wind accelerates and confines the emission line clouds, thereby producing the broad emission line (BEL) profiles. In a companion paper, the properties of the wind at much larger distances (approx.kpc) than the BEL region are used to explain the production of the broad absorption lines (BAL) observed in some QSOs. The same set of wind parameters can account for the properties of both the BEL and BAL regions, and this unification in the physical description of the BEL and BAL regions is one of the most important advantages of this model. A characteristic size of approx.1 pc for the QSO emission line region is one consequence of the model. This characteristic size is shown to depend upon luminosity in such a way that the ionization parameter is roughly constant over a wide range of luminosities. An X-ray luminosity due to thermal bremsstrahlung of approx.1%--10% of the optical luminosity is another consequence of the model. The trajectories of clouds under the combined influence of ram pressure acceleration and radiative acceleration are calculated. From these trajectories emission line profiles are also calculated, as well as the wind and cloud parameters yielding profiles in fair agreement with observed profiles explored. Opacity in the wind due to electron scattering displaces the line cores of optically thin lines to the blue. This is roughly compensated for by the redward skewing of optically thick lines due to preferential emission of photons from the back side of the clouds.void rapid depletion due to Compton losses are discussed

  7. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  8. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  9. Structure, shape, and evolution of radiatively accelerated QSO emission-line clouds

    International Nuclear Information System (INIS)

    Blumenthal, G.R.; Mathews, W.G.

    1979-01-01

    The possibility that the broad emission-line regions of QSOs and active galactic nuclei are formed by a multitude of small clouds which are radiatively accelerated is discussed. Although this model is by no means certain at present, it has four virtues: (1) Observed emission-line widths can be produced with observationally allowed electron densities, UV luminosities, and ionization levels. (2) The acceleration force is coherent in each cloud are found. (3) Reasonable line profiles can result for all emission lines. (4) Photoionization of hydrogen accounts for both heating and acceleration of the emission-line gas. A self-consistent model is developed for the structure, shape, and evolution of radiatively accelerated clouds. The shape varies with cloud mass, and two distinct types of clouds. Fully ionized clouds of very low mass approach a nearly spherical shape. However, all clouds having masses greater than some critical mass adopt a ''pancake'' shape. The condition for constant cloud mass in the cloud frame is shown to be equivalent to the equation of motion of a cloud in the rest frame of the QSO. The emission-line profiles can be sensitive to radial variations in the properties of the intercloud medium, and those properties that correspond to observed profiles are discussed. Finally, the covering factor of a system of pancake clouds is estimated along with the total number of clouds required--approximately 10 14 clouds in each QSO

  10. Detection of 3-Minute Oscillations in Full-Disk Lyman-alpha Emission During A Solar Flare

    Science.gov (United States)

    Milligan, R. O.; Ireland, J.; Fleck, B.; Hudson, H. S.; Fletcher, L.; Dennis, B. R.

    2017-12-01

    We report the detection of chromospheric 3-minute oscillations in disk-integrated EUV irradiance observations during a solar flare. A wavelet analysis of detrended Lyman-alpha (from GOES/EUVS) and Lyman continuum (from SDO/EVE) emission from the 2011 February 15 X-class flare revealed a 3-minute period present during the flare's main phase. The formation temperature of this emission locates this radiation to the flare's chromospheric footpoints, and similar behaviour is found in the SDO/AIA 1600A and 1700A channels, which are dominated by chromospheric continuum. The implication is that the chromosphere responds dynamically at its acoustic cutoff frequency to an impulsive injection of energy. Since the 3-minute period was not found at hard X-ray energies (50-100 keV) in RHESSI data we can state that this 3-minute oscillation does not depend on the rate of energization of, or energy deposition by, non-thermal electrons. However, a second period of 120 s found in both hard X-ray and chromospheric emission is consistent with episodic electron energization on 2-minute timescales. Our finding on the 3-minute oscillation suggests that chromospheric mechanical energy should be included in the flare energy budget, and the fluctuations in the Lyman-alpha line may influence the composition and dynamics of planetary atmospheres during periods of high activity.

  11. Unification in the low radio luminosity regime: evidence from optical line emission

    Science.gov (United States)

    Marchã, M. J. M.; Browne, I. W. A.; Jethava, N.; Antón, S.

    2005-08-01

    We address the question of whether or not the properties of all low-luminosity flat spectrum radio sources, not just the obvious BL Lac objects, are consistent with them being the relativistically beamed counterparts of the low radio luminosity radio galaxies (the Fanaroff-Riley type 1, FR I). We have accumulated data on a well-defined sample of low redshift, core-dominated, radio sources all of which have one-sided core-jet structures seen with very long baseline interferometry, just like most BL Lac objects. We first compare the emission-line luminosities of the sample of core-dominated radio sources with a matched sample of FR I radio galaxies. The emission lines in the core-dominated objects are on average significantly more luminous than those in the comparison sample, inconsistent with the simplest unified models in which there is no orientation dependence of the line emission. We then compare the properties of our core-dominated sample with those of a sample of radio-emitting UGC galaxies selected without bias to core strength. The core-dominated objects fit well on the UGC correlation between line emission and radio core strength found by Verdoes Kleijn et al. The results are not consistent with all the objects participating in a simple unified model in which the observed line emission is orientation independent, though they could fit a single, unified model provided that some FR I radio galaxies have emission line regions that become more visible when viewed along the jet axis. However, they are equally consistent with a scenario in which, for the majority of objects, beaming has minimal effect on the observed core luminosities of a large fraction of the FR I population and that intrinsically stronger cores simply give rise to stronger emission lines. We conclude that FR I unification is much more complex than usually portrayed, and models combining beaming with an intrinsic relationship between core and emission line strengths need to be explored.

  12. Emission-line maps with OSIRIS-TF: The case of M101

    Science.gov (United States)

    Méndez-Abreu, J.

    2013-05-01

    We investigate the suitability of GTC/OSIRIS Tunable Filters (TFs) for obtaining emission-line maps of extended objects. We developed a technique to reconstruct an emission-line image from a set of images taken at consecutive central wavelengths. We demonstrate the feasibility of the reconstruction method by generating a flux calibrated Hα image of the well-known spiral galaxy M101. We tested our emission-line fluxes and ratios by using data present in the literature. We found that the differences in both Hα fluxes and N II/Hα line ratios are ~15% and ~50%, respectively. These results are fully in agreement with the expected values for our observational setup. The proposed methodology will allow us to use OSIRIS/GTC to perform accurate spectrophotometric studies of extended galaxies in the local Universe.

  13. New Constraints on Quasar Broad Absorption and Emission Line Regions from Gravitational Microlensing

    Energy Technology Data Exchange (ETDEWEB)

    Hutsemékers, Damien; Braibant, Lorraine; Sluse, Dominique [Institut d' Astrophysique et de Géophysique, Université de Liège, Liège (Belgium); Anguita, Timo [Departamento de Ciencias Fisicas, Universidad Andres Bello, Santiago (Chile); Goosmann, René, E-mail: hutsemekers@astro.ulg.ac.be [Observatoire Astronomique de Strasbourg, Université de Strasbourg, Strasbourg (France)

    2017-09-29

    Gravitational microlensing is a powerful tool allowing one to probe the structure of quasars on sub-parsec scale. We report recent results, focusing on the broad absorption and emission line regions. In particular microlensing reveals the intrinsic absorption hidden in the P Cygni-type line profiles observed in the broad absorption line quasar H1413+117, as well as the existence of an extended continuum source. In addition, polarization microlensing provides constraints on the scattering region. In the quasar Q2237+030, microlensing differently distorts the Hα and CIV broad emission line profiles, indicating that the low- and high-ionization broad emission lines must originate from regions with distinct kinematical properties. We also present simulations of the effect of microlensing on line profiles considering simple but representative models of the broad emission line region. Comparison of observations to simulations allows us to conclude that the Hα emitting region in Q2237+030 is best represented by a Keplerian disk.

  14. New Constraints on Quasar Broad Absorption and Emission Line Regions from Gravitational Microlensing

    Directory of Open Access Journals (Sweden)

    Damien Hutsemékers

    2017-09-01

    Full Text Available Gravitational microlensing is a powerful tool allowing one to probe the structure of quasars on sub-parsec scale. We report recent results, focusing on the broad absorption and emission line regions. In particular microlensing reveals the intrinsic absorption hidden in the P Cygni-type line profiles observed in the broad absorption line quasar H1413+117, as well as the existence of an extended continuum source. In addition, polarization microlensing provides constraints on the scattering region. In the quasar Q2237+030, microlensing differently distorts the Hα and CIV broad emission line profiles, indicating that the low- and high-ionization broad emission lines must originate from regions with distinct kinematical properties. We also present simulations of the effect of microlensing on line profiles considering simple but representative models of the broad emission line region. Comparison of observations to simulations allows us to conclude that the Hα emitting region in Q2237+030 is best represented by a Keplerian disk.

  15. Incoherent Scatter Radar Studies of Daytime Plasma Lines

    Science.gov (United States)

    Djuth, Frank T.; Carlson, Herbert C.; Zhang, Liwei D.

    2018-03-01

    First results from wideband (electron phase energies of 5-51 eV), high-resolution (0.1 eV) spectral measurements of photoelectron-enhanced plasma lines made with the 430 MHz radar at Arecibo Observatory are presented. In the F region, photoelectrons produced by solar EUV line emissions (He II and Mg IX) give rise to plasma line spectral peaks/valleys. These and other structures occur within an enhancement zone extending from electron phase energies of 14-27 eV in both the bottomside and topside ionosphere. However, photoelectron-thermal electron Coulomb energy losses can lead to a broadened spectral structure with no resolved peaks in the topside ionosphere. The plasma line energy spectra obtained in the enhancement zone exhibit a unique relation in that phase energy is dependent on pitch angle; this relation does not exist in any other part of the energy spectrum. Moreover, large fluctuations in the difference frequency between the upshifted and downshifted plasma lines are evident in the 14-27 eV energy interval. At high phase energies near 51 eV the absolute intensities of photoelectron-excited Langmuir waves are much larger than those predicted by existing theory. The new measurements call for a revision/improvement of plasma line theory in several key areas.

  16. Wolf-Rayet stars featured in emission-line galaxies

    International Nuclear Information System (INIS)

    Kunth, D.

    1982-01-01

    In the galaxy Tololo 3 (NGC 3125) recent observations by the author and Sargent (1981) have revealed the presence of an unusual strong and broad He II 4686 emission. The origin of this line together with some nitrogen lines (e.g. N V 4620 and N III 4638) is attributed to Wolf-Rayet stars, mostly of WN types. (Auth.)

  17. LONG DURATION FLARE EMISSION: IMPULSIVE HEATING OR GRADUAL HEATING?

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Jiong; Longcope, Dana W. [Department of Physics, Montana State University, Bozeman MT 59717-3840 (United States)

    2016-03-20

    Flare emissions in X-ray and EUV wavelengths have previously been modeled as the plasma response to impulsive heating from magnetic reconnection. Some flares exhibit gradually evolving X-ray and EUV light curves, which are believed to result from superposition of an extended sequence of impulsive heating events occurring in different adjacent loops or even unresolved threads within each loop. In this paper, we apply this approach to a long duration two-ribbon flare SOL2011-09-13T22 observed by the Atmosphere Imaging Assembly (AIA). We find that to reconcile with observed signatures of flare emission in multiple EUV wavelengths, each thread should be heated in two phases, an intense impulsive heating followed by a gradual, low-rate heating tail that is attenuated over 20–30 minutes. Each AIA resolved single loop may be composed of several such threads. The two-phase heating scenario is supported by modeling with both a zero-dimensional and a 1D hydrodynamic code. We discuss viable physical mechanisms for the two-phase heating in a post-reconnection thread.

  18. Double-peaked Emission Lines Due to a Radio Outflow in KISSR 1219

    Energy Technology Data Exchange (ETDEWEB)

    Kharb, P.; Vaddi, S. [National Centre for Radio Astrophysics—Tata Institute of Fundamental Research, Postbag 3, Ganeshkhind, Pune 411007 (India); Subramanian, S. [Kavli Institute for Astronomy and Astrophysics, Peking University, 5 Yiheyuan Road, Haidian District, Beijing 100871 (China); Das, M. [Indian Institute of Astrophysics, II Block, Koramangala, Bangalore 560034 (India); Paragi, Z., E-mail: kharb@ncra.tifr.res.in [Joint Institute for VLBI in Europe, Postbus 2, 7990 AA Dwingeloo (Netherlands)

    2017-09-01

    We present the results from 1.5 and 5 GHz phase-referenced VLBA and 1.5 GHz Karl G. Jansky Very Large Array (VLA) observations of the Seyfert 2 galaxy KISSR 1219, which exhibits double-peaked emission lines in its optical spectrum. The VLA and VLBA data reveal a one-sided core-jet structure at roughly the same position angles, providing evidence of an active galactic nucleus outflow. The absence of dual parsec-scale radio cores puts the binary black-hole picture in doubt for the case of KISSR 1219. The high brightness temperatures of the parsec-scale core and jet components (>10{sup 6} K) are consistent with this interpretation. Doppler boosting with jet speeds of ≳0.55 c to ≳0.25 c , going from parsec to kiloparsec scales, at a jet inclination ≳50° can explain the jet one-sidedness in this Seyfert 2 galaxy. A blueshifted broad emission line component in [O iii] is also indicative of an outflow in the emission line gas at a velocity of ∼350 km s{sup −1}, while the [O i] doublet lines suggest the presence of shock-heated gas. A detailed line ratio study using the MAPPINGS III code further suggests that a shock+precursor model can explain the line ionization data well. Overall, our data suggest that the radio outflow in KISSR 1219 is pushing the emission line clouds, both ahead of the jet and in a lateral direction, giving rise to the double peak emission line spectra.

  19. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    Science.gov (United States)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  20. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  1. THE NATURE OF ACTIVE GALACTIC NUCLEI WITH VELOCITY OFFSET EMISSION LINES

    Energy Technology Data Exchange (ETDEWEB)

    Müller-Sánchez, F.; Comerford, J. [Department of Astrophysical and Planetary Sciences, University of Colorado, Boulder, CO 80309 (United States); Stern, D. [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, CA 91109 (United States); Harrison, F. A. [California Institute of Technology, 1200 East California Boulevard, Pasadena, CA 91125 (United States)

    2016-10-10

    We obtained Keck/OSIRIS near-IR adaptive optics-assisted integral-field spectroscopy to probe the morphology and kinematics of the ionized gas in four velocity-offset active galactic nuclei (AGNs) from the Sloan Digital Sky Survey. These objects possess optical emission lines that are offset in velocity from systemic as measured from stellar absorption features. At a resolution of ∼0.″18, OSIRIS allows us to distinguish which velocity offset emission lines are produced by the motion of an AGN in a dual supermassive black hole system, and which are produced by outflows or other kinematic structures. In three galaxies, J1018+2941, J1055+1520, and J1346+5228, the spectral offset of the emission lines is caused by AGN-driven outflows. In the remaining galaxy, J1117+6140, a counterrotating nuclear disk is observed that contains the peak of Pa α emission 0.″2 from the center of the galaxy. The most plausible explanation for the origin of this spatially and kinematically offset peak is that it is a region of enhanced Pa α emission located at the intersection zone between the nuclear disk and the bar of the galaxy. In all four objects, the peak of ionized gas emission is not spatially coincident with the center of the galaxy as traced by the peak of the near-IR continuum emission. The peaks of ionized gas emission are spatially offset from the galaxy centers by 0.″1–0.″4 (0.1–0.7 kpc). We find that the velocity offset originates at the location of this peak of emission, and the value of the offset can be directly measured in the velocity maps. The emission-line ratios of these four velocity-offset AGNs can be reproduced only with a mixture of shocks and AGN photoionization. Shocks provide a natural explanation for the origin of the spatially and spectrally offset peaks of ionized gas emission in these galaxies.

  2. Line Emission and X-ray Line Polarization of Multiply Ionized Mo Ions

    Science.gov (United States)

    Petkov, E. E.; Safronova, A. S.; Kantsyrev, V. L.; Shlyaptseva, V. V.; Stafford, A.; Safronova, U. I.; Shrestha, I. K.; Schultz, K. A.; Childers, R.; Cooper, M. C.; Beiersdorfer, P.; Hell, N.; Brown, G. V.

    2016-10-01

    We present a comprehensive experimental and theoretical study of the line emission from multiply ionized Mo ions produced by two different sets of experiments: at LLNL EBIT and the pulsed power generator Zebra at UNR. Mo line emission and polarization measurements were accomplished at EBIT for the first time. In particular, benchmarking experiments at the LLNL EBIT with Mo ions produced at electron beam energies from 2.75 keV up to 15 keV allowed us to break down these very complicated spectra into spectra with only few ionization stages and to select processes that influence them as well as to measure line polarization. The EBIT data were recorded using the EBIT Calorimeter Spectrometer and a crystal spectrometer with a Ge crystal. X-ray Mo spectra and pinhole images were collected from Z-pinch plasmas produced from various wire loads. Non-LTE modeling, high-precision relativistic atomic and polarization data were used to analyze L-shell Mo spectra. The influence of different plasma processes including electron beams on Mo line radiation is summarized. This work was supported by NNSA under DOE Grant DE-NA0002954. Experiments at the NTF/UNR were funded in part by DE-NA0002075. Work at LLNL was performed under the auspices of the U.S. DOE under contract DE-AC52-07NA27344.

  3. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  4. Analyais of solar X-ray emission line profiles

    International Nuclear Information System (INIS)

    Burek, A.J.; Marrus, D.M.; Blake, R.L.; Fenimore, E.E.

    1981-01-01

    We report results of the analysis of the X-ray emission line profiles for the Ne X La and Fe XVII 4d 1 P 1 lines produced in an active region that was undergoing a radio and X-ray gradual rise and fall (GRF) in intensity. The spectra were obtained with collimated Bragg spectrometers launched on a rocket from White Sands Missile Range on 1976 March 26. Using a crystal of ammonium acid phthalate, we have fully resolved the Ne X La and Fe XVII 4d 1 P 1 lines, permitting an accurate determinination of the Ne X La intensity and allowing Doppler broadened profiles for lines formed from ions having greatly different atomic mass and charge to be measured. An isothermal model derived from the Ne IX/Ne X resonance line intensity ratio gives an electron temperature of 3.4 x 10 6 K. An isothermal model, however, fails to account for the intensities of all lines and continuum observed. All multitemperature models that do reproduce the observed relative line intensities require the presence of a hot plasma component with an electron temperature in excess of 5 x 10 6 K. The presence of a high temperature component is also suggested by the measured line to continuum ratio of 3.6 in the 12--15 A wavelength interval. Interpretation of the line profiles in terms of a multitemperature model requires an rms turbulence velocity of 48 +- 15 km s -1 for Fe XVII 1 P 1 and 74 +- 54 km s - 2exclamation for Ne X La at the 95% confidence level. Collimated scans across the active region show the presence of a compact source of intense X-ray emission close to the magnetic neutral line, which is very probably the GRF plasma

  5. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  6. A Link Between X-ray Emission Lines and Radio Jets in 4U 1630-47?

    Science.gov (United States)

    Neilsen, Joseph; Coriat, Mickaël; Fender, Rob; Lee, Julia C.; Ponti, Gabriele; Tzioumis, A.; Edwards, Phillip; Broderick, Jess

    2014-06-01

    Recently, Díaz Trigo et al. reported an XMM-Newton detection of relativistically Doppler-shifted emission lines associated with steep-spectrum radio emission in the stellar-mass black hole candidate 4U 1630-47 during its 2012 outburst. They interpreted these lines as indicative of a baryonic jet launched by the accretion disk. We present a search for the same lines earlier in the same outburst using high-resolution X-ray spectra from the Chandra HETGS. While our observations (eight months prior to the XMM-Newton campaign) also coincide with detections of steep spectrum radio emission by the Australia Telescope Compact Array, we find a strong disk wind but no evidence for any relativistic X-ray emission lines. Indeed, despite ˜5× brighter radio emission, our Chandra spectra allow us to place an upper limit on the flux in the blueshifted Fe XXVI line that is ˜20× weaker than the line observed by Díaz Trigo et al. Thus we can conclusively say that radio emission is not universally associated with relativistically Doppler-shifted emission lines in 4U 1630-47. We explore several scenarios that could explain our differing results, including variations in the geometry of the jet or a mass-loading process or jet baryon content that evolves with the accretion state of the black hole. We also consider the possibility that the radio emission arises in an interaction between a jet and the nearby ISM, in which case the X-ray emission lines might be unrelated to the radio emission.

  7. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  8. Millimeter emission lines in Orion A

    International Nuclear Information System (INIS)

    Lovas, F.J.; Johnson, D.R.; Buhl, D.; Snyder, L.E.

    1976-01-01

    During the course of a search of Orion A for signals from three large, organic molecules, several millimeter wave lines from known interstellar molecules were observed. Results of observations on methanol (CH 3 OH), methyl cyanide (CH 3 CN), methyl acetylene (CH 3 CCH), acetaldehyde (CH 3 CHO) and 29 SiO are reported here. Emission signals from two hydrogen recombination lines (H41α and H42α) detected from the H II region of Orion A are also reported. Negative results were obtained for several millimeter wave transitions of ethylene oxide (CH 2 OCH 2 ), acetone [(CH 3 ) 2 CO], and cyclopropenone (HCCOCH)

  9. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  10. X-ray emission lines from photoionized plasmas

    International Nuclear Information System (INIS)

    Liedahl, D.A.

    1992-11-01

    Plasma emission codes have become a standard tool for the analysis of spectroscopic data from cosmic X-ray sources. However, the assumption of collisional equilibrium, typically invoked in these codes, renders them inapplicable to many important astrophysical situations, particularly those involving X-ray photoionized nebulae, which are likely to exist in the circumsource environments of compact X-ray sources. X-ray line production in a photoionized plasma is primarily the result of radiative cascades following recombination. Through the development of atomic models of several highly-charged ions, this work extends the range of applicability of discrete spectral models to plasmas dominated by recombination. Assuming that ambient plasma conditions lie in the temperature range 10 5 --10 6 K and the density range 10 11 --10 16 cm -3 , X-ray line spectra are calculated over the wavelength range 5--45 angstrom using the HULLAC atomic physics package. Most of the work focuses on the Fe L-shell ions. Line ratios of the form (3s-2p)/(3d-2p) are shown to characterize the principal mode of line excitation, thereby providing a simple signature of photoionization. At electron densities exceeding 10 12 cm -3 , metastable state populations in the ground configurations approach their LTE value, resulting in the enrichment of the Fe L-shell recombination spectrum and a set of density-sensitive X-ray line ratios. Radiative recombination continua and emission lines produced selectively by Δn = 0 dielectronic recombination are shown to provide two classes of temperature diagnostics. Because of the extreme overionization, the recombination continua are expected to be narrow (ΔE/E much-lt 1), with ΔE = kT. Dielectronic recombination selectively drives radiative transitions that originate on states with vacancies in the 2s subshell, states that are inaccessible under pure RR population kinetics

  11. Dark matter line emission constraints from NuSTAR observations of the Bullet Cluster

    DEFF Research Database (Denmark)

    Riemer-Sørensen, S.; Wik, D.; Madejski, G.

    2015-01-01

    Some dark matter candidates, e.g., sterile neutrinos, provide observable signatures in the form of mono-energetic line emission. We present the first search for dark matter line emission in the range in a pointed observation of the Bullet Cluster with NuSTAR. We do not detect any significant line...... emission and instead we derive upper limits (95% CL) on the flux, and interpret these constraints in the context of sterile neutrinos and more generic dark matter candidates. NuSTAR does not have the sensitivity to constrain the recently claimed line detection at , but improves on the constraints...... for energies of 10–25 keV....

  12. Measurement of the deuterium Balmer series line emission on EAST

    Energy Technology Data Exchange (ETDEWEB)

    Wu, C. R.; Xu, Z.; Jin, Z.; Zhang, P. F. [Institute of Plasma Physics, Chinese Academy of Sciences, P.O. Box 1126, Hefei, Anhui 230031 (China); Science Island Branch of Graduate School, University of Science and Technology of China, Hefei, Anhui 230031 (China); Huang, J., E-mail: juan.huang@ipp.ac.cn; Gao, W.; Gao, W.; Chang, J. F.; Xu, J. C.; Duan, Y. M.; Chen, Y. J.; Zhang, L.; Wu, Z. W.; Li, J. G. [Institute of Plasma Physics, Chinese Academy of Sciences, P.O. Box 1126, Hefei, Anhui 230031 (China); Hou, Y. M. [Institute of Plasma Physics, Chinese Academy of Sciences, P.O. Box 1126, Hefei, Anhui 230031 (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei, Anhui 230026 (China)

    2016-11-15

    Volume recombination plays an important role towards plasma detachment for magnetically confined fusion devices. High quantum number states of the Balmer series of deuterium are used to study recombination. On EAST (Experimental Advanced Superconducting Tokamak), two visible spectroscopic measurements are applied for the upper/lower divertor with 13 channels, respectively. Both systems are coupled with Princeton Instruments ProEM EMCCD 1024B camera: one is equipped on an Acton SP2750 spectrometer, which has a high spectral resolution ∼0.0049 nm with 2400 gr/mm grating to measure the D{sub α}(H{sub α}) spectral line and with 1200 gr/mm grating to measure deuterium molecular Fulcher band emissions and another is equipped on IsoPlane SCT320 using 600 gr/mm to measure high-n Balmer series emission lines, allowing us to study volume recombination on EAST and to obtain the related line averaged plasma parameters (T{sub e}, n{sub e}) during EAST detached phases. This paper will present the details of the measurements and the characteristics of deuterium Balmer series line emissions during density ramp-up L-mode USN plasma on EAST.

  13. Spectrophotometry of emission-line stars in the magellanic clouds

    Science.gov (United States)

    Bohannan, Bruce

    1990-01-01

    The strong emission lines in the most luminous stars in the Magellanic Clouds indicate that these stars have such strong stellar winds that their photospheres are so masked that optical absorption lines do not provide an accurate measure of photospheric conditions. In the research funded by this grant, temperatures and gravities of emission-line stars both in the Large (LMC) and Small Magellanic Clouds (SMC) have been measured by fitting of continuum ultraviolet-optical fluxes observed with IUE with theoretical model atmospheres. Preliminary results from this work formed a major part of an invited review 'The Distribution of Types of Luminous Blue Variables'. Interpretation of the IUE observations obtained in this grant and archive data were also included in a talk at the First Boulder-Munich Hot Stars Workshop. Final results of these studies are now being completed for publication in refereed journals.

  14. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  15. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  16. Inferring physical properties of galaxies from their emission-line spectra

    Science.gov (United States)

    Ucci, G.; Ferrara, A.; Gallerani, S.; Pallottini, A.

    2017-02-01

    We present a new approach based on Supervised Machine Learning algorithms to infer key physical properties of galaxies (density, metallicity, column density and ionization parameter) from their emission-line spectra. We introduce a numerical code (called GAME, GAlaxy Machine learning for Emission lines) implementing this method and test it extensively. GAME delivers excellent predictive performances, especially for estimates of metallicity and column densities. We compare GAME with the most widely used diagnostics (e.g. R23, [N II] λ6584/Hα indicators) showing that it provides much better accuracy and wider applicability range. GAME is particularly suitable for use in combination with Integral Field Unit spectroscopy, both for rest-frame optical/UV nebular lines and far-infrared/sub-millimeter lines arising from photodissociation regions. Finally, GAME can also be applied to the analysis of synthetic galaxy maps built from numerical simulations.

  17. Numerical Study of Radiation Emissions from the Plasma Focus

    International Nuclear Information System (INIS)

    Akel, M.; Salo, S.

    2013-12-01

    Ion populations of studied plasma have been calculated versus electron temperatures. The expected emission spectra (full, Bremsstrahlung, recombination, and line) of plasma focus operated with different gases (nitrogen, oxygen, neon, argon, krypton and xenon) have been studied for different conditions using POPULATE, SPECTRA, XRAYFIL and FLYCHK codes for non-local thermodynamic equilibrium model (NLTE). The suitable electron temperatures ranges for soft X-ray and extreme ultraviolet (EUV) emissions from plasma focus have been investigated. The Ratio- BPX65.F code has been written in FORTRAN 77 for studying the soft X-ray emission of plasma focus using BPX65 PIN Diode X-ray Spectrometer technique. The X-ray ratio curves for various electron temperatures with probable electron and ion densities of the studied plasma produced have been computed with the assumption of non-LTE model for the distribution of the ionic species. The calculated X-ray ratio curves have been compared with experimental results for the argon plasma focus. These ratio curves could be used for electron temperatures deduction of plasma focus (author).

  18. Characterization of extreme ultraviolet emission from tin-droplets irradiated with Nd:YAG laser plasmas

    International Nuclear Information System (INIS)

    Aota, T; Nakai, Y; Fujioka, S; Shimomura, M; Nishimura, H; Nishihara, N; Miyanaga, N; Izawa, Y; Mima, K; Fujiwara, E

    2008-01-01

    EUV emission from spherical and planer targets were precisely characterized as an experimental database for use in EUV source generation at high repetition rates. In the single-shot base experiments, conversion efficiency as high as those for the plasma geometry has been demonstrated. The integrated experiment was made with 10 Hz plasma generation, obtained conversion efficiency is low mainly due to unstable positioning of the droplets

  19. EMISSION LINES BETWEEN 1 AND 2 keV IN COMETARY X-RAY SPECTRA

    Energy Technology Data Exchange (ETDEWEB)

    Ewing, Ian; Christian, Damian J. [Department of Physics and Astronomy, California State University, 18111 Nordhoff Street, Northridge, CA 91330 (United States); Bodewits, Dennis [Department of Astronomy, University of Maryland, College Park, MD 20742 (United States); Dennerl, Konrad [Max-Planck-Institut fuer extraterrestrische Physik, Postfach 1312, D-85741 Garching Germany (Germany); Lisse, Carey M. [Planetary Exploration Group, Space Department, Johns Hopkins University Applied Physics Laboratory, 11100 Johns Hopkins Rd, Laurel, MD 20723 (United States); Wolk, Scott J., E-mail: ian.ewing.794@my.csun.edu, E-mail: daman.christian@csun.edu [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2013-01-20

    We present the detection of new cometary X-ray emission lines in the 1.0-2.0 keV range using a sample of comets observed with the Chandra X-Ray Observatory and ACIS spectrometer. We have selected five comets from the Chandra sample with good signal-to-noise spectra. The surveyed comets are C/1999 S4 (LINEAR), C/1999 T1 (McNaught-Hartley), 153P/2002 (Ikeya-Zhang), 2P/2003 (Encke), and C/2008 8P (Tuttle). We modeled the spectra with an extended version of our solar wind charge exchange (SWCX) emission model. Above 1 keV, we find Ikeya-Zhang to have strong emission lines at 1340 and 1850 eV which we identify as being created by SWCX lines of Mg XI and Si XIII, respectively, and weaker emission lines at 1470, 1600, and 1950 eV formed by SWCX of Mg XII, Mg XI, and Si XIV, respectively. The Mg XI and XII and Si XIII and XIV lines are detected at a significant level for the other comets in our sample (LS4, MH, Encke, 8P), and these lines promise additional diagnostics to be included in SWCX models. The silicon lines in the 1700-2000 eV range are detected for all comets, but with the rising background and decreasing cometary emission, we caution that these detections need further confirmation with higher resolution instruments.

  20. Characteristics of extreme ultraviolet emission from high-Z plasmas

    International Nuclear Information System (INIS)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-01-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics. (paper)

  1. Characteristics of extreme ultraviolet emission from high-Z plasmas

    Science.gov (United States)

    Ohashi, H.; Higashiguchi, T.; Suzuki, Y.; Kawasaki, M.; Suzuki, C.; Tomita, K.; Nishikino, M.; Fujioka, S.; Endo, A.; Li, B.; Otsuka, T.; Dunne, P.; O'Sullivan, G.

    2016-03-01

    We demonstrate the extreme ultraviolet (EUV) and soft x-ray sources in the 2 to 7 nm spectral region related to the beyond EUV (BEUV) question at 6.x nm and the water window source based on laser-produced high-Z plasmas. Resonance emission from multiply charged ions merges to produce intense unresolved transition arrays (UTAs), extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on high-Z plasma UTA source, coupled to multilayer mirror optics.

  2. A LINK BETWEEN X-RAY EMISSION LINES AND RADIO JETS IN 4U 1630-47?

    Energy Technology Data Exchange (ETDEWEB)

    Neilsen, Joseph [Department of Astronomy, Boston University, Boston, MA 02215 (United States); Coriat, Mickaël [Department of Astronomy, University of Cape Town, Private Bag X3, Rondebosch 7701 (South Africa); Fender, Rob; Broderick, Jess W. [Department of Physics, Oxford University, Oxford OX1 3RH (United Kingdom); Lee, Julia C. [Harvard-Smithsonian Center for Astrophysics, Cambridge, MA 02138 (United States); Ponti, Gabriele [Max Planck Institute fur Extraterrestriche Physik, D-85748 Garching (Germany); Tzioumis, Anastasios K.; Edwards, Philip G., E-mail: neilsenj@bu.edu [CSIRO Astronomy and Space Science, Australia Telescope National Facility, P.O. Box 76, Epping, NSW 1710 (Australia)

    2014-03-20

    Recently, Díaz Trigo et al. reported an XMM-Newton detection of relativistically Doppler-shifted emission lines associated with steep-spectrum radio emission in the stellar-mass black hole candidate 4U 1630-47 during its 2012 outburst. They interpreted these lines as indicative of a baryonic jet launched by the accretion disk. Here we present a search for the same lines earlier in the same outburst using high-resolution X-ray spectra from the Chandra HETGS. While our observations (eight months prior to the XMM-Newton campaign) also coincide with detections of steep spectrum radio emission by the Australia Telescope Compact Array, we find no evidence for any relativistic X-ray emission lines. Indeed, despite ∼5 × brighter radio emission, our Chandra spectra allow us to place an upper limit on the flux in the blueshifted Fe XXVI line that is ≳ 20 × weaker than the line observed by Díaz Trigo et al. We explore several scenarios that could explain our differing results, including variations in the geometry of the jet or a mass-loading process or jet baryon content that evolves with the accretion state of the black hole. We also consider the possibility that the radio emission arises in an interaction between a jet and the nearby interstellar medium, in which case the X-ray emission lines might be unrelated to the radio emission.

  3. A LINK BETWEEN X-RAY EMISSION LINES AND RADIO JETS IN 4U 1630-47?

    International Nuclear Information System (INIS)

    Neilsen, Joseph; Coriat, Mickaël; Fender, Rob; Broderick, Jess W.; Lee, Julia C.; Ponti, Gabriele; Tzioumis, Anastasios K.; Edwards, Philip G.

    2014-01-01

    Recently, Díaz Trigo et al. reported an XMM-Newton detection of relativistically Doppler-shifted emission lines associated with steep-spectrum radio emission in the stellar-mass black hole candidate 4U 1630-47 during its 2012 outburst. They interpreted these lines as indicative of a baryonic jet launched by the accretion disk. Here we present a search for the same lines earlier in the same outburst using high-resolution X-ray spectra from the Chandra HETGS. While our observations (eight months prior to the XMM-Newton campaign) also coincide with detections of steep spectrum radio emission by the Australia Telescope Compact Array, we find no evidence for any relativistic X-ray emission lines. Indeed, despite ∼5 × brighter radio emission, our Chandra spectra allow us to place an upper limit on the flux in the blueshifted Fe XXVI line that is ≳ 20 × weaker than the line observed by Díaz Trigo et al. We explore several scenarios that could explain our differing results, including variations in the geometry of the jet or a mass-loading process or jet baryon content that evolves with the accretion state of the black hole. We also consider the possibility that the radio emission arises in an interaction between a jet and the nearby interstellar medium, in which case the X-ray emission lines might be unrelated to the radio emission

  4. Laboratory calibration of density-dependent lines in the extreme ultraviolet spectral region

    Science.gov (United States)

    Lepson, J. K.; Beiersdorfer, P.; Gu, M. F.; Desai, P.; Bitter, M.; Roquemore, L.; Reinke, M. L.

    2012-05-01

    We have been making spectral measurements in the extreme ultraviolet (EUV) from different laboratory sources in order to investigate the electron density dependence of various astrophysically important emission lines and to test the atomic models underlying the diagnostic line ratios. The measurement are being performed at the Livermore EBIT-I electron beam ion trap, the National Spherical Torus Experiment (NSTX) at Princeton, and the Alcator C-Mod tokamak at the Massachusetts Institute of Technology, which together span an electron density of four orders of magnitude and which allow us to test the various models at high and low density limits. Here we present measurements of Fe XXII and Ar XIV, which include new data from an ultra high resolution (λ/Δλ >4000) spectrometer at the EBIT-I facility. We found good agreement between the measurements and modeling calculations for Fe XXII, but poorer agreement for Ar XIV.

  5. NEBULAR EMISSION-LINES IN IRAS 10215-5916

    NARCIS (Netherlands)

    GARCIALARIO, P; MANCHADO, A; PARTHASARATHY, M; POTTASCH, [No Value

    From low and high resolution spectroscopic observations of IRAS 10215 - 5916 we have discovered the presence of nebular emission lines in this G-type supergiant star in the post-AGB stage. From its high resolution spectrum we derived an expansion velocity of 17 km s-1 for the shell, similar to the

  6. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  7. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  8. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  9. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  10. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  11. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  12. Constraints on the outer radius of the broad emission line region of active galactic nuclei

    Science.gov (United States)

    Landt, Hermine; Ward, Martin J.; Elvis, Martin; Karovska, Margarita

    2014-03-01

    Here we present observational evidence that the broad emission line region (BELR) of active galactic nuclei (AGN) generally has an outer boundary. This was already clear for sources with an obvious transition between the broad and narrow components of their emission lines. We show that the narrow component of the higher-order Paschen lines is absent in all sources, revealing a broad emission line profile with a broad, flat top. This indicates that the BELR is kinematically separate from the narrow emission line region. We use the virial theorem to estimate the BELR outer radius from the flat top width of the unblended profiles of the strongest Paschen lines, Paα and Paβ, and find that it scales with the ionizing continuum luminosity roughly as expected from photoionization theory. The value of the incident continuum photon flux resulting from this relationship corresponds to that required for dust sublimation. A flat-topped broad emission line profile is produced by both a spherical gas distribution in orbital motion and an accretion disc wind if the ratio between the BELR outer and inner radius is assumed to be less than ˜100-200. On the other hand, a pure Keplerian disc can be largely excluded, since for most orientations and radial extents of the disc the emission line profile is double-horned.

  13. Frequency lock of a dye laser emission on iron atomic line top

    International Nuclear Information System (INIS)

    Durand, P.

    1995-03-01

    The aim of this thesis is to realize a frequency lock of a dye laser emission on iron atomic line top. To reach that goal, the author first presents the calculation of atomic vapour density by means of laser absorption ratio measure and studies the dye laser working. It is then necessary to find a device giving the required precision on the frequency of the absorption line choosen. It is obtained thanks to the atomic line reconstitution by optogalvanic effect which gives the reference. Besides, the author presents the necessity of a laser emission power regulation which is obtained thanks to a device including an acoustic and optic modulator. A reliable and accurate captor is choosen and adjusted testing various hollow cathode lamps. The method to obtain the frequency lock of laser emission on iron atomic line top is described. (TEC). 18 refs., 64 figs

  14. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  15. Shift and broadening of emission lines in Nd :YAG laser crystal ...

    Indian Academy of Sciences (India)

    1Department of Optics and Laser Engineering, Estahban Branch, Islamic Azad ... Nd3+:YAG crystal; heat generation; three-level emission lines; four-level emission ... Modelling of high-power solid-state lasers requires precise knowledge of ...

  16. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  17. EMISSION SIGNATURES FROM SUB-PARSEC BINARY SUPERMASSIVE BLACK HOLES. I. DIAGNOSTIC POWER OF BROAD EMISSION LINES

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Khai; Bogdanović, Tamara [Center for Relativistic Astrophysics, School of Physics, Georgia Institute of Technology, Atlanta GA 30332 (United States)

    2016-09-10

    Motivated by advances in observational searches for sub-parsec supermassive black hole binaries (SBHBs) made in the past few years, we develop a semi-analytic model to describe spectral emission-line signatures of these systems. The goal of this study is to aid the interpretation of spectroscopic searches for binaries and to help test one of the leading models of binary accretion flows in the literature: SBHB in a circumbinary disk. In this work, we present the methodology and a comparison of the preliminary model with the data. We model SBHB accretion flows as a set of three accretion disks: two mini-disks that are gravitationally bound to the individual black holes and a circumbinary disk. Given a physically motivated parameter space occupied by sub-parsec SBHBs, we calculate a synthetic database of nearly 15 million broad optical emission-line profiles and explore the dependence of the profile shapes on characteristic properties of SBHBs. We find that the modeled profiles show distinct statistical properties as a function of the semimajor axis, mass ratio, eccentricity of the binary, and the degree of alignment of the triple disk system. This suggests that the broad emission-line profiles from SBHB systems can in principle be used to infer the distribution of these parameters and as such merit further investigation. Calculated profiles are more morphologically heterogeneous than the broad emission lines in observed SBHB candidates and we discuss improved treatment of radiative transfer effects, which will allow a direct statistical comparison of the two groups.

  18. EMISSION SIGNATURES FROM SUB-PARSEC BINARY SUPERMASSIVE BLACK HOLES. I. DIAGNOSTIC POWER OF BROAD EMISSION LINES

    International Nuclear Information System (INIS)

    Nguyen, Khai; Bogdanović, Tamara

    2016-01-01

    Motivated by advances in observational searches for sub-parsec supermassive black hole binaries (SBHBs) made in the past few years, we develop a semi-analytic model to describe spectral emission-line signatures of these systems. The goal of this study is to aid the interpretation of spectroscopic searches for binaries and to help test one of the leading models of binary accretion flows in the literature: SBHB in a circumbinary disk. In this work, we present the methodology and a comparison of the preliminary model with the data. We model SBHB accretion flows as a set of three accretion disks: two mini-disks that are gravitationally bound to the individual black holes and a circumbinary disk. Given a physically motivated parameter space occupied by sub-parsec SBHBs, we calculate a synthetic database of nearly 15 million broad optical emission-line profiles and explore the dependence of the profile shapes on characteristic properties of SBHBs. We find that the modeled profiles show distinct statistical properties as a function of the semimajor axis, mass ratio, eccentricity of the binary, and the degree of alignment of the triple disk system. This suggests that the broad emission-line profiles from SBHB systems can in principle be used to infer the distribution of these parameters and as such merit further investigation. Calculated profiles are more morphologically heterogeneous than the broad emission lines in observed SBHB candidates and we discuss improved treatment of radiative transfer effects, which will allow a direct statistical comparison of the two groups.

  19. The Far-Infrared Emission Line and Continuum Spectrum of the Seyfert Galaxy NGC 1068

    Science.gov (United States)

    Spinoglio, Luigi; Smith, Howard A.; Gonzalez-Alfonso, Eduardo; Fisher, Jacqueline

    2005-01-01

    We report on the analysis of the first complete far-infrared spectrum (43-197 microns) of the Seyfert 2 galaxy NGC 1068 as observed with the Long Wavelength Spectrometer (LWS) onboard the Infrared Space Observatory (ISO). In addition to the 7 expected ionic fine structure emission lines, the OH rotational lines at 79, 119 and 163 microns were all detected in emission, which is unique among galaxies with full LWS spectra, where the 119 micron line, where detected, is always in absorption. The observed line intensities were modelled together with IS0 Short Wavelength Spectrometer (SWS) and optical and ultraviolet line intensities from the literature, considering two independent emission components: the AGN component and the starburst component in the circumnuclear ring of approximately 3kpc in size. Using the UV to mid-IR emission line spectrum to constrain the nuclear ionizing continuum, we have confirmed previous results: a canonical power-law ionizing spectrum is a poorer fit than one with a deep absorption trough, while the presence of a big blue bump is ruled out. Based on the instantaneous starburst age of 5 Myr constrained by the Br gamma equivalent width in the starburst ring, and starburst synthesis models of the mid- and far-infrared fine-structure line emission, a low ionization parameter (U=10(exp -3.5)) and low densities (n=100 cm (exp -3)) are derived. Combining the AGN and starburst components, we succeed in modeling the overall UV to far-IR atomic spectrum of SGC 1068, reproducing the line fluxes to within a factor 2.0 on average with a standard deviation of 1.4. The OH 119 micron emission indicates that the line is collisionally excited, and arises in a warm and dense region. The OH emission has been modeled using spherically symmetric, non-local, non-LTE radiative transfer models. The models indicate that the bulk of the emission arises from the nuclear region, although some extended contribution from the starburst is not ruled out. The OH abundance

  20. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  1. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  2. Observations of EUV and X-ray Emission from Comets

    Science.gov (United States)

    Lisse, C.

    The unexpected discovery of x-ray emission from Comet Hyakutake in March 1996 (Lisse et al. 1996) produced a number of questions about the physical mechanism producing the radiation. The original detection and subsequent observations have shown that the very soft (best fit thermal bremsstrahlung model kT0.2 keV) emission is due to an interaction between the solar wind and the comet's atmosphere. Using the results from the more than 15 comets detected to date in x-rays, I report here on the latest results on cometary x-ray emission, including new results from Chandra, and show that charge exchange between highly ionized minor ions in the solar wind and neutral gases in the cometary coma is the most likely operative mechanism. I then use this result to study a number of problems of astrophysical interest: the nature of the cometary coma, other possible sources of x-ray emission in the solar system, the structure of the solar wind in the heliosphere, and the source of the local x-ray background.

  3. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  4. Spectral properties of X-ray selected narrow emission line galaxies

    Science.gov (United States)

    Romero-Colmenero, E.

    1998-03-01

    This thesis reports a study of the X-ray and optical properties of two samples of X-ray selected Narrow Emission Line Galaxies (NELGs), and their comparison with the properties of broad line Active Galactic Nuclei (AGN). One sample (18 NELGs) is drawn from the ROSAT International X-ray Optical Survey (RIXOS), the other (19 NELGs and 33 AGN) from the ROSAT UK Deep Survey. ROSAT multi-channel X-ray spectra have been extracted and fitted with power-law, bremsstrahlung and black body models for the brighter RIXOS sources. In most cases, power-law and bremsstrahlung models provide the best results. The average spectral energy index, alpha, of the RIXOS NELGs is 0.96 +/- 0.07, similar to that of AGN (alpha~1). For the fainter RIXOS NELGs, as well as for all the UK Deep Survey sources, counts in three spectral bands have been extracted and fitted with a power-law model, assuming the Galactic value for N_H. The brighter RIXOS sources demonstrated that the results obtained by these two different extraction and fitting procedures provide consistent results. Two average X-ray spectra, one for the NELGs and another for the AGN, were created from the UK Deep Survey sources. The power-law slope of the average NELG is alpha = 0.45 +/- 0.09, whilst that of the AGN is alpha = 0.96 +/- 0.03. ROSAT X-ray surveys have shown that the fractional surface density of NELGs increases with respect to AGN at faint fluxes (case for NELGs to be major contributors to the XRB at the fainter fluxes. The analysis of optical spectroscopy, obtained on La Palma and Hawaii, shows that NELGs form a very heterogeneous group, made up of a mixture of Seyfert 2, LINER and HII-region like galaxies. Seyfert 2 galaxies are found to possess in general the steepest X-ray slopes. Ways to explain this in the context of the unified model of AGN are discussed. The FWHM of some emission lines (Halpha, Hbeta, [NII]) in the NELGs appears to increase with steepening X-ray spectral slope. In the case of the Balmer lines

  5. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  6. PROBING THE FLARE ATMOSPHERES OF M DWARFS USING INFRARED EMISSION LINES

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Sarah J.; Kowalski, Adam F.; Hawley, Suzanne L.; Hilton, Eric J.; Wisniewski, John P.; Tofflemire, Benjamin M., E-mail: sjschmidt@astro.washington.edu [Dominion Astrophysical Observatory, Herzberg Institute of Astrophysics, National Research Council of Canada (Canada)

    2012-01-20

    We present the results of a campaign to monitor active M dwarfs using infrared spectroscopy, supplemented with optical photometry and spectroscopy. We detected 16 flares during nearly 50 hr of observations on EV Lac, AD Leo, YZ CMi, and VB 8. The three most energetic flares also showed infrared emission, including the first reported detections of P{beta}, P{gamma}, He I {lambda}10830, and Br{gamma} during an M dwarf flare. The strongest flare ({Delta}u = 4.02 on EV Lac) showed emission from H{gamma}, H{delta}, He I {lambda}4471, and Ca II K in the UV/blue and P{beta}, P{gamma}, P{delta}, Br{gamma}, and He I {lambda}10830 in the infrared. The weaker flares ({Delta}u = 1.68 on EV Lac and {Delta}U = 1.38 on YZ CMi) were only observed with photometry and infrared spectroscopy; both showed emission from P{beta}, P{gamma}, and He I {lambda}10830. The strongest infrared emission line, P{beta}, occurred in the active mid-M dwarfs with a duty cycle of {approx}3%-4%. To examine the most energetic flare, we used the static NLTE radiative transfer code RH to produce model spectra based on a suite of one-dimensional model atmospheres. Using a hotter chromosphere than previous one-dimensional atmospheric models, we obtain line ratios that match most of the observed emission lines.

  7. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  8. Radiative and magnetic properties of solar active regions. II. Spatially resolved analysis of O V 62.97 nm transition region emission

    Science.gov (United States)

    Fludra, A.; Warren, H.

    2010-11-01

    Context. Global relationships between the photospheric magnetic flux and the extreme ultraviolet emission integrated over active region area have been studied in a previous paper by Fludra & Ireland (2008, A&A, 483, 609). Spatially integrated EUV line intensities are tightly correlated with the total unsigned magnetic flux, and yet these global power laws have been shown to be insufficient for accurately determining the coronal heating mechanism owing to the mathematical ill-conditioning of the inverse problem. Aims: Our aim is to establish a relationship between the EUV line intensities and the photospheric magnetic flux density on small spatial scales in active regions and investigate whether it provides a way of identifying the process that heats the coronal loops. Methods: We compare spatially resolved EUV transition region emission and the photospheric magnetic flux density. This analysis is based on the O V 62.97 nm line recorded by the SOHO Coronal Diagnostic Spectrometer (CDS) and SOHO MDI magnetograms for six solar active regions. The magnetic flux density ϕ is converted to a simulated O V intensity using a model relationship I(ϕ, L) = Cϕδ Lλ, where the loop length L is obtained from potential magnetic field extrapolations. This simulated spatial distribution of O V intensities is convolved with the CDS instrument's point spread function and compared pixel by pixel with the observed O V line intensity. Parameters δ and λ are derived to give the best fit for the observed and simulated intensities. Results: Spatially-resolved analysis of the transition region emission reveals the complex nature of the heating processes in active regions. In some active regions, particularly large, local intensity enhancements up to a factor of five are present. When areas with O V intensities above 3000 erg cm-2 s-1 sr-1 are ignored, a power law has been fitted to the relationship between the local O V line intensity and the photospheric magnetic flux density in each

  9. Sensitivity of upper atmospheric emissions calculations to solar/stellar UV flux

    Directory of Open Access Journals (Sweden)

    Barthelemy Mathieu

    2014-01-01

    Full Text Available The solar UV (UltraViolet flux, especially the EUV (Extreme UltraViolet and FUV (Far UltraViolet components, is one of the main energetic inputs for planetary upper atmospheres. It drives various processes such as ionization, or dissociation which give rise to upper atmospheric emissions, especially in the UV and visible. These emissions are one of the main ways to investigate the upper atmospheres of planets. However, the uncertainties in the flux measurement or modeling can lead to biased estimates of fundamental atmospheric parameters, such as concentrations or temperatures in the atmospheres. We explore the various problems that can be identified regarding the uncertainties in solar/stellar UV flux by considering three examples. The worst case appears when the solar reflection component is dominant in the recorded spectrum as is seen for outer solar system measurements from HST (Hubble Space Telescope. We also show that the estimation of some particular line parameters (intensity and shape, especially Lyman α, is crucial, and that both total intensity and line profile are useful. In the case of exoplanets, the problem is quite critical since the UV flux of their parent stars is often very poorly known.

  10. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    Science.gov (United States)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  11. Measurement of X-ray emission efficiency for K-lines.

    Science.gov (United States)

    Procop, M

    2004-08-01

    Results for the X-ray emission efficiency (counts per C per sr) of K-lines for selected elements (C, Al, Si, Ti, Cu, Ge) and for the first time also for compounds and alloys (SiC, GaP, AlCu, TiAlC) are presented. An energy dispersive X-ray spectrometer (EDS) of known detection efficiency (counts per photon) has been used to record the spectra at a takeoff angle of 25 degrees determined by the geometry of the secondary electron microscope's specimen chamber. Overall uncertainty in measurement could be reduced to 5 to 10% in dependence on the line intensity and energy. Measured emission efficiencies have been compared with calculated efficiencies based on models applied in standardless analysis. The widespread XPP and PROZA models give somewhat too low emission efficiencies. The best agreement between measured and calculated efficiencies could be achieved by replacing in the modular PROZA96 model the original expression for the ionization cross section by the formula given by Casnati et al. (1982) A discrepancy remains for carbon, probably due to the high overvoltage ratio.

  12. THE ULTRAVIOLET-TO-MID-INFRARED SPECTRAL ENERGY DISTRIBUTION OF WEAK EMISSION LINE QUASARS

    International Nuclear Information System (INIS)

    Lane, Ryan A.; Shemmer, Ohad; Diamond-Stanic, Aleksandar M.; Fan Xiaohui; Anderson, Scott F.; Brandt, W. N.; Schneider, Donald P.; Plotkin, Richard M.; Richards, Gordon T.; Strauss, Michael A.

    2011-01-01

    We present Spitzer Space Telescope photometry of 18 Sloan Digital Sky Survey (SDSS) quasars at 2.7 ≤ z ≤ 5.9 which have weak or undetectable high-ionization emission lines in their rest-frame ultraviolet (UV) spectra (hereafter weak-lined quasars, or WLQs). The Spitzer data are combined with SDSS spectra and ground-based, near-infrared (IR) photometry of these sources to produce a large inventory of spectral energy distributions (SEDs) of WLQs across the rest-frame ∼0.1-5 μm spectral band. The SEDs of our sources are inconsistent with those of BL Lacertae objects which are dominated by synchrotron emission due to a jet aligned close to our line of sight, but are consistent with the SED of ordinary quasars with similar luminosities and redshifts that exhibit a near-to-mid-IR 'bump', characteristic of hot dust emission. This indicates that broad emission lines in WLQs are intrinsically weak, rather than suffering continuum dilution from a jet, and that such sources cannot be selected efficiently from traditional photometric surveys.

  13. THE ULTRAVIOLET-TO-MID-INFRARED SPECTRAL ENERGY DISTRIBUTION OF WEAK EMISSION LINE QUASARS

    Energy Technology Data Exchange (ETDEWEB)

    Lane, Ryan A.; Shemmer, Ohad [Department of Physics, University of North Texas, Denton, TX 76203 (United States); Diamond-Stanic, Aleksandar M. [Center for Astrophysics and Space Sciences, University of California, San Diego, La Jolla, CA 92093 (United States); Fan Xiaohui [Steward Observatory, University of Arizona, 933 North Cherry Avenue, Tucson, AZ 85721 (United States); Anderson, Scott F. [Department of Astronomy, University of Washington, Box 351580, Seattle, WA 98195 (United States); Brandt, W. N.; Schneider, Donald P. [Department of Astronomy and Astrophysics, The Pennsylvania State University, University Park, PA 16802 (United States); Plotkin, Richard M. [Astronomical Institute ' Anton Pannekoek' , University of Amsterdam, Science Park 904, NL-1098 XH Amsterdam (Netherlands); Richards, Gordon T. [Department of Physics, Drexel University, 3141 Chestnut Street, Philadelphia, PA 19104 (United States); Strauss, Michael A., E-mail: RyanLane@my.unt.edu, E-mail: ohad@unt.edu [Princeton University Observatory, Peyton Hall, Princeton, NJ 08544 (United States)

    2011-12-20

    We present Spitzer Space Telescope photometry of 18 Sloan Digital Sky Survey (SDSS) quasars at 2.7 {<=} z {<=} 5.9 which have weak or undetectable high-ionization emission lines in their rest-frame ultraviolet (UV) spectra (hereafter weak-lined quasars, or WLQs). The Spitzer data are combined with SDSS spectra and ground-based, near-infrared (IR) photometry of these sources to produce a large inventory of spectral energy distributions (SEDs) of WLQs across the rest-frame {approx}0.1-5 {mu}m spectral band. The SEDs of our sources are inconsistent with those of BL Lacertae objects which are dominated by synchrotron emission due to a jet aligned close to our line of sight, but are consistent with the SED of ordinary quasars with similar luminosities and redshifts that exhibit a near-to-mid-IR 'bump', characteristic of hot dust emission. This indicates that broad emission lines in WLQs are intrinsically weak, rather than suffering continuum dilution from a jet, and that such sources cannot be selected efficiently from traditional photometric surveys.

  14. X-Ray, EUV, UV and Optical Emissivities of Astrophysical Plasmas

    Science.gov (United States)

    Raymond, John C.; West, Donald (Technical Monitor)

    2000-01-01

    This grant primarily covered the development of the thermal X-ray emission model code called APEC, which is meant to replace the Raymond and Smith (1977) code. The new code contains far more spectral lines and a great deal of updated atomic data. The code is now available (http://hea-www.harvard.edu/APEC), though new atomic data is still being added, particularly at longer wavelengths. While initial development of the code was funded by this grant, current work is carried on by N. Brickhouse, R. Smith and D. Liedahl under separate funding. Over the last five years, the grant has provided salary support for N. Brickhouse, R. Smith, a summer student (L. McAllister), an SAO predoctoral fellow (A. Vasquez), and visits by T. Kallman, D. Liedahl, P. Ghavamian, J.M. Laming, J. Li, P. Okeke, and M. Martos. In addition to the code development, the grant supported investigations into X-ray and UV spectral diagnostics as applied to shock waves in the ISM, accreting black holes and white dwarfs, and stellar coronae. Many of these efforts are continuing. Closely related work on the shock waves and coronal mass ejections in the solar corona has grown out of the efforts supported by the grant.

  15. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  16. Design of a portable optical emission tomography system for microwave induced compact plasma for visible to near-infrared emission lines

    Energy Technology Data Exchange (ETDEWEB)

    Rathore, Kavita, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in; Munshi, Prabhat, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in [Nuclear Engineering and Technology Programme, Indian Institute of Technology Kanpur, Kanpur (India); Bhattacharjee, Sudeep, E-mail: kavira@iitk.ac.in, E-mail: pmunshi@iitk.ac.in, E-mail: sudeepb@iitk.ac.in [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India)

    2016-03-15

    A new non-invasive diagnostic system is developed for Microwave Induced Plasma (MIP) to reconstruct tomographic images of a 2D emission profile. A compact MIP system has wide application in industry as well as research application such as thrusters for space propulsion, high current ion beams, and creation of negative ions for heating of fusion plasma. Emission profile depends on two crucial parameters, namely, the electron temperature and density (over the entire spatial extent) of the plasma system. Emission tomography provides basic understanding of plasmas and it is very useful to monitor internal structure of plasma phenomena without disturbing its actual processes. This paper presents development of a compact, modular, and versatile Optical Emission Tomography (OET) tool for a cylindrical, magnetically confined MIP system. It has eight slit-hole cameras and each consisting of a complementary metal–oxide–semiconductor linear image sensor for light detection. The optical noise is reduced by using aspheric lens and interference band-pass filters in each camera. The entire cylindrical plasma can be scanned with automated sliding ring mechanism arranged in fan-beam data collection geometry. The design of the camera includes a unique possibility to incorporate different filters to get the particular wavelength light from the plasma. This OET system includes selected band-pass filters for particular argon emission 750 nm, 772 nm, and 811 nm lines and hydrogen emission H{sub α} (656 nm) and H{sub β} (486 nm) lines. Convolution back projection algorithm is used to obtain the tomographic images of plasma emission line. The paper mainly focuses on (a) design of OET system in detail and (b) study of emission profile for 750 nm argon emission lines to validate the system design.

  17. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  18. Beam-foil study of neon in the EUV with foils of carbon, silver and gold

    International Nuclear Information System (INIS)

    Demarest, J.A.; Watson, R.L.; Texas A and M Univ., College Station

    1988-01-01

    A beam-foil study of 40 MeV neon was conducted in the EUV with a 1-meter grazing incidence spectrometer configured with a position sensitive microchannel plate detector. A number of new lines of Ne IX, mainly from transitions to n = 3 levels, were detected in the wavelength region covering 50-350 A. Comparison of the spectra obtained using the different foils revealed that the average charge state of the neon projectiles was nearly one unit higher with carbon than with either of the two metals. Measurements of line intensities versus distance from the foils showed that cascade contributions were greatly reduced for the metals. It was also found that n = 3 states of low l were overpopulated relative to a statistical distribution, irrespective of the foil material. (orig.)

  19. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  20. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  1. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  2. Identification of the lines in the L emission spectrum of cerium and samarium

    International Nuclear Information System (INIS)

    Shrivastava, B.D.; Singh, D.

    1992-01-01

    The occurrence of a line at 2.1556 A in the L emission spectrum of cerium and two lines at 1.6679 and 1.8379 A in the L emission spectrum of samarium, reported many years ago, has remained a puzzle. These have now been identified as EXAFS minima occurring at the L absorption edges of the respective elements. (author)

  3. A new method of organizing spectral line intensity ratio fluctuations of nightglow emissions

    International Nuclear Information System (INIS)

    Thelin, B.

    1986-02-01

    In this paper a new kind of linearization effect between the atmospheric night airglow emissions is presented. The same kind of linearization effect has previously been studied with spectrochemical light sources together with a spectrometer. A linear graph was obtained for atomic spectral lines and vibrational bandspectra when the spectral line intensity ratio fluctuations were plotted versus the photon energies of these emissions. To study this effect data from a number of different photometer investigations of night airglow emissions at different times and places have been used. (author)

  4. Coronal emission-line polarization from the statistical equilibrium of magnetic sublevels. I. Fe XII

    International Nuclear Information System (INIS)

    House, L.L.

    1977-01-01

    A general formulation for the polarization of coronal emission lines is presented, and the physics is illustrated through application of the formulation to the lines of Fe XIII at 10747 and 10798 A. The goal is to present a foundation for the determination of the orientation of coronal magnetic fields from emission-line polarization measurements. The physics of emission-line polarization is discussed using the statistical equilibrium equations for the magnetic sublevels of a coronal ion. The formulation of these equations, which describe the polarization of the radiation field in terms of Stokes parameters, is presented; and the various rate parameters: both radiative and collisional: are considered. The emission Stokes vector is constructed from the solution of the equilibrium equations for a point in the corona where the magnetic field has an arbitrary orientation. On the basis of a model, a computer code for the calculation of emission-line polarization is briefly described and illustrated with a number of sample calculations for Fe XIII. Calculations are carried out for three-dimensional models that demonstrate the physics of the formation of emission-line polarization and illustrate how the degree of polarization and angle of polarization and their variations over the corona are related to the density and magnetic field structure. The models considered range from simple cases in which the density distribution with height is spherically symmetric and the field is radial or dipole to a complex case in which both the density and magnetic field distributions are derived from realistic three-dimensional distributions for the 1973 eclipse on the basis of K-coronameter measurements for the density and potential-field extrapolation of surface magnetic fields in the corona

  5. SEMI-EMPIRICAL MODELING OF THE PHOTOSPHERE, CHROMOPSHERE, TRANSITION REGION, AND CORONA OF THE M-DWARF HOST STAR GJ 832

    Energy Technology Data Exchange (ETDEWEB)

    Fontenla, J. M. [NorthWest Research Associates, Boulder, CO 80301 (United States); Linsky, Jeffrey L. [JILA, University of Colorado and NIST, Boulder, CO 80309-0440 (United States); Witbrod, Jesse [University of Colorado Boulder, CO 80309 (United States); France, Kevin [LASP, University of Colorado Boulder, CO 80309-0600 (United States); Buccino, A.; Mauas, Pablo; Vieytes, Mariela [Instituto de Astronomía y Física del Espacio (CONICET-UBA), C.C. 67, Sucursal 28, C1428EHA, Buenos Aires (Argentina); Walkowicz, Lucianne M., E-mail: johnf@digidyna.com, E-mail: jlinsky@jila.colorado.edu, E-mail: jesse.witbrod@colorado.edu, E-mail: kevin.france@lasp.colorado.edu, E-mail: abuccino@iafe.uba.ar, E-mail: pablo@iafe.uba.ar, E-mail: mariela@iafe.uba.ar, E-mail: LWalkowicz@adlerplanetarium.org [The Adler Planetarium, Chicago, IL 60605 (United States)

    2016-10-20

    Stellar radiation from X-rays to the visible provides the energy that controls the photochemistry and mass loss from exoplanet atmospheres. The important extreme ultraviolet (EUV) region (10–91.2 nm) is inaccessible and should be computed from a reliable stellar model. It is essential to understand the formation regions and physical processes responsible for the various stellar emission features to predict how the spectral energy distribution varies with age and activity levels. We compute a state-of-the-art semi-empirical atmospheric model and the emergent high-resolution synthetic spectrum of the moderately active M2 V star GJ 832 as the first of a series of models for stars with different activity levels. We construct a one-dimensional simple model for the physical structure of the star’s chromosphere, chromosphere-corona transition region, and corona using non-LTE radiative transfer techniques and many molecular lines. The synthesized spectrum for this model fits the continuum and lines across the UV-to-optical spectrum. Particular emphasis is given to the emission lines at wavelengths that are shorter than 300 nm observed with the Hubble Space Telescope , which have important effects on the photochemistry of the exoplanet atmospheres. The FUV line ratios indicate that the transition region of GJ 832 is more biased to hotter material than that of the quiet Sun. The excellent agreement of our computed EUV luminosity with that obtained by two other techniques indicates that our model predicts reliable EUV emission from GJ 832. We find that the unobserved EUV flux of GJ 832, which heats the outer atmospheres of exoplanets and drives their mass loss, is comparable to the active Sun.

  6. Emission Line Morphologies in Markarian Starburst Galaxies A ...

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging Solutions)

    images of a sample of optically selected starburst galaxies from the Markar- ian lists. .... to the size of the galaxy and the histograms were sky dominated. .... simplest qualitative method is to visually examine the distribution of the emission line.

  7. Audio-frequency noise emissions from high-voltage overhead power lines

    International Nuclear Information System (INIS)

    Semmler, M.; Straumann, U.; Roero, C.; Teich, T. H.

    2005-01-01

    This article discusses the noise-emissions caused by high-voltage overhead power lines that can occur under certain atmospheric conditions. These emissions, caused by electric discharges around the conductors, can achieve disturbing values, depending on the conditions prevailing at the time in question. The causes of the discharges are examined and the ionisation processes involved are looked at. The parameters influencing the discharges are discussed and measures that can be taken to reduce such audio-frequency emissions are looked at. The authors note that a reduction of peripheral field strengths can reduce emissions and that hydrophilic coatings can lead to faster reduction of such effects after rainfall

  8. HST-COS Observations on Hydrogen, Helium, Carbon, and Nitrogen Emission from the SN 1987A Reverse Shock

    Science.gov (United States)

    France, Kevin; McCray, Richard; Penton, Steven V.; Kirshner, Robert P.; Challis, Peter; Laming, J. Martin; Bouchet, Patrice; Chevalier, Roger; Garnavich, Peter M.; Fransson, Claes; hide

    2011-01-01

    We present the most sensitive ultraviolet observations of Supernova 1987 A to date. Imaging spectroscopy from the Hubble Space Telescope-Cosmic Origins Spectrograph shows many narrow (Delta v approximates 300 km/s) emission lines from the circumstellar ring, broad Delta v approximates 10-20 x 10(exp 3) km/s) emission lines from the reverse shock, and ultraviolet continuum emission. The high signal-to-noise ratio (>40 per resolution element) broad Ly-alpha emission is excited by soft X-ray and EUV heating of mostly neutral gas in the circumstellar ring and outer supernova debris. The ultraviolet continuum at lambda > 1350 A can be explained by H-I two-photon (2s(exp 2)S(sub 1/2)-l(exp 2)S(sub 1/2)) emission from the same region. We confirm our earlier, tentative detection of N V lambda 1240 emission from the reverse shock and present the first detections of broad He II lambda1640, C IV lambda 1550, and N IV ] lambda1486 emission lines from the reverse shock. The helium abundance in the high-velocity material is He/H = 0.14 +/- 0.06. The N V /H alpha line ratio requires partial ion-electron equilibration (T(sub e)/T(sub p) approximately equal to 0.14-0.35). We find that the N/C abundance ratio in the gas crossing the reverse shock is significantly higher than that in the circumstellar ring, a result that may be attributed to chemical stratification in the outer envelope of the supernova progenitor. The N/C abundance may have been stratified prior to the ring expUlsion, or this result may indicate continued CNO processing in the progenitor subsequent to the expUlsion of the circumstellar ring.

  9. 40 CFR 63.1569 - What are my requirements for HAP emissions from bypass lines?

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 12 2010-07-01 2010-07-01 true What are my requirements for HAP emissions from bypass lines? 63.1569 Section 63.1569 Protection of Environment ENVIRONMENTAL PROTECTION... HAP emissions from bypass lines? (a) What work practice standards must I meet? (1) You must meet each...

  10. The extinction to the H2 line emission in the DR 21 outflow source

    International Nuclear Information System (INIS)

    Nadeau, D.; Riopel, M.; Geballe, T.R.

    1991-01-01

    The v = 1-0 S(1) and Q(3) lines of H2 have been measured in four regions of the DR 21 H2 line-emission source, in order to determine whether the observed morphology of the emission represents the distribution of the excited H2 or is modified by nonuniform extinction across the source. The measured lines originate from the same upper level, and their ratio is a direct measure of the reddening. The line ratios show that the extinction is quite uniform across the source and that there is no correlation between the intensity and the extinction. This result implies that the gap between the two lobes of emission is not due to increased extinction but rather is a region where there is little excited H2 gas. 13 refs

  11. An Intrinsic Baldwin Effect in the H Beta Broad Emission Line in the Spectrum of NGC 5548

    Science.gov (United States)

    Gilbert, Karoline M.; Peterson, Bradley M.

    2003-01-01

    We investigate the possibility of an intrinsic Baldwin effect (i.e., nonlinear emission-line response to continuum variations) in the broad HP emission line of the active galaxy NGC 5548 using crosscorrelation techniques to remove light-travel time effects from the data. We find a nonlinear relationship between the HP emission line and continuum fluxes that is in good agreement with theoretical predictions. We suggest that similar analysis of multiple lines might provide a useful diagnostic of physical conditions in the broad-line region.

  12. TAURUS observations of the emission-line velocity field of Centaurus A (NGC 5128)

    International Nuclear Information System (INIS)

    Taylor, K.; Atherton, P.D.

    1983-01-01

    Using TAURUS - an Imaging Fabry Perot system in conjunction with the IPCS on the AAT, the authors have studied the velocity field of the Hα emission line at a spatial resolution of 1.7'' over the dark lane structure of Centaurus A. The derived velocity field is quite symmetrical and strongly suggests that the emission line material is orbiting the elliptical component, as a warped disc. (orig.)

  13. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  14. Mid-IR Properties of an Unbiased AGN Sample of the Local Universe. 1; Emission-Line Diagnostics

    Science.gov (United States)

    Weaver, K. A.; Melendez, M.; Muhotzky, R. F.; Kraemer, S.; Engle, K.; Malumuth. E.; Tueller, J.; Markwardt, C.; Berghea, C. T.; Dudik, R. P.; hide

    2010-01-01

    \\Ve compare mid-IR emission-lines properties, from high-resolution Spitzer IRS spectra of a statistically-complete hard X-ray (14-195 keV) selected sample of nearby (z < 0.05) AGN detected by the Burst Alert Telescope (BAT) aboard Swift. The luminosity distribution for the mid-infrared emission-lines, [O IV] 25.89 microns, [Ne II] 12.81 microns, [Ne III] 15.56 microns and [Ne V] 14.32 microns, and hard X-ray continuum show no differences between Seyfert 1 and Seyfert 2 populations, although six newly discovered BAT AGNs are shown to be under-luminous in [O IV], most likely the result of dust extinction in the host galaxy. The overall tightness of the mid-infrared correlations and BAT luminosities suggests that the emission lines primarily arise in gas ionized by the AGN. We also compared the mid-IR emission-lines in the BAT AGNs with those from published studies of star-forming galaxies and LINERs. We found that the BAT AGN fall into a distinctive region when comparing the [Ne III]/[Ne II] and the [O IV]/[Ne III] quantities. From this we found that sources that have been previously classified in the mid-infrared/optical as AGN have smaller emission line ratios than those found for the BAT AGNs, suggesting that, in our X-ray selected sample, the AGN represents the main contribution to the observed line emission. Overall, we present a different set of emission line diagnostics to distinguish between AGN and star forming galaxies that can be used as a tool to find new AGN.

  15. VizieR Online Data Catalog: Vatican Emission-line stars (Coyne+ 1974-1983)

    Science.gov (United States)

    Coyne, G. V.; Lee, T. A.; de Graeve, E.; Wisniewski, W.; Corbally, C.; Otten, L. B.; MacConnell, D. J.

    2009-10-01

    The survey represents a search for Hα emission-line stars, and was conducted with a 12{deg} objective prism on the Vatican Schmidt telescope. The Vatican Emission Stars (VES) survey covers the galactic plane (|b|II/246), IRAS point source catalog (II/125), MSX6C (V/114), CMC14 (I/304), GSC-2.3 (I/305), UCAC2 (I/289). Cross-identifications are also supplied with HD/BD/GCVS names, and with Dearborn catalog of red stars (II/68). Many of the stars in the first four papers are not early-type emission-line stars, but instead M giants, where the sharp TiO bandhead at 6544{AA} was mistaken for H-{alpha} emission on the objective-prism plates. Based on the revision of paper V and a later list prepared by Jack MacConnell, a column identifies the "non H-alpha" stars explicitly. The links with the Dearborn, IRAS, and MSX catalogues help identify the red stars. These and other identifications and comments are given in the remarks at the end of each line, or in longer notes in a separate file, indicated by an asterisk (*) next to the star number. (3 data files).

  16. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  17. Evaluation of spectroscopic modeling for iron ions and study on non-equilibrium ionization phenomena for solar and LHD plasmas

    International Nuclear Information System (INIS)

    Watanabe, Tetsuya; Hara, Hirohisa; Yamamoto, Norimasa; Kato, Daiji; Sakaue, Hiroyuki A.; Murakami, Izumi

    2013-01-01

    Spectroscopic observations of EUV emission lines in the transition region (TR) and the corona provide unique information on physical conditions in the outer atmosphere of the Sun. The EUV Imaging Spectrometer (EIS) on board the Hinode satellite is capable of observing, for the first time in EUV, spectra and monochromatic images of plasmas in the solar TR and corona; these plasmas could possibly be in non-ionization-equilibrium conditions. EIS observes over two-wavelength bands of 170 - 210 Å and 250 - 290 Å, with typical time-resolutions of 1 - 10 seconds. Iron line emissions emerging from these wavelengths reveal that dynamic plasma accelerations and heating take place in the solar atmosphere. On the other hand, the tracer-encapsulated-pellet (TESPEL) experiments provide spectral information of EUV emission lines from iron ions produced in the Large Helical Device (LHD). Relatively cool plasmas with electron temperatures similar to those of the solar corona can be generated by controlling the neutral beam injector (NBI) system. A time-dependent collisional radiative (CR) model for elemental iron is developed as a common tool to diagnose temperatures and densities of those plasmas in the Sun and in LHD; no systematic model yet exists for iron ions in the L- and M-shell ionization stages, which are very important for coronal plasma diagnostics. Adopting the best available theoretical calculations, as well as generating the experimental data, we improve the atomic parameters of highly charged iron ions, and these results are used to extract more accurate diagnostic information out of the EIS spectra. (author)

  18. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  19. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  20. ULTRAVIOLET AND EXTREME-ULTRAVIOLET EMISSIONS AT THE FLARE FOOTPOINTS OBSERVED BY ATMOSPHERE IMAGING ASSEMBLY

    Energy Technology Data Exchange (ETDEWEB)

    Qiu Jiong; Longcope, Dana W.; Liu Wenjuan [Department of Physics, Montana State University, Bozeman, MT 59717-3840 (United States); Sturrock, Zoe [Department of Applied Mathematics, University of St. Andrews (United Kingdom); Klimchuk, James A. [NASA Goddard Space Flight Center, Greenbelt, MD 20771 (United States)

    2013-09-01

    A solar flare is composed of impulsive energy release events by magnetic reconnection, which forms and heats flare loops. Recent studies have revealed a two-phase evolution pattern of UV 1600 A emission at the feet of these loops: a rapid pulse lasting for a few seconds to a few minutes, followed by a gradual decay on timescales of a few tens of minutes. Multiple band EUV observations by the Atmosphere Imaging Assembly further reveal very similar signatures. These two phases represent different but related signatures of an impulsive energy release in the corona. The rapid pulse is an immediate response of the lower atmosphere to an intense thermal conduction flux resulting from the sudden heating of the corona to high temperatures (we rule out energetic particles due to a lack of significant hard X-ray emission). The gradual phase is associated with the cooling of hot plasma that has been evaporated into the corona. The observed footpoint emission is again powered by thermal conduction (and enthalpy), but now during a period when approximate steady-state conditions are established in the loop. UV and EUV light curves of individual pixels may therefore be separated into contributions from two distinct physical mechanisms to shed light on the nature of energy transport in a flare. We demonstrate this technique using coordinated, spatially resolved observations of UV and EUV emissions from the footpoints of a C3.2 thermal flare.

  1. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  2. Observations of the 12.3 micron Mg I emission line during a major solar flare

    Science.gov (United States)

    Deming, Drake; Jennings, Donald E.; Osherovich, Vladimir; Wiedemann, Gunter; Hewagama, Tilak

    1990-01-01

    The extremely Zeeman-sensitive 12.32 micron Mg I solar emission line was observed during a 3B/X5.7 solar flare on October 24, 1989. When compared to postflare values, Mg I emission-line intensity in the penumbral flare ribbon was 20 percent greater at the peak of the flare in soft X-rays, and the 12 micron continuum intensity was 7 percent greater. The flare also excited the emission line in the umbra where it is normally absent. The umbral flare emission exhibits a Zeeman splitting 200 G less than the adjacent penumbra, suggesting that it is excited at higher altitude. The absolute penumbral magnetic field strength did not change by more than 100 G between the flare peak and postflare period. However, a change in the inclination of the field lines, probably related to the formation and development of the flare loop system, was seen.

  3. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  4. Linearized spectrum correlation analysis for line emission measurements.

    Science.gov (United States)

    Nishizawa, T; Nornberg, M D; Den Hartog, D J; Sarff, J S

    2017-08-01

    A new spectral analysis method, Linearized Spectrum Correlation Analysis (LSCA), for charge exchange and passive ion Doppler spectroscopy is introduced to provide a means of measuring fast spectral line shape changes associated with ion-scale micro-instabilities. This analysis method is designed to resolve the fluctuations in the emission line shape from a stationary ion-scale wave. The method linearizes the fluctuations around a time-averaged line shape (e.g., Gaussian) and subdivides the spectral output channels into two sets to reduce contributions from uncorrelated fluctuations without averaging over the fast time dynamics. In principle, small fluctuations in the parameters used for a line shape model can be measured by evaluating the cross spectrum between different channel groupings to isolate a particular fluctuating quantity. High-frequency ion velocity measurements (100-200 kHz) were made by using this method. We also conducted simulations to compare LSCA with a moment analysis technique under a low photon count condition. Both experimental and synthetic measurements demonstrate the effectiveness of LSCA.

  5. Detection of Three-minute Oscillations in Full-disk Ly α Emission during a Solar Flare

    Energy Technology Data Exchange (ETDEWEB)

    Milligan, Ryan O.; Fletcher, Lyndsay [SUPA, School of Physics and Astronomy, University of Glasgow, Glasgow, G12 8QQ (United Kingdom); Fleck, Bernhard [ESA Directorate of Science, Operations Department, c/o NASA/GSFC Code 671, Greenbelt, MD 20071 (United States); Ireland, Jack; Dennis, Brian R. [Solar Physics Laboratory (Code 671), Heliophysics Science Division, NASA Goddard Space Flight Center, Greenbelt, MD 20771 (United States)

    2017-10-10

    In this Letter we report the detection of chromospheric 3-minute oscillations in disk-integrated EUV irradiance observations during a solar flare. A wavelet analysis of detrended Ly α (from GOES /EUVS) and Lyman continuum (from Solar Dynamics Observatory ( SDO )/EVE) emission from the 2011 February 15 X-class flare (SOL2011-02-15T01:56) revealed a ∼3 minute period present during the flare’s main phase. The formation temperature of this emission locates this radiation at the flare’s chromospheric footpoints, and similar behavior is found in the SDO /Atmospheric Imaging Assembly 1600 and 1700 Å channels, which are dominated by chromospheric continuum. The implication is that the chromosphere responds dynamically at its acoustic cutoff frequency to an impulsive injection of energy. Since the 3-minute period was not found at hard X-ray (HXR) energies (50–100 keV) in Reuven Ramaty High Energy Solar Spectroscopic Imager data we can state that this 3-minute oscillation does not depend on the rate of energization of non-thermal electrons. However, a second period of 120 s found in both HXR and chromospheric lightcurves is consistent with episodic electron energization on 2-minute timescales. Our finding on the 3-minute oscillation suggests that chromospheric mechanical energy should be included in the flare energy budget, and the fluctuations in the Ly α line may influence the composition and dynamics of planetary atmospheres during periods of high activity.

  6. Synthetic nebular emission from massive galaxies - I: origin of the cosmic evolution of optical emission-line ratios

    Science.gov (United States)

    Hirschmann, Michaela; Charlot, Stephane; Feltre, Anna; Naab, Thorsten; Choi, Ena; Ostriker, Jeremiah P.; Somerville, Rachel S.

    2017-12-01

    Galaxies occupy different regions of the [O III]λ5007/H β-versus-[N II]λ6584/H α emission-line ratio diagram in the distant and local Universe. We investigate the origin of this intriguing result by modelling self-consistently, for the first time, nebular emission from young stars, accreting black holes (BHs) and older, post-asymptotic giant branch (post-AGB) stellar populations in galaxy formation simulations in a full cosmological context. In post-processing, we couple new-generation nebular-emission models with high-resolution, cosmological zoom-in simulations of massive galaxies to explore which galaxy physical properties drive the redshift evolution of the optical-line ratios [O III]λ5007/H β, [N II]λ6584/H α, [S II]λλ6717, 6731/H α and [O I]λ6300/H α. The line ratios of simulated galaxies agree well with observations of both star-forming and active local Sloan Digital Sky Survey galaxies. Towards higher redshifts, at fixed galaxy stellar mass, the average [O III]/H β is predicted to increase and [N II]/H α, [S II]/H α and [O I]/H α to decrease - widely consistent with observations. At fixed stellar mass, we identify star formation history, which controls nebular emission from young stars via the ionization parameter, as the primary driver of the cosmic evolution of [O III]/H β and [N II]/H α. For [S II]/H α and [O I]/H α, this applies only to redshifts greater than z = 1.5, the evolution at lower redshift being driven in roughly equal parts by nebular emission from active galactic nuclei and post-AGB stellar populations. Instead, changes in the hardness of ionizing radiation, ionized-gas density, the prevalence of BH accretion relative to star formation and the dust-to-metal mass ratio (whose impact on the gas-phase N/O ratio we model at fixed O/H) play at most a minor role in the cosmic evolution of simulated galaxy line ratios.

  7. Study of Opacity Effects on Emission Lines at EXTRAP T2R RFP

    Science.gov (United States)

    Stancalie, Viorica; Rachlew, Elisabeth

    We have investigated the influence of opacity on hydrogen (H-α and Ly-β) and Li-like oxygen emission lines from the EXTRAP T2R reversed field pinch. We used the Atomic Data Analysis System (AzDAS) based on the escape factor approximation for radiative transfer to calculate metastable and excited population densities via a collisional-radiative model. Population escape factor, emergent escape factor and modified line profiles are plotted vs. optical depth. The simulated emission line ratios in the density/temperature plane are in good agreement with experimental data for electron density and temperature measurements.

  8. Near UV-visible line emission from tungsten highly-charged ions in Large Helical Device

    International Nuclear Information System (INIS)

    Kato, D.; Sakaue, H.A.; Murakami, I.; Goto, M.; Oishi, T.; Morita, S.; Fujii, K.; Nakamura, N.; Koike, F.; Sasaki, Akira; Ding, X.-B.; Dong, C.-Z.

    2015-01-01

    Wavelengths of emission lines from tungsten highly-charged ions have been precisely measured in near UV-visible range (320 - 356 nm and 382 - 402 nm) at Large Helical Device (LHD) by tungsten pellet injection. The tungsten emission lines were assigned based on its line-integrated intensity profiles on a poloidal cross section. The ground-term magnetic-dipole (M1) lines of W 26+,27+ and an M1 line of a metastable excited state of W 28+ , whose wavelengths have been determined by measurements using electron-beam-ion-traps (EBITs), are identified in the LHD spectra. The present results partially compliment wavelength data of tungsten highly-charged ions in the near UV-visible range. (author)

  9. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  10. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  11. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  12. Time variations of oxygen emission lines and solar wind dynamic parameters in low latitude region

    Science.gov (United States)

    Jamlongkul, P.; Wannawichian, S.; Mkrtichian, D.; Sawangwit, U.; A-thano, N.

    2017-09-01

    Aurora phenomenon is an effect of collision between precipitating particles with gyromotion along Earth’s magnetic field and Earth’s ionospheric atoms or molecules. The particles’ precipitation occurs normally around polar regions. However, some auroral particles can reach lower latitude regions when they are highly energetic. A clear emission from Earth’s aurora is mostly from atomic oxygen. Moreover, the sun’s activities can influence the occurrence of the aurora as well. This work studies time variations of oxygen emission lines and solar wind parameters, simultaneously. The emission’s spectral lines were observed by Medium Resolution Echelle Spectrograph (MRES) along with 2.4 meters diameter telescope at Thai National Observatory, Intanon Mountain, Chiang Mai, Thailand. Oxygen (OI) emission lines were calibrated by Dech-Fits spectra processing program and Dech95 2D image processing program. The correlations between oxygen emission lines and solar wind dynamics will be analyzed. This result could be an evidence of the aurora in low latitude region.

  13. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  14. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    Science.gov (United States)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A. K.; Mohan, Man

    2015-08-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac-Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications.

  15. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  16. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  17. Polarisation of auroral emission lines in the Earth's upper atmosphere : first results and perspectives

    Science.gov (United States)

    Lamy, H.; Barthelemy, M.; Simon Wedlund, C.; Lilensten, J.; Bommier, V.

    2011-12-01

    Polarisation of light is a key observable to provide information about asymmetry or anisotropy within a radiative source. Following the pioneering and controversial work of Duncan in 1959, the polarisation of auroral emission lines in the Earth's upper atmosphere has been overlooked for a long time, even though the red intense auroral line (6300Å) produced by collisional impacts with electrons precipitating along magnetic field lines is a good candidate to search for polarisation. This problem was investigated again by Lilensten et al (2006) and observations were obtained by Lilensten et al (2008) confirming that the red auroral emission line is polarised. More recent measurements obtained by Barthélemy et al (2011) are presented and discussed. The results are compared to predictions of the theoretical work of Bommier et al (2011) and are in good agreement. Following these encouraging results, a new dedicated spectropolarimeter is currently under construction between BIRA-IASB and IPAG to provide simultaneously the polarisation of the red line and of other interesting auroral emission lines such as N2+ 1NG (4278Å), other N2 bands, etc... Perspectives regarding the theoretical polarisation of some of these lines will be presented. The importance of these polarisation measurements in the framework of atmospheric modeling and geomagnetic activity will be discussed.

  18. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  19. Pervasive faint Fe XIX emission from a solar active region observed with EUNIS-13: Evidence for nanoflare heating

    International Nuclear Information System (INIS)

    Brosius, Jeffrey W.; Daw, Adrian N.; Rabin, D. M.

    2014-01-01

    We present spatially resolved EUV spectroscopic measurements of pervasive, faint Fe XIX 592.2 Å line emission in an active region observed during the 2013 April 23 flight of the Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS-13) sounding rocket instrument. With cooled detectors, high sensitivity, and high spectral resolution, EUNIS-13 resolves the lines of Fe XIX at 592.2 Å (formed at temperature T ≈ 8.9 MK) and Fe XII at 592.6 Å (T ≈ 1.6 MK). The Fe XIX line emission, observed over an area in excess of 4920 arcsec 2 (2.58 × 10 9 km 2 , more than 60% of the active region), provides strong evidence for the nanoflare heating model of the solar corona. No GOES events occurred in the region less than 2 hr before the rocket flight, but a microflare was observed north and east of the region with RHESSI and EUNIS during the flight. The absence of significant upward velocities anywhere in the region, particularly the microflare, indicates that the pervasive Fe XIX emission is not propelled outward from the microflare site, but is most likely attributed to localized heating (not necessarily due to reconnection) consistent with the nanoflare heating model of the solar corona. Assuming ionization equilibrium we estimate Fe XIX/Fe XII emission measure ratios of ∼0.076 just outside the AR core and ∼0.59 in the core.

  20. Pervasive faint Fe XIX emission from a solar active region observed with EUNIS-13: Evidence for nanoflare heating

    Energy Technology Data Exchange (ETDEWEB)

    Brosius, Jeffrey W. [Catholic University of America at NASA Goddard Space Flight Center, Solar Physics Laboratory, Code 671, Greenbelt, MD 20771 (United States); Daw, Adrian N. [NASA Goddard Space Flight Center, Solar Physics Laboratory, Code 671, Greenbelt, MD 20771 (United States); Rabin, D. M., E-mail: Jeffrey.W.Brosius@nasa.gov [NASA Goddard Space Flight Center, Heliophysics Science Division, Code 670, Greenbelt, MD 20771 (United States)

    2014-08-01

    We present spatially resolved EUV spectroscopic measurements of pervasive, faint Fe XIX 592.2 Å line emission in an active region observed during the 2013 April 23 flight of the Extreme Ultraviolet Normal Incidence Spectrograph (EUNIS-13) sounding rocket instrument. With cooled detectors, high sensitivity, and high spectral resolution, EUNIS-13 resolves the lines of Fe XIX at 592.2 Å (formed at temperature T ≈ 8.9 MK) and Fe XII at 592.6 Å (T ≈ 1.6 MK). The Fe XIX line emission, observed over an area in excess of 4920 arcsec{sup 2} (2.58 × 10{sup 9} km{sup 2}, more than 60% of the active region), provides strong evidence for the nanoflare heating model of the solar corona. No GOES events occurred in the region less than 2 hr before the rocket flight, but a microflare was observed north and east of the region with RHESSI and EUNIS during the flight. The absence of significant upward velocities anywhere in the region, particularly the microflare, indicates that the pervasive Fe XIX emission is not propelled outward from the microflare site, but is most likely attributed to localized heating (not necessarily due to reconnection) consistent with the nanoflare heating model of the solar corona. Assuming ionization equilibrium we estimate Fe XIX/Fe XII emission measure ratios of ∼0.076 just outside the AR core and ∼0.59 in the core.

  1. Electron density in the emission-line region of Wolf-Rayet stars

    International Nuclear Information System (INIS)

    Varshni, Y.P.

    1978-01-01

    The Inglis-Teller relation, generalized for a hydrogen-like or alkali-like ion with an arbitrary core charge, is used to estimate the electron density in the emission-like region of Wolf-Rayet stars. It is found that the electron density in the region which gives rise to He II emission lines is approximately = 4 x 10 14 cm -3 . (Auth.)

  2. A Sample of Quasars with Strong Nitrogen Emission Lines from the Sloan Digital Sky Survey

    DEFF Research Database (Denmark)

    Jiang, Linhua; Fan, Xiaohui; Vestergaard, Marianne

    2008-01-01

    We report on 293 quasars with strong NIV] lambda 1486 or NIII] lambda 1750 emission lines (rest-frame equivalent width > 3 \\AA) at 1.7......We report on 293 quasars with strong NIV] lambda 1486 or NIII] lambda 1750 emission lines (rest-frame equivalent width > 3 \\AA) at 1.7...

  3. Short-wavelength out-of-band EUV emission from Sn laser-produced plasma

    Science.gov (United States)

    Torretti, F.; Schupp, R.; Kurilovich, D.; Bayerle, A.; Scheers, J.; Ubachs, W.; Hoekstra, R.; Versolato, O. O.

    2018-02-01

    We present the results of spectroscopic measurements in the extreme ultraviolet regime (7-17 nm) of molten tin microdroplets illuminated by a high-intensity 3 J, 60 ns Nd:YAG laser pulse. The strong 13.5 nm emission from this laser-produced plasma (LPP) is of relevance for next-generation nanolithography machines. Here, we focus on the shorter wavelength features between 7 and 12 nm which have so far remained poorly investigated despite their diagnostic relevance. Using flexible atomic code calculations and local thermodynamic equilibrium arguments, we show that the line features in this region of the spectrum can be explained by transitions from high-lying configurations within the Sn{}8+-Sn{}15+ ions. The dominant transitions for all ions but Sn{}8+ are found to be electric-dipole transitions towards the n = 4 ground state from the core-excited configuration in which a 4p electron is promoted to the 5s subshell. Our results resolve some long-standing spectroscopic issues and provide reliable charge state identification for Sn LPP, which could be employed as a useful tool for diagnostic purposes.

  4. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  5. NON-DETECTION OF L-BAND LINE EMISSION FROM THE EXOPLANET HD189733b

    International Nuclear Information System (INIS)

    Mandell, Avi M.; Deming, L. Drake; Mumma, Michael J.; Villanueva, Geronimo L.; Blake, Geoffrey A.; Knutson, Heather A.; Salyk, Colette

    2011-01-01

    We attempt to confirm bright non-local thermodynamic equilibrium (non-LTE) emission from the exoplanet HD 189733b at 3.25 μm, as recently reported by Swain et al. based on observations at low spectral resolving power (λ/δλ ∼ 30). Non-LTE emission lines from gas in an exoplanet atmosphere will not be significantly broadened by collisions, so the measured emission intensity per resolution element must be substantially brighter when observed at high spectral resolving power. We observed the planet before, during, and after a secondary eclipse event at a resolving power λ/δλ = 27, 000 using the NIRSPEC spectrometer on the Keck II telescope. Our spectra cover a spectral window near the peak found by Swain et al., and we compare emission cases that could account for the magnitude and wavelength dependence of the Swain et al. result with our final spectral residuals. To model the expected line emission, we use a general non-equilibrium formulation to synthesize emission features from all plausible molecules that emit in this spectral region. In every case, we detect no line emission to a high degree of confidence. After considering possible explanations for the Swain et al. results and the disparity with our own data, we conclude that an astrophysical source for the putative non-LTE emission is unlikely. We note that the wavelength dependence of the signal seen by Swain et al. closely matches the 2ν 2 band of water vapor at 300 K, and we suggest that an imperfect correction for telluric water is the source of the feature claimed by Swain et al.

  6. On the Origin of the Flare Emission in IRIS ’ SJI 2832 Filter:Balmer Continuum or Spectral Lines?

    Energy Technology Data Exchange (ETDEWEB)

    Kleint, Lucia; Krucker, Säm [University of Applied Sciences and Arts Northwestern Switzerland, Bahnhofstrasse 6, 5210 Windisch (Switzerland); Heinzel, Petr [Astronomical Institute, The Czech Academy of Sciences, Fričova 298, 25165 Ondřejov (Czech Republic)

    2017-03-10

    Continuum (“white-light,” WL) emission dominates the energetics of flares. Filter-based observations, such as the IRIS SJI 2832 filter, show WL-like brightenings during flares, but it is unclear whether the emission arises from real continuum emission or enhanced spectral lines, possibly turning into emission. The difficulty in filter-based observations, contrary to spectral observations, is to determine which processes contribute to the observed brightening during flares. Here we determine the contribution of the Balmer continuum and the spectral line emission to IRIS ’ SJI 2832 emission by analyzing the appropriate passband in simultaneous IRIS NUV spectra. We find that spectral line emission can contribute up to 100% to the observed slitjaw images (SJI) emission, that the relative contributions usually temporally vary, and that the highest SJI enhancements that are observed are most likely because of the Balmer continuum. We conclude that care should be taken when calling SJI 2832 a continuum filter during flares, because the influence of the lines on the emission can be significant.

  7. On the Origin of the Flare Emission in IRIS ’ SJI 2832 Filter:Balmer Continuum or Spectral Lines?

    International Nuclear Information System (INIS)

    Kleint, Lucia; Krucker, Säm; Heinzel, Petr

    2017-01-01

    Continuum (“white-light,” WL) emission dominates the energetics of flares. Filter-based observations, such as the IRIS SJI 2832 filter, show WL-like brightenings during flares, but it is unclear whether the emission arises from real continuum emission or enhanced spectral lines, possibly turning into emission. The difficulty in filter-based observations, contrary to spectral observations, is to determine which processes contribute to the observed brightening during flares. Here we determine the contribution of the Balmer continuum and the spectral line emission to IRIS ’ SJI 2832 emission by analyzing the appropriate passband in simultaneous IRIS NUV spectra. We find that spectral line emission can contribute up to 100% to the observed slitjaw images (SJI) emission, that the relative contributions usually temporally vary, and that the highest SJI enhancements that are observed are most likely because of the Balmer continuum. We conclude that care should be taken when calling SJI 2832 a continuum filter during flares, because the influence of the lines on the emission can be significant.

  8. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  9. Emission lines in the hydrogen-deficient variable MV Sagittarii near maximum light

    International Nuclear Information System (INIS)

    Herbig, G.H.

    1975-01-01

    The H-deficient R CrB type variable MV Sgr near maximum light shows a rich emission spectrum in the 5800--8600 A region. Most of these lines are due to Fe ii, but Si ii, N i, O i, and Hα also are present. Such a spectrum has not been observed in any other R CrB star, but there is some resemblance to v Sgr. The emission lines in MV Sgr probably originate in an extended region of low temperature, which may also be responsible for the infrared excess observed by Feast and Glass

  10. Improved documentation of spectral lines for inductively coupled plasma emission spectrometry

    Science.gov (United States)

    Doidge, Peter S.

    2018-05-01

    An approach to improving the documentation of weak spectral lines falling near the prominent analytical lines used in inductively coupled plasma optical emission spectrometry (ICP-OES) is described. Measurements of ICP emission spectra in the regions around several hundred prominent lines, using concentrated solutions (up to 1% w/v) of some 70 elements, and comparison of the observed spectra with both recent published work and with the output of a computer program that allows calculation of transitions between the known energy levels, show that major improvements can be made in the coverage of spectral atlases for ICP-OES, with respect to "classical" line tables. It is argued that the atomic spectral data (wavelengths, energy levels) required for the reliable identification and documentation of a large majority of the weak interfering lines of the elements detectable by ICP-OES now exist, except for most of the observed lines of the lanthanide elements. In support of this argument, examples are provided from a detailed analysis of a spectral window centered on the prominent Pb II 220.353 nm line, and from a selected line-rich spectrum (W). Shortcomings in existing analyses are illustrated with reference to selected spectral interferences due to Zr. This approach has been used to expand the spectral-line library used in commercial ICP-ES instruments (Agilent 700-ES/5100-ES). The precision of wavelength measurements is evaluated in terms of the shot-noise limit, while the absolute accuracy of wavelength measurement is characterised through comparison with a small set of precise Ritz wavelengths for Sb I, and illustrated through the identification of Zr III lines; it is further shown that fractional-pixel absolute wavelength accuracies can be achieved. Finally, problems with the wavelengths and classifications of certain Au I lines are discussed.

  11. Atomic emission lines in the near ultraviolet; hydrogen through krypton, section 2

    Science.gov (United States)

    Kelly, R. L.

    1979-01-01

    A compilation of spectra from the first 36 elements was prepared from published literature available through October 1977. In most cases, only those lines which were actually observed in emission or absorption are listed. The wavelengths included range from 2000 Angstroms to 3200 Angstroms with some additional lines up to 3500 Angstroms. Only lines of stripped atoms are reported; no molecular bands are included.

  12. Atomic emission lines in the near ultraviolet; hydrogen through krypton, section 1

    Science.gov (United States)

    Kelly, R. L.

    1979-01-01

    A compilation of spectra from the first 36 elements was prepared from published literature available through October 1977. In most cases, only those lines which were actually observed in emission or absorption are listed. The wavelengths included range from 2000 Angstroms to 3200 Angstroms with some additional lines up to 3500 Angstroms. Only lines of stripped atoms are reported; no molecular bands are included.

  13. Calculation and reduction of the sound emissions of overhead power lines

    International Nuclear Information System (INIS)

    Straumann, U.

    2007-01-01

    In this dissertation, Ulrich Straumann of the Swiss Federal Institute of Technology in Zurich, Switzerland, discusses the reduction of sound emissions from overhead power lines. Corona-discharges occur during wet weather or when foggy or icing conditions prevail. Apart from these wide-band crackling noises, low-frequency, tonal emissions also occur. The CONOR (Corona Noise Reduction) project examined these emissions at a frequency of twice the mains frequency and looked for economically feasible solutions to the problems caused by them. The source of these emissions and the mechanisms causing them are discussed. Also, ways of calculating their strength are presented. The effects of varying cable geometry and construction are discussed, as are hydrophilic coatings that could be used to reduce sound emissions.

  14. A New Diagnostic Diagram of Ionization Sources for High-redshift Emission Line Galaxies

    Science.gov (United States)

    Zhang, Kai; Hao, Lei

    2018-04-01

    We propose a new diagram, the kinematics–excitation (KEx) diagram, which uses the [O III] λ5007/Hβ line ratio and the [O III] λ5007 emission line width (σ [O III]) to diagnose the ionization source and physical properties of active galactic nuclei (AGNs) and star-forming galaxies (SFGs). The KEx diagram is a suitable tool to classify emission line galaxies at intermediate redshift because it uses only the [O III] λ5007 and Hβ emission lines. We use the main galaxy sample of SDSS DR7 and the Baldwin‑Phillips‑Terlevich (BPT) diagnostic to calibrate the diagram at low redshift. The diagram can be divided into three regions: the KEx-AGN region, which consists mainly of pure AGNs, the KEx-composite region, which is dominated by composite galaxies, and the KEx-SFG region, which contains mostly SFGs. LINERs strongly overlap with the composite and AGN regions. AGNs are separated from SFGs in this diagram mainly because they preferentially reside in luminous and massive galaxies and have higher [O III]/Hβ than SFGs. The separation between AGNs and SFGs is even cleaner thanks to the additional 0.15/0.12 dex offset in σ [O III] at fixed luminosity/stellar mass. We apply the KEx diagram to 7866 galaxies at 0.3 Survey, and compare it to an independent X-ray classification scheme using Chandra observations. X-ray AGNs are mostly located in the KEx-AGN region, while X-ray SFGs are mostly located in the KEx-SFG region. Almost all Type 1 AGNs lie in the KEx-AGN region. These tests support the reliability of this classification diagram for emission line galaxies at intermediate redshift. At z ∼ 2, the demarcation line between SFGs and AGNs is shifted by ∼0.3 dex toward higher values of σ [O III] due to evolution effects.

  15. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  16. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  17. Why is observable radio recombination line emission from galactic HII regions always close to LTE

    International Nuclear Information System (INIS)

    Shaver, P.A.

    1980-01-01

    There is no evidence for significant deviations from LTE in single-dish observations of radio recombination line emission from galactic HII regions. This is in agreement with the known properties of HII regions, particularly their density variations and limited range of excitation parameters; the optimum configuration for strong observable non-LTE effects, low electron density and high emission measure, simply does not exist in galactic HII regions, and the observed lines are emitted under near-LTE conditions. Models of the Orion Nebulae and NGC 6604 are presented which fit all available data and show only weak stimulated emission. It is concluded that reliable electron temperatures can indeed be obtained from straightforward analysis of appropriate radio recombination lines. (orig.)

  18. Absolute calibration of a SPRED [Spectrometer Recording Extended Domain] EUV [extreme ultraviolet] spectrograph for use on the DIII-D tokamak

    International Nuclear Information System (INIS)

    Wood, R.D.; Allen, S.L.

    1988-01-01

    We have performed an absolute intensity calibration of a SPRED multichannel EUV spectrograph using synchrotron radiation from the NBS SURF-II electron storage ring. The calibration procedure and results for both a survey grating (450 g/mm) and a high-resolution (2100 g/mm) grating are presented. The spectrograph is currently in use on the DIII-D tokamak with a tangential line-of-sight at the plasma midplane. Data is first acquired and processed by a microcomputer; the absolute line intensities are then sent to the DIII-D database for comparison with data from other diagnostics. Representative data from DIII-D plasma operations will be presented. 6 refs., 3 figs., 1 tab

  19. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  20. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  1. ALMA WILL DETERMINE THE SPECTROSCOPIC REDSHIFT z > 8 WITH FIR [O III] EMISSION LINES

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, A. K.; Shimizu, I. [College of General Education, Osaka Sangyo University, 3-1-1 Nakagaito, Daito, Osaka 574-8530 (Japan); Tamura, Y. [Institute of Astronomy, The University of Tokyo, Mitaka, Tokyo 181-0015 (Japan); Matsuo, H. [National Astronomical Observatory of Japan, 2-21-1 Osawa, Mitaka, Tokyo 181-8588 (Japan); Okamoto, T. [Department of Cosmosciences, Graduate School of Science, Hokkaido University, N10 W8, Kitaku, Sapporo 060-0810 (Japan); Yoshida, N., E-mail: akinoue@las.osaka-sandai.ac.jp [Department of Physics, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-0033 (Japan)

    2014-01-10

    We investigate the potential use of nebular emission lines in the rest-frame far-infrared (FIR) for determining spectroscopic redshift of z > 8 galaxies with the Atacama Large Millimeter/submillimeter Array (ALMA). After making a line emissivity model as a function of metallicity, especially for the [O III] 88 μm line which is likely to be the strongest FIR line from H II regions, we predict the line fluxes from high-z galaxies based on a cosmological hydrodynamics simulation of galaxy formation. Since the metallicity of galaxies reaches at ∼0.2 Z {sub ☉} even at z > 8 in our simulation, we expect the [O III] 88 μm line as strong as 1.3 mJy for 27 AB objects, which is detectable at a high significance by <1 hr integration with ALMA. Therefore, the [O III] 88 μm line would be the best tool to confirm the spectroscopic redshifts beyond z = 8.

  2. A NEW Hα EMISSION-LINE SURVEY IN THE ORION NEBULA CLUSTER

    International Nuclear Information System (INIS)

    Szegedi-Elek, E.; Kun, M.; Pál, A.; Balázs, L. G.; Reipurth, B.; Willman, M.

    2013-01-01

    We present results from an Hα emission line survey in a 1 deg 2 area centered on the Orion Nebula Cluster, obtained with the Wide Field Grism Spectrograph 2 on the 2.2 m telescope of the University of Hawaii. We identified 587 stars with Hα emission, 99 of which, located mainly in the outer regions of the observed area, have not appeared in previous Hα surveys. We determined the equivalent width (EW) of the line and, based on this, classified 372 stars as classical T Tauri stars (CTTSs) and 187 as weak-line T Tauri stars (WTTSs). Simultaneous r', i' photometry indicates a limiting magnitude of r' ∼ 20 mag, but the sample is incomplete at r' > 17 mag. The surface distribution of the Hα emission stars reveals a clustered population and a dispersed population, the former consisting of younger and more massive young stars than the latter. Comparison of the derived EWs with those found in the literature indicates variability of the Hα line. We found that the typical amplitudes of the variability are not greater than a factor of two to three in most cases. We identified a subgroup of low-EW stars with infrared signatures indicative of optically thick accretion disks. We studied the correlations between the EW and other properties of the stars. Based on literature data, we examined several properties of our CTTS and WTTS subsamples and found significant differences in mid-infrared color indices, average rotational periods, and spectral energy distribution characteristics of the subsamples

  3. A NEW Hα EMISSION-LINE SURVEY IN THE ORION NEBULA CLUSTER

    Energy Technology Data Exchange (ETDEWEB)

    Szegedi-Elek, E.; Kun, M.; Pál, A.; Balázs, L. G. [Konkoly Observatory, H-1121 Budapest, Konkoly Thege út 15-17 (Hungary); Reipurth, B.; Willman, M., E-mail: eelza@konkoly.hu [Institute for Astronomy, University of Hawaii at Manoa, 640 N. Aohoku Place, Hilo, HI 96720 (United States)

    2013-10-01

    We present results from an Hα emission line survey in a 1 deg{sup 2} area centered on the Orion Nebula Cluster, obtained with the Wide Field Grism Spectrograph 2 on the 2.2 m telescope of the University of Hawaii. We identified 587 stars with Hα emission, 99 of which, located mainly in the outer regions of the observed area, have not appeared in previous Hα surveys. We determined the equivalent width (EW) of the line and, based on this, classified 372 stars as classical T Tauri stars (CTTSs) and 187 as weak-line T Tauri stars (WTTSs). Simultaneous r', i' photometry indicates a limiting magnitude of r' ∼ 20 mag, but the sample is incomplete at r' > 17 mag. The surface distribution of the Hα emission stars reveals a clustered population and a dispersed population, the former consisting of younger and more massive young stars than the latter. Comparison of the derived EWs with those found in the literature indicates variability of the Hα line. We found that the typical amplitudes of the variability are not greater than a factor of two to three in most cases. We identified a subgroup of low-EW stars with infrared signatures indicative of optically thick accretion disks. We studied the correlations between the EW and other properties of the stars. Based on literature data, we examined several properties of our CTTS and WTTS subsamples and found significant differences in mid-infrared color indices, average rotational periods, and spectral energy distribution characteristics of the subsamples.

  4. THE IMPORTANCE OF NEBULAR CONTINUUM AND LINE EMISSION IN OBSERVATIONS OF YOUNG MASSIVE STAR CLUSTERS

    International Nuclear Information System (INIS)

    Reines, Amy E.; Nidever, David L.; Whelan, David G.; Johnson, Kelsey E.

    2010-01-01

    In this spectroscopic study of infant massive star clusters, we find that continuum emission from ionized gas rivals the stellar luminosity at optical wavelengths. In addition, we find that nebular line emission is significant in many commonly used broadband Hubble Space Telescope (HST) filters including the F814W I-band, the F555W V-band, and the F435W B-band. Two young massive clusters (YMCs) in the nearby starburst galaxy NGC 4449 were targeted for follow-up spectroscopic observations after Reines et al. discovered an F814W I-band excess in their photometric study of radio-detected clusters in the galaxy. The spectra were obtained with the Dual Imaging Spectrograph (DIS) on the 3.5 m Apache Point Observatory (APO) telescope and have a spectral range of ∼3800-9800 A. We supplement these data with HST and Sloan Digital Sky Survey photometry of the clusters. By comparing our data to the Starburst99 and GALEV evolutionary synthesis models, we find that nebular continuum emission competes with the stellar light in our observations and that the relative contribution from the nebular continuum is largest in the U- and I-bands, where the Balmer (3646 A) and Paschen jumps (8207 A) are located. The spectra also exhibit strong line emission including the [S III] λλ9069, 9532 lines in the HST F814W I-band. We find that the combination of nebular continuum and line emission can account for the F814W I-band excess previously found by Reines et al. In an effort to provide a benchmark for estimating the impact of ionized gas emission on photometric observations of young massive stellar populations, we compute the relative contributions of the stellar continuum, nebular continuum, and emission lines to the total observed flux of a 3 Myr old cluster through various HST filter/instrument combinations, including filters in the Wide Field Camera 3. We urge caution when comparing observations of YMCs to evolutionary synthesis models since nebular continuum and line emission can

  5. FIRST DETECTION OF NEAR-INFRARED LINE EMISSION FROM ORGANICS IN YOUNG CIRCUMSTELLAR DISKS

    Energy Technology Data Exchange (ETDEWEB)

    Mandell, Avi M.; Mumma, Michael J.; Villanueva, Geronimo [Solar System Exploration Division, NASA Goddard Space Flight Center, Greenbelt, MD 20771 (United States); Bast, Jeanette; Van Dishoeck, Ewine F. [Leiden Observatory, Leiden University, P.O. Box 9513, 2300 RA Leiden (Netherlands); Blake, Geoffrey A. [California Institute of Technology, Division of Geological and Planetary Sciences, MS 150-21, Pasadena, CA 91125 (United States); Salyk, Colette, E-mail: Avi.Mandell@nasa.gov [Department of Astronomy, University of Texas, Austin, TX 78712 (United States)

    2012-03-10

    We present an analysis of high-resolution spectroscopy of several bright T Tauri stars using the CRIRES spectrograph on the Very Large Telescope and NIRSPEC spectrograph on the Keck Telescope, revealing the first detections of emission from HCN and C{sub 2}H{sub 2} in circumstellar disks at near-infrared wavelengths. Using advanced data reduction techniques, we achieve a dynamic range with respect to the disk continuum of {approx}500 at 3 {mu}m, revealing multiple emission features of H{sub 2}O, OH, HCN, and C{sub 2}H{sub 2}. We also present stringent upper limits for two other molecules thought to be abundant in the inner disk, CH{sub 4} and NH{sub 3}. Line profiles for the different detected molecules are broad but centrally peaked in most cases, even for disks with previously determined inclinations of greater than 20 Degree-Sign , suggesting that the emission has both a Keplerian and non-Keplerian component as observed previously for CO emission. We apply two different modeling strategies to constrain the molecular abundances and temperatures: we use a simplified single-temperature local thermal equilibrium (LTE) slab model with a Gaussian line profile to make line identifications and determine a best-fit temperature and initial abundance ratios, and we compare these values with constraints derived from a detailed disk radiative transfer model assuming LTE excitation but utilizing a realistic temperature and density structure. Abundance ratios from both sets of models are consistent with each other and consistent with expected values from theoretical chemical models, and analysis of the line shapes suggests that the molecular emission originates from within a narrow region in the inner disk (R < 1 AU).

  6. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  7. A new method of organizing spectral line intensity ratio fluctuations of auroral emissions

    International Nuclear Information System (INIS)

    Thelin, B.

    1986-02-01

    In this paper a new kind of linearization effect between the atmospheric auroral emissions is presented. The same kind of linearization effect has previously been found in nightglow emissions from photometer measurements and in the spectrochemical field from studies of optical light sources. Linear graphs have been obtained for atomic spectral lines and vibrational bandspectra when the spectral line ratio fluctuations were plotted versus the photon energies of these emissions. This new effect has been studied with a spectrophotometer in auroral emissions, where linear graphs have been obtained on different auroral occasions. By doing such studies of auroral light it is possible to see the importance of the inelastic scattering cross section between electrons - atoms and electrons - molecules. In this way it has shown to be possible to determine the mean energy of the interacting thermal electrons that are active in the different auroral phases. (author)

  8. Space density and clustering properties of a new sample of emission-line galaxies

    International Nuclear Information System (INIS)

    Wasilewski, A.J.

    1982-01-01

    A moderate-dispersion objective-prism survey for low-redshift emission-line galaxies has been carried out in an 825 sq. deg. region of sky with the Burrell Schmidt telescope of Case Western Reserve University. A 4 0 prism (300 A/mm at H#betta#) was used with the Illa-J emulsion to show that a new sample of emission-line galaxies is available even in areas already searched with the excess uv-continuum technique. The new emission-line galaxies occur quite commonly in systems with peculiar morphology indicating gravitational interaction with a close companion or other disturbance. About 10 to 15% of the sample are Seyfert galaxies. It is suggested that tidal interaction involving matter infall play a significant role in the generation of an emission-line spectrum. The space density of the new galaxies is found to be similar to the space density of the Makarian galaxies. Like the Markarian sample, the galaxies in the present survey represent about 10% of all galaxies in the absolute magnitude range M/sub p/ = -16 to -22. The observations also indicate that current estimates of dwarf galaxy space densities may be too low. The clustering properties of the new galaxies have been investigated using two approaches: cluster contour maps and the spatial correlation function. These tests suggest that there is weak clustering and possibly superclustering within the sample itself and that the galaxies considered here are about as common in clusters of ordinary galaxies as in the field

  9. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  10. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  11. High brightness extreme ultraviolet (at 13.5 nm) emission from time-of-flight controlled discharges with coaxial fuel injection

    International Nuclear Information System (INIS)

    Hosokai, Tomonao; Horioka, Kazuhiko; Hotta, Eiki; Yokoyama, Takuma; Sato, Hiroto; Zhidkov, Alexei

    2008-01-01

    Extreme ultraviolet (EUV) emission from discharge produced plasma with the coaxial injection of fuel vapor (tin and lithium) produced by laser ablation is experimentally studied. Multiple plasma pinches preceding a strong and long recombination radiation of EUV are observed in the first half cycle of a sinusoidal discharge current. Due to the time-of-flight control type of the discharge, the shape of pinch radiation pulses is almost identical. With the coaxial injection of time-of-flight controlled discharges, the highest brightness of EUV emission (maximum extracted energy of 244.3 mJ/2π sr per pulse with the emitter size of ∼1x0.3 mm 2 in full width at half maximum) is provided with efficiency exceeding 2% of deposited energy into the plasma (or 1% of dissipated energy in the discharge) due to a much better matching with the optimal plasma parameters in the recombination regime and a decrease in the off-duty factor. Stability of emitting plasma of the repetitive pinches is essentially improved with use of a second laser pulse

  12. ORIGIN OF THE GALACTIC DIFFUSE X-RAY EMISSION: IRON K-SHELL LINE DIAGNOSTICS

    Energy Technology Data Exchange (ETDEWEB)

    Nobukawa, Masayoshi [Department of Teacher Training and School Education, Nara University of Education, Takabatake-cho, Nara, 630-8528 (Japan); Uchiyama, Hideki [Faculty of Education, Shizuoka University, 836 Ohya, Suruga-ku, Shizuoka, 422-8529 (Japan); Nobukawa, Kumiko K.; Koyama, Katsuji [Department of Physics, Graduate School of Science, Kyoto University, Kitashirakawa-oiwake-cho, Sakyo-ku, Kyoto, 606-8502 (Japan); Yamauchi, Shigeo, E-mail: nobukawa@nara-edu.ac.jp [Department of Physics, Nara Women’s University, Kitauoyanishimachi, Nara, 630-8506 (Japan)

    2016-12-20

    This paper reports detailed K-shell line profiles of iron (Fe) and nickel (Ni) of the Galactic Center X-ray Emission (GCXE), Galactic Bulge X-ray Emission (GBXE), Galactic Ridge X-ray Emission (GRXE), magnetic Cataclysmic Variables (mCVs), non-magnetic Cataclysmic Variables (non-mCVs), and coronally Active Binaries (ABs). For the study of the origin of the GCXE, GBXE, and GRXE, the spectral analysis is focused on equivalent widths of the Fe i-K α , Fe xxv-He α , and Fe xxvi-Ly α  lines. The global spectrum of the GBXE is reproduced by a combination of the mCVs, non-mCVs, and ABs spectra. On the other hand, the GRXE spectrum shows significant data excesses at the Fe i-K α and Fe xxv-He α  line energies. This means that additional components other than mCVs, non-mCVs, and ABs are required, which have symbiotic phenomena of cold gas and very high-temperature plasma. The GCXE spectrum shows larger excesses than those found in the GRXE spectrum at all the K-shell lines of iron and nickel. Among them the largest ones are the Fe i-K α , Fe xxv-He α , Fe xxvi-Ly α , and Fe xxvi-Ly β  lines. Together with the fact that the scale heights of the Fe i-K α , Fe xxv-He α , and Fe xxvi-Ly α lines are similar to that of the central molecular zone (CMZ), the excess components would be related to high-energy activity in the extreme envelopment of the CMZ.

  13. FLARE-LIKE VARIABILITY OF THE Mg II {lambda}2800 EMISSION LINE IN THE {gamma}-RAY BLAZAR 3C 454.3

    Energy Technology Data Exchange (ETDEWEB)

    Leon-Tavares, J. [Finnish Centre for Astronomy with ESO (FINCA), University of Turku, Vaeisaelaentie 20, FI-21500 Piikkioe (Finland); Chavushyan, V.; Patino-Alvarez, V.; Carraminana, A.; Carrasco, L. [Instituto Nacional de Astrofisica Optica y Electronica (INAOE), Apartado Postal 51 y 216, 72000 Puebla (Mexico); Valtaoja, E. [Tuorla Observatory, Department of Physics and Astronomy, University of Turku, FI-20100 Turku (Finland); Arshakian, T. G. [I. Physikalisches Institut, Universitaet zu Koeln, Zuelpicher Str. 77, D-50937 Koeln (Germany); Popovic, L. C. [Astronomical Observatory, Volgina 7, 11160 Belgrade 74 (Serbia); Tornikoski, M.; Laehteenmaeki, A. [Aalto University Metsaehovi Radio Observatory, Metsaehovintie 114, FI-02540 Kylmaelae (Finland); Lobanov, A. [Max-Planck-Institut fuer Radioastronomie, Auf dem Huegel 69, D-53121 Bonn (Germany)

    2013-02-01

    We report the detection of a statistically significant flare-like event in the Mg II {lambda}2800 emission line of 3C 454.3 during the outburst of autumn 2010. The highest levels of emission line flux recorded over the monitoring period (2008-2011) coincide with a superluminal jet component traversing through the radio core. This finding crucially links the broad emission line fluctuations to the non-thermal continuum emission produced by relativistically moving material in the jet and hence to the presence of broad-line region clouds surrounding the radio core. If the radio core were located at several parsecs from the central black hole, then our results would suggest the presence of broad-line region material outside the inner parsec where the canonical broad-line region is envisaged to be located. We briefly discuss the implications of broad emission line material ionized by non-thermal continuum in the context of virial black hole mass estimates and gamma-ray production mechanisms.

  14. Spectroscopic survey of emission-line stars - I. B[e] stars

    Czech Academy of Sciences Publication Activity Database

    Aret, A.; Kraus, Michaela; Šlechta, Miroslav

    2016-01-01

    Roč. 456, č. 2 (2016), s. 1424-1437 ISSN 0035-8711 R&D Projects: GA ČR(CZ) GA14-21373S Institutional support: RVO:67985815 Keywords : circumstellar matter * stars * emission line Subject RIV: BN - Astronomy , Celestial Mechanics, Astrophysics Impact factor: 4.961, year: 2016

  15. OXYGEN METALLICITY DETERMINATIONS FROM OPTICAL EMISSION LINES IN EARLY-TYPE GALAXIES

    International Nuclear Information System (INIS)

    Athey, Alex E.; Bregman, Joel N.

    2009-01-01

    We measured the oxygen abundances of the warm (T ∼ 10 4 K) phase of gas in seven early-type galaxies through long-slit observations. A template spectra was constructed from galaxies void of warm gas and subtracted from the emission-line galaxies, allowing for a clean measurement of the nebular lines. The ratios of the emission lines are consistent with photoionization, which likely originates from the ultraviolet flux of postasymototic giant branch stars. We employ H II region photoionization models to determine a mean oxygen metallicity of 1.01 ± 0.50 solar for the warm interstellar medium (ISM) in this sample. This warm ISM 0.5-1.5 solar metallicity is consistent with modern determinations of the metallicity in the hot (T ∼ 10 6 -10 7 K) ISM and the upper range of this warm ISM metallicity is consistent with stellar population metallicity determinations. A solar metallicity of the warm ISM favors an internal origin for the warm ISM such as asymptotic giant branch mass loss within the galaxy.

  16. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  17. THE HETDEX PILOT SURVEY. I. SURVEY DESIGN, PERFORMANCE, AND CATALOG OF EMISSION-LINE GALAXIES

    International Nuclear Information System (INIS)

    Adams, Joshua J.; Blanc, Guillermo A.; Gebhardt, Karl; Hao, Lei; Byun, Joyce; Fry, Alex; Jeong, Donghui; Komatsu, Eiichiro; Hill, Gary J.; Cornell, Mark E.; MacQueen, Phillip J.; Drory, Niv; Bender, Ralf; Hopp, Ulrich; Kelzenberg, Ralf; Ciardullo, Robin; Gronwall, Caryl; Finkelstein, Steven L.; Gawiser, Eric; Kelz, Andreas

    2011-01-01

    We present a catalog of emission-line galaxies selected solely by their emission-line fluxes using a wide-field integral field spectrograph. This work is partially motivated as a pilot survey for the upcoming Hobby-Eberly Telescope Dark Energy Experiment. We describe the observations, reductions, detections, redshift classifications, line fluxes, and counterpart information for 397 emission-line galaxies detected over 169 □' with a 3500-5800 A bandpass under 5 A full-width-half-maximum (FWHM) spectral resolution. The survey's best sensitivity for unresolved objects under photometric conditions is between 4 and 20x 10 -17 erg s -1 cm -2 depending on the wavelength, and Lyα luminosities between 3 x 10 42 and 6 x 10 42 erg s -1 are detectable. This survey method complements narrowband and color-selection techniques in the search of high-redshift galaxies with its different selection properties and large volume probed. The four survey fields within the COSMOS, GOODS-N, MUNICS, and XMM-LSS areas are rich with existing, complementary data. We find 105 galaxies via their high-redshift Lyα emission at 1.9 44 □' which appear to be extended Lyα nebulae. We also find three high-z objects with rest-frame Lyα EW above the level believed to be achievable with normal star formation, EW 0 >240 A. Future papers will investigate the physical properties of this sample.

  18. Analyses of the Sn IX-Sn XII spectra in the EUV region

    International Nuclear Information System (INIS)

    Churilov, S S; Ryabtsev, A N

    2006-01-01

    The Sn IX-Sn XII spectra excited in a vacuum spark have been analysed in the 130-160 A wavelength region. The analysis was based on the energy parameter extrapolation in the isonuclear Sn VI-VIII and Sn XIII-XIV sequence. 266 spectral lines belonging to the 4d m -(4d m-1 4f+4p 5 4d m+1 ) (m=6-3) transition arrays were classified in the Sn IX-Sn XII spectra for the first time. All 18 level energies of the 4d 3 configuration and 39 level energies of the strongly interacting 4d 2 4f and 4p 5 4d 4 configurations were established in the Sn XII spectrum. The energy differences between the majority of the 4d m levels and about 40 levels of the 4d m-1 4f+4p 5 4d m+1 configurations were determined in each of the Sn IX, Sn X and Sn XI spectra (m=6-4). As a result, all intense lines were classified in the 130-140 A region relevant to the extreme ultraviolet (EUV) lithography. It was shown that the most of the intense lines in the 2% bandwidth at 135 A belong to the transitions in the Sn XI-Sn XIII spectra

  19. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  20. Solar Flare Termination Shock and Synthetic Emission Line Profiles of the Fe xxi 1354.08 Å Line

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Lijia [Lockheed Martin Solar and Astrophysics Laboratory, Palo Alto, CA (United States); Li, Gang [Department of Space Science and CSPAR, University of Alabama in Huntsville, Huntsville, AL (United States); Reeves, Kathy; Raymond, John, E-mail: gang.li@uah.edu [Harvard-Smithsonian Center for Astrophysics, Boston, MA (United States)

    2017-09-01

    Solar flares are among the most energetic phenomena that occur in the solar system. In the standard solar flare model, a fast mode shock, often referred to as the flare termination shock (TS), can exist above the loop-top source of hard X-ray emissions. The existence of the TS has been recently related to spectral hardening of a flare’s hard X-ray spectra at energies >300 keV. Observations of the Fe xxi 1354.08 Å line during solar flares by the Interface Region Imaging Spectrograph ( IRIS ) spacecraft have found significant redshifts with >100 km s{sup −1}, which is consistent with a reconnection downflow. The ability to detect such a redshift with IRIS suggests that one may be able to use IRIS observations to identify flare TSs. Using a magnetohydrodynamic simulation to model magnetic reconnection of a solar flare and assuming the existence of a TS in the downflow of the reconnection plasma, we model the synthetic emission of the Fe xxi 1354.08 line in this work. We show that the existence of the TS in the solar flare may manifest itself in the Fe xxi 1354.08 Å line.

  1. Neutral Hydrogen and Its Emission Lines in the Solar Corona

    Science.gov (United States)

    Vial, Jean-Claude; Chane-Yook, Martine

    2016-12-01

    Since the Lyman-α rocket observations of Gabriel ( Solar Phys. 21, 392, 1971), it has been realized that the hydrogen (H) lines could be observed in the corona and that they offer an interesting diagnostic for the temperature, density, and radial velocity of the coronal plasma. Moreover, various space missions have been proposed to measure the coronal magnetic and velocity fields through polarimetry in H lines. A necessary condition for such measurements is to benefit from a sufficient signal-to-noise ratio. The aim of this article is to evaluate the emission in three representative lines of H for three different coronal structures. The computations have been performed with a full non-local thermodynamic-equilibrium (non-LTE) code and its simplified version without radiative transfer. Since all collisional and radiative quantities (including incident ionizing and exciting radiation) are taken into account, the ionization is treated exactly. Profiles are presented at two heights (1.05 and 1.9 solar radii, from Sun center) in the corona, and the integrated intensities are computed at heights up to five solar radii. We compare our results with previous computations and observations ( e.g. Lα from Ultraviolet Coronal Spectrometer) and find a rough (model-dependent) agreement. Since the Hα line is a possible candidate for ground-based polarimetry, we show that in order to detect its emission in various coronal structures, it is necessary to use a very narrow (less than 2 Å wide) bandpass filter.

  2. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  3. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  4. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  5. Effective collision strengths of quasar ultraviolet emission lines

    International Nuclear Information System (INIS)

    Osterbrock, D.E.; Wallace, R.K.

    1977-01-01

    The best available published collision strengths for excitation of permitted and semiforbidden emission lines of abundant ions observed or expected in quasars have been collected and averaged over Maxwellian velocity distributions. For a few ions for which calculations are not available, extrapolation along isoelectronic sequences or in principal quantum number n was used to estimate values. These collision strengths were used to correct differentially published photoionization models of quasars, and the corrected models compared with published observational data

  6. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  7. The Ca II resonance lines in M dwarf stars without H-alpha emission

    Energy Technology Data Exchange (ETDEWEB)

    Giampapa, M.S.; Cram, L.E.; Wild, W.J. (National Solar Observatory, Tucson, AZ (USA) Sydney Univ. (Australia) Arizona Univ., Tucson (USA))

    1989-10-01

    Spectra of the Ca II H and K lines in a sample of 31 M dwarf stars without H-alpha emission are used to calculate chromospheric K line radiative losses, F(k), and to study the joint response of Ca II K and H-alpha to chromospheric heating in dwarf M stars. It is suggested that the poor correlation found in the equivalent width - log F(K) diagram may be due either to radial segregation of the H-alpha and K line forming regions or to lateral inhomogeneities in the chromospheres. The results confirm the existence of dM stars with weak H-alpha absorption and K line emission only slightly weaker than that of the dMe stars, and show that dM stars with weak H-alpha but kinematics and metallicities representative of the young disk population belong to a class characterized by a comparatively high degree of chromospheric activity. 32 refs.

  8. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  9. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  10. Galaxy emission line classification using three-dimensional line ratio diagrams

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Frédéric P. A.; Dopita, Michael A.; Kewley, Lisa J.; Sutherland, Ralph S. [Research School of Astronomy and Astrophysics, Australian National University, Canberra, ACT 2611 (Australia); Scharwächter, Julia [Observatoire de Paris, LERMA (CNRS: UMR8112), 61 Av. de l' Observatoire, F-75014 Paris (France); Basurah, Hassan M.; Ali, Alaa; Amer, Morsi A., E-mail: frederic.vogt@anu.edu.au [Astronomy Department, King Abdulaziz University, P.O. Box 80203, Jeddah (Saudi Arabia)

    2014-10-01

    Two-dimensional (2D) line ratio diagnostic diagrams have become a key tool in understanding the excitation mechanisms of galaxies. The curves used to separate the different regions—H II-like or excited by an active galactic nucleus (AGN)—have been refined over time but the core technique has not evolved significantly. However, the classification of galaxies based on their emission line ratios really is a multi-dimensional problem. Here we exploit recent software developments to explore the potential of three-dimensional (3D) line ratio diagnostic diagrams. We introduce the ZQE diagrams, which are a specific set of 3D diagrams that separate the oxygen abundance and the ionization parameter of H II region-like spectra and also enable us to probe the excitation mechanism of the gas. By examining these new 3D spaces interactively, we define the ZE diagnostics, a new set of 2D diagnostics that can provide the metallicity of objects excited by hot young stars and that cleanly separate H II region-like objects from the different classes of AGNs. We show that these ZE diagnostics are consistent with the key log [N II]/Hα versus log [O III]/Hβ diagnostic currently used by the community. They also have the advantage of attaching a probability that a given object belongs to one class or the other. Finally, we discuss briefly why ZQE diagrams can provide a new way to differentiate and study the different classes of AGNs in anticipation of a dedicated follow-up study.

  11. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  12. The Number Density Evolution of Extreme Emission Line Galaxies in 3D-HST: Results from a Novel Automated Line Search Technique for Slitless Spectroscopy

    Science.gov (United States)

    Maseda, Michael V.; van der Wel, Arjen; Rix, Hans-Walter; Momcheva, Ivelina; Brammer, Gabriel B.; Franx, Marijn; Lundgren, Britt F.; Skelton, Rosalind E.; Whitaker, Katherine E.

    2018-02-01

    The multiplexing capability of slitless spectroscopy is a powerful asset in creating large spectroscopic data sets, but issues such as spectral confusion make the interpretation of the data challenging. Here we present a new method to search for emission lines in the slitless spectroscopic data from the 3D-HST survey utilizing the Wide-Field Camera 3 on board the Hubble Space Telescope. Using a novel statistical technique, we can detect compact (extended) emission lines at 90% completeness down to fluxes of 1.5(3.0)× {10}-17 {erg} {{{s}}}-1 {{cm}}-2, close to the noise level of the grism exposures, for objects detected in the deep ancillary photometric data. Unlike previous methods, the Bayesian nature allows for probabilistic line identifications, namely redshift estimates, based on secondary emission line detections and/or photometric redshift priors. As a first application, we measure the comoving number density of Extreme Emission Line Galaxies (restframe [O III] λ5007 equivalent widths in excess of 500 Å). We find that these galaxies are nearly 10× more common above z ∼ 1.5 than at z ≲ 0.5. With upcoming large grism surveys such as Euclid and WFIRST, as well as grisms featured prominently on the NIRISS and NIRCam instruments on the James Webb Space Telescope, methods like the one presented here will be crucial for constructing emission line redshift catalogs in an automated and well-understood manner. This work is based on observations taken by the 3D-HST Treasury Program and the CANDELS Multi-Cycle Treasury Program with the NASA/ESA HST, which is operated by the Association of Universities for Research in Astronomy, Inc., under NASA contract NAS5-26555.

  13. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  14. Non-Gaussian Velocity Distributions in Solar Flares from Extreme Ultraviolet Lines: A Possible Diagnostic of Ion Acceleration

    International Nuclear Information System (INIS)

    Jeffrey, Natasha L. S.; Fletcher, Lyndsay; Labrosse, Nicolas

    2017-01-01

    In a solar flare, a large fraction of the magnetic energy released is converted rapidly to the kinetic energy of non-thermal particles and bulk plasma motion. This will likely result in non-equilibrium particle distributions and turbulent plasma conditions. We investigate this by analyzing the profiles of high temperature extreme ultraviolet emission lines from a major flare (SOL2014-03-29T17:44) observed by the EUV Imaging Spectrometer (EIS) on Hinode . We find that in many locations the line profiles are non-Gaussian, consistent with a kappa distribution of emitting ions with properties that vary in space and time. At the flare footpoints, close to sites of hard X-ray emission from non-thermal electrons, the κ index for the Fe xvi 262.976 Å line at 3 MK takes values of 3–5. In the corona, close to a low-energy HXR source, the Fe xxiii 263.760 Å line at 15 MK shows κ values of typically 4–7. The observed trends in the κ parameter show that we are most likely detecting the properties of the ion population rather than any instrumental effects. We calculate that a non-thermal ion population could exist if locally accelerated on timescales ≤0.1 s. However, observations of net redshifts in the lines also imply the presence of plasma downflows, which could lead to bulk turbulence, with increased non-Gaussianity in cooler regions. Both interpretations have important implications for theories of solar flare particle acceleration.

  15. Image isocon observations of emission lines in the spectrum of lambda Cephei

    International Nuclear Information System (INIS)

    Hutchings, J.B.; Sanyal, A.

    1976-01-01

    Line profiles of the lambda lambda 4640, 4686 emission lines were observed in the spectrum of lambda Cep, with high time resolution, and high signal-to-noise ratio. Profile changes above the 1 percent level can occur within hours. Possible periodicities in profile changes suggest a connection with rapid stellar rotation. The lambda 4686 profile is consistent with a rotating nonspherical envelope

  16. Outer atmospheres of cool stars. XII - A survey of IUE ultraviolet emission line spectra of cool dwarf stars

    Science.gov (United States)

    Linsky, J. L.; Bornmann, P. L.; Carpenter, K. G.; Hege, E. K.; Wing, R. F.; Giampapa, M. S.; Worden, S. P.

    1982-01-01

    Quantitative information is obtained on the chromospheres and transition regions of M dwarf stars, in order to determine how the outer atmospheres of dMe stars differ from dM stars and how they compare with the outer atmospheres of quiet and active G and K type dwarfs. IUE spectra of six dMe and four dM stars, together with ground-based photometry and spectroscopy of the Balmer and Ca II H and K lines, show no evidence of flares. It is concluded, regarding the quiescent behavior of these stars, that emission-line spectra resemble that of the sun and contain emission lines formed in regions with 4000-20,000 K temperatures that are presumably analogous to the solar chromosphere, as well as regions with temperatures of 20,000-200,000 K that are presumably analogous to the solar transition region. Emission-line surface fluxes are proportional to the emission measure over the range of temperatures at which the lines are formed.

  17. Rings of Molecular Line Emission in the Disk Orbiting the Young, Close Binary V4046 Sgr

    Science.gov (United States)

    Dickson-Vandervelde, Dorothy; Kastner, Joel H.; Qi, C.; Forveille, Thierry; Hily-Blant, Pierre; Oberg, Karin; Wilner, David; Andrews, Sean; Gorti, Uma; Rapson, Valerie; Sacco, Germano; Principe, David

    2018-01-01

    We present analysis of a suite of subarcsecond ALMA Band 6 (1.1 - 1.4 mm) molecular line images of the circumbinary, protoplanetary disk orbiting V4046 Sgr. The ~20 Myr-old V4046 Sgr system, which lies a mere ~73 pc from Earth, consists of a close (separation ~10 Rsun) pair of roughly solar-mass stars that are orbited by a gas-rich crcumbinary disk extending to ~350 AU in radius. The ALMA images reveal that the molecules CO and HCN and their isotopologues display centrally peaked surface brightness morphologies, whereas the cyanide group molecules (HC3N, CH3CN), deuterated molecules (DCN, DCO+), hydrocarbons (as traced by C2H), and potential CO ice line tracers (N2H+, and H2CO) appear as a sequence of sharp and diffuse rings of increasing radii. The characteristic sizes of these molecular emission rings, which range from ~25 to >100 AU in radius, are evident in radial emission-line surface brightness profiles extracted from the deprojected disk images. We find that emission from 13CO emission transitions from optically thin to thick within ~50 AU, whereas C18O emission remains optically thin within this radius. We summarize the insight into the physical and chemical processes within this evolved protoplanetary disk that can be obtained from comparisons of the various emission-line morphologies with each other and with that of the continuum (large-grain) emission on size scales of tens of AU.This research is supported by NASA Exoplanets program grant NNX16AB43G to RIT

  18. Laboratory measurements of the x-ray line emission from neon-like Fe XVII

    International Nuclear Information System (INIS)

    Brown, G V; Beiersdorfer, P; Chen, H; Scofield, J; Boyce, K R; Kelley, R L; Kilbourne, C A; Porter, F S; Gu, M F; Kahn, S M; Szymkowiak, A E

    2006-01-01

    The authors have conducted a systematic study of the dominant x-ray line emission from Fe XVII. These studies include relative line intensities in the optically thin limit, intensities in the presence of radiation from satellite lines from lower charge states of iron, and the absolute excitation cross sections of some of the strongest lines. These measurements were conducted at the Lawrence Livermore National Laboratory electron beam ion trap facility using crystal spectrometers and a NASA-Goddard Space Flight Center microcalorimeter array

  19. Quantum degeneracy corrections to plasma line emission and to Saha equation

    International Nuclear Information System (INIS)

    Molinari, V.G.; Mostacci, D.; Rocchi, F.; Sumini, M.

    2003-01-01

    The effect of quantum degeneracy on the electron collisional excitation is investigated, and its effects on line emission evaluated for applications to spectroscopy of dense, cold plasmas. A correction to Saha equation for weakly-degenerate plasmas is also presented

  20. Shock-related radio emission during coronal mass ejection lift-off?

    OpenAIRE

    Pohjolainen, S.

    2008-01-01

    Aims: We identify the source of fast-drifting decimetric-metric radio emission that is sometimes observed prior to the so-called flare continuum emission. Fast-drift structures and continuum bursts are also observed in association with coronal mass ejections (CMEs), not only flares. Methods: We analyse radio spectral features and images acquired at radio, H-alpha, EUV, and soft X-ray wavelengths, during an event close to the solar limb on 2 June 2003. Results: The fast-drifting decimetric-met...

  1. A volume-limited ROSAT survey of extreme ultraviolet emission from all nondegenerate stars within 10 parsecs

    Science.gov (United States)

    Wood, Brian E.; Brown, Alexander; Linsky, Jeffrey L.; Kellett, Barry J.; Bromage, Gordon E.; Hodgkin, Simon T.; Pye, John P.

    1994-01-01

    We report the results of a volume-limited ROSAT Wide Field Camera (WFC) survey of all nondegenerate stars within 10 pc. Of the 220 known star systems within 10 pc, we find that 41 are positive detections in at least one of the two WFC filter bandpasses (S1 and S2), while we consider another 14 to be marginal detections. We compute X-ray luminosities for the WFC detections using Einstein Imaging Proportional Counter (IPC) data, and these IPC luminosities are discussed along with the WFC luminosities throughout the paper for purposes of comparison. Extreme ultraviolet (EUV) luminosity functions are computed for single stars of different spectral types using both S1 and S2 luminosities, and these luminosity functions are compared with X-ray luminosity functions derived by previous authors using IPC data. We also analyze the S1 and S2 luminosity functions of the binary stars within 10 pc. We find that most stars in binary systems do not emit EUV radiation at levels different from those of single stars, but there may be a few EUV-luminous multiple-star systems which emit excess EUV radiation due to some effect of binarity. In general, the ratio of X-ray luminosity to EUV luminosity increases with increasing coronal emission, suggesting that coronally active stars have higher coronal temperatures. We find that our S1, S2, and IPC luminosities are well correlated with rotational velocity, and we compare activity-rotation relations determined using these different luminosities. Late M stars are found to be significantly less luminous in the EUV than other late-type stars. The most natural explanation for this results is the concept of coronal saturation -- the idea that late-type stars can emit only a limited fraction of their total luminosity in X-ray and EUV radiation, which means stars with very low bolometric luminosities must have relatively low X-ray and EUV luminosities as well. The maximum level of coronal emission from stars with earlier spectral types is studied

  2. CO LINE EMISSION FROM COMPACT NUCLEAR STARBURST DISKS AROUND ACTIVE GALACTIC NUCLEI

    Energy Technology Data Exchange (ETDEWEB)

    Armour, J. N.; Ballantyne, D. R., E-mail: jarmour3@gatech.edu [Center for Relativistic Astrophysics, School of Physics, Georgia Institute of Technology, 837 State Street, Atlanta, GA 30332-0430 (United States)

    2012-06-20

    There is substantial evidence for a connection between star formation in the nuclear region of a galaxy and growth of the central supermassive black hole. Furthermore, starburst activity in the region around an active galactic nucleus (AGN) may provide the obscuration required by the unified model of AGNs. Molecular line emission is one of the best observational avenues to detect and characterize dense, star-forming gas in galactic nuclei over a range of redshift. This paper presents predictions for the carbon monoxide (CO) line features from models of nuclear starburst disks around AGNs. These small-scale ({approx}< 100 pc), dense and hot starbursts have CO luminosities similar to scaled-down ultra-luminous infrared galaxies and quasar host galaxies. Nuclear starburst disks that exhibit a pc-scale starburst and could potentially act as the obscuring torus show more efficient CO excitation and higher brightness temperature ratios than those without such a compact starburst. In addition, the compact starburst models predict strong absorption when J{sub Upper} {approx}> 10, a unique observational signature of these objects. These findings allow for the possibility that CO spectral line energy distributions (SLEDs) could be used to determine if starburst disks are responsible for the obscuration in z {approx}< 1 AGNs. Directly isolating the nuclear CO line emission of such compact regions around AGNs from galactic-scale emission will require high-resolution imaging or selecting AGN host galaxies with weak galactic-scale star formation. Stacking individual CO SLEDs will also be useful in detecting the predicted high-J features.

  3. Discovery of the double Doppler-shifted emission-line systems in the X-ray spectrum of SS 433

    Science.gov (United States)

    Kotani, Taro; Kawai, Nobuyuki; Aoki, Takashi; Doty, John; Matsuoka, Masaru; Mitsuda, Kazuhisa; Nagase, Fumiaki; Ricker, George; White, Nick E.

    1994-01-01

    We have used the CCD X-ray spectrometers on ASCA and resolved the X-ray emission line from the jet of SS 433 both into Doppler-shifted components with two distinct velocities, and into emission from different ionization states of iron, i.e., Fe XXV and Fe XXVI. This is the first direct detection of the two Doppler shifted beams in the X-ray spectra of SS 433 and allows the radial velocity of the jet along the line of sight to be determined with an accuracy comparable to the optical spectroscopy. We also found pairs of emission lines from other atomic species, such as ionized silicon and sulfur, with the Doppler shifts consistent with each other. This confirms the origin of the X-ray emission in the high temperature plasma in the jets.

  4. X-ray emission from comets

    International Nuclear Information System (INIS)

    Dennerl, Konrad

    1999-01-01

    When comet Hyakutake (C/1996 B2) encountered Earth in March 1996 at a minimum distance of only 15 million kilometers (40 times the distance of the moon), x-ray and extreme ultraviolet emission was discovered for the first time from a comet. The observations were performed with the astronomy satellites ROSAT and EUVE. A systematic search for x-rays from comets in archival data, obtained during the ROSAT all-sky survey in 1990/91, resulted in the discovery of x-ray emission from four additional comets. They were detected at seven occasions in total, when they were optically 300 to 30 000 times fainter than Hyakutake. These findings indicated that comets represent a new class of celestial x-ray sources. Subsequent detections of x-ray emission from additional comets with the satellites ROSAT, EUVE, and BeppoSAX confirmed this conclusion. The x-ray observations have obviously revealed the presence of a process in comets which had escaped attention until recently. This process is most likely charge exchange between highly charged heavy ions in the solar wind and cometary neutrals. The solar wind, a stream of particles continuously emitted from the sun with ≅ 400 km s -1 , consists predominantly of protons, electrons, and alpha particles, but contains also a small fraction (≅0.1%) of highly charged heavier ions, such as C 6+ ,O 6+ ,Ne 8+ ,Si 9+ ,Fe 11+ . When these ions capture electrons from the cometary gas, they attain highly excited states and radiate a large fraction of their excitation energy in the extreme ultraviolet and x-ray part of the spectrum. Charge exchange reproduces the intensity, the morphology and the spectrum of the observed x-ray emission from comets very well

  5. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  6. DISSECTING THE POWER SOURCES OF LOW-LUMINOSITY EMISSION-LINE GALAXY NUCLEI VIA COMPARISON OF HST-STIS AND GROUND-BASED SPECTRA

    Energy Technology Data Exchange (ETDEWEB)

    Constantin, Anca; Castillo, Christopher A. [Department of Physics and Astronomy, James Madison University, Harrisonburg, VA 22807 (United States); Shields, Joseph C. [Department of Physics and Astronomy, Ohio University, Athens, OH 45701 (United States); Ho, Luis C. [Kavli Institute for Astronomy and Astrophysics, Peking University, Beijing 100871 (China); Barth, Aaron J. [Department of Physics and Astronomy, University of California, Irvine, CA 92697-4575 (United States); Filippenko, Alexei V. [Department of Astronomy, University of California, Berkeley, CA 94720-3411 (United States)

    2015-12-01

    Using a sample of ∼100 nearby line-emitting galaxy nuclei, we have built the currently definitive atlas of spectroscopic measurements of Hα and neighboring emission lines at subarcsecond scales. We employ these data in a quantitative comparison of the nebular emission in Hubble Space Telescope (HST) and ground-based apertures, which offer an order-of-magnitude difference in contrast, and provide new statistical constraints on the degree to which transition objects and low-ionization nuclear emission-line regions (LINERs) are powered by an accreting black hole at ≲10 pc. We show that while the small-aperture observations clearly resolve the nebular emission, the aperture dependence in the line ratios is generally weak, and this can be explained by gradients in the density of the line-emitting gas: the higher densities in the more nuclear regions potentially flatten the excitation gradients, suppressing the forbidden emission. The transition objects show a threefold increase in the incidence of broad Hα emission in the high-resolution data, as well as the strongest density gradients, supporting the composite model for these systems as accreting sources surrounded by star-forming activity. The narrow-line LINERs appear to be the weaker counterparts of the Type 1 LINERs, where the low accretion rates cause the disappearance of the broad-line component. The enhanced sensitivity of the HST observations reveals a 30% increase in the incidence of accretion-powered systems at z ≈ 0. A comparison of the strength of the broad-line emission detected at different epochs implies potential broad-line variability on a decade-long timescale, with at least a factor of three in amplitude.

  7. 40 CFR Table 36 to Subpart Uuu of... - Work Practice Standards for HAP Emissions From Bypass Lines

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 12 2010-07-01 2010-07-01 true Work Practice Standards for HAP Emissions From Bypass Lines 36 Table 36 to Subpart UUU of Part 63 Protection of Environment ENVIRONMENTAL..., Table 36 Table 36 to Subpart UUU of Part 63—Work Practice Standards for HAP Emissions From Bypass Lines...

  8. STRONG FIELD EFFECTS ON EMISSION LINE PROFILES: KERR BLACK HOLES AND WARPED ACCRETION DISKS

    International Nuclear Information System (INIS)

    Wang Yan; Li Xiangdong

    2012-01-01

    If an accretion disk around a black hole is illuminated by hard X-rays from non-thermal coronae, fluorescent iron lines will be emitted from the inner region of the accretion disk. The emission line profiles will show a variety of strong field effects, which may be used as a probe of the spin parameter of the black hole and the structure of the accretion disk. In this paper, we generalize the previous relativistic line profile models by including both the black hole spinning effects and the non-axisymmetries of warped accretion disks. Our results show different features from the conventional calculations for either a flat disk around a Kerr black hole or a warped disk around a Schwarzschild black hole by presenting, at the same time, multiple peaks, rather long red tails, and time variations of line profiles with the precession of the disk. We show disk images as seen by a distant observer, which are distorted by the strong gravity. Although we are primarily concerned with the iron K-shell lines in this paper, the calculation is general and is valid for any emission lines produced from a warped accretion disk around a black hole.

  9. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  10. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  11. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  12. 40 CFR 1045.320 - What happens if one of my production-line engines fails to meet emission standards?

    Science.gov (United States)

    2010-07-01

    ...-line engines fails to meet emission standards? 1045.320 Section 1045.320 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR POLLUTION CONTROLS CONTROL OF EMISSIONS FROM SPARK-IGNITION PROPULSION MARINE ENGINES AND VESSELS Testing Production-line Engines § 1045.320 What happens if one of my...

  13. 40 CFR 1048.320 - What happens if one of my production-line engines fails to meet emission standards?

    Science.gov (United States)

    2010-07-01

    ...-line engines fails to meet emission standards? 1048.320 Section 1048.320 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR POLLUTION CONTROLS CONTROL OF EMISSIONS FROM NEW, LARGE NONROAD SPARK-IGNITION ENGINES Testing Production-line Engines § 1048.320 What happens if one of my production...

  14. Atomic emission spectroscopy for the on-line monitoring of incineration processes

    NARCIS (Netherlands)

    Timmermans, E.A.H.; de Groote, F.P.J.; Jonkers, J.; Gamero, A.; Sola, A.; Mullen, van der J.J.A.M.

    2003-01-01

    A diagnostic measurement system based on atomic emission spectroscopy has been developed for the purpose of on-line monitoring of hazardous elements in industrial combustion gases. The aim was to construct a setup with a high durability for rough and variable experimental conditions, e.g. a strongly

  15. Emission-line widths and stellar-wind flows in T Tauri stars

    International Nuclear Information System (INIS)

    Sa, C.; Lago, M.T.V.T.

    1986-01-01

    Spectra are reported of T Tauri stars taken with the IPCS on the Isaac Newton Telescope at the Observatorio del Roque de los Muchachos at a dispersion of l7 A mm -1 . These were taken in order to determine emission-line widths and hence flow velocities in the winds of these stars following the successful modelling of the wind from RU Lupi using such data. Line widths in RW Aur suggest a similar pattern to the wind flow as in RU Lupi with velocities rising in the inner chromosphere of the star and then entering a 'ballistic' zone. The wind from DFTau is also similar but velocities are generally much lower and the lines sharper. (author)

  16. THE JET POWER AND EMISSION-LINE CORRELATIONS OF RADIO-LOUD OPTICALLY SELECTED QUASARS

    International Nuclear Information System (INIS)

    Punsly, Brian; Zhang Shaohua

    2011-01-01

    In this Letter, the properties of the extended radio emission form Sloan Digital Sky Survey Data Release 7 quasars with 0.4 20-30 kpc). The frequency of quasars with FR II level extended radio emission is ∼2.3% and >0.4% of quasars have FR I level extended radio emission. The lower limit simply reflects the flux density limit of the survey. The distribution of the long-term time-averaged jet powers of these quasars, Q-bar , has a broad peak ∼3 x 10 44 erg s -1 that turns over below 10 44 erg s -1 and sources above 10 45 erg s -1 are extremely rare. It is found that the correlation between the bolometric (total thermal) luminosity of the accretion flow, L bol , and Q-bar is not strong. The correlation of Q-bar with narrow line luminosity is stronger than the correlation with broad line luminosity and the continuum luminosity. It is therefore concluded that previous interpretations of correlations of Q-bar with narrow line strengths in radio galaxies as a direct correlation of jet power and accretion power have been overstated. It is explained why this interpretation mistakenly overlooks the sizeable fraction of sources with weak accretion luminosity and powerful jets discovered by Ogle et al.

  17. Nonlocal electron kinetics and spectral line emission in the positive column of an argon glow discharge

    International Nuclear Information System (INIS)

    Golubovskii, Yu; Kalanov, D; Gorchakov, S; Uhrlandt, D

    2015-01-01

    Modern non-local electron kinetics theory predicts several interesting effects connected with spectral line emission from the positive column in the range of low and medium pressures and currents. Some theoretical works describe non-monotonic behavior of the radial profiles of line emission at intermediate pressures and currents between the validity ranges of the non-local and local approximation of the electron kinetics. Despite a great number of publications, there have been no systematic measurements attempting to confirm these theoretical predictions through experiments. In this work the radial profiles of the line emission from the positive column of an argon glow discharge have been measured with high spatial resolution and new effects caused by the narrowing and broadening of the spatial emission profiles with dependence on discharge conditions have been discovered. The effect of intensity maximum shift predicted by theory using a self-consistent model was not found in the experiment. The properties of the spectral line radiation are influenced by the peculiarities of the formation of the high-energy tail of the electron energy distribution function. An interpretation of the observed effects based on the non-local character of the electron kinetics in radially inhomogeneous fields is given. The obtained experimental data are compared with the results of calculations. (paper)

  18. ALMA IMAGING OF THE CO (6-5) LINE EMISSION IN NGC 7130

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yinghe [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Lu, Nanyao [National Astronomical Observatories of China, Chinese Academy of Sciences, Beijing 100012 (China); Xu, C. Kevin; Appleton, Philip; Murphy, Eric [Infrared Processing and Analysis Center, California Institute of Technology 100-22, Pasadena, CA 91125 (United States); Gao, Yu [Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China); Barcos-Munõz, Loreto [Department of Astronomy, University of Virginia, 530 McCormick Road, Charlottesville, VA 22904 (United States); Díaz-Santos, Tanio [Núcleo de Astronomía de la Facultad de Ingeniería, Universidad Diego Portales, Av. Ejército Libertador 441, Santiago (Chile); Charmandaris, Vassilis [Department of Physics, University of Crete, GR-71003 Heraklion (Greece); Armus, Lee [Spitzer Science Center, California Institute of Technology, MS 220-6, Pasadena, CA 91125 (United States); Van der Werf, Paul [Leiden Observatory, Leiden University, P.O. Box 9513, 2300 RA Leiden (Netherlands); Evans, Aaron [National Radio Astronomy Observatory, Charlottesville, VA 22904 (United States); Cao, Chen [School of Space Science and Physics, Shandong University at Weihai, Weihai, Shandong 264209 (China); Inami, Hanae, E-mail: zhaoyinghe@gmail.com [National Optical Astronomy Observatory, 950 North Cherry Avenue, Tucson, AZ 85719 (United States)

    2016-04-01

    In this paper, we report our high-resolution (0.″20 × 0.″14 or ∼70 × 49 pc) observations of the CO(6-5) line emission, which probes warm and dense molecular gas, and the 434 μm dust continuum in the nuclear region of NGC 7130, obtained with the Atacama Large Millimeter Array (ALMA). The CO line and dust continuum fluxes detected in our ALMA observations are 1230 ± 74 Jy km s{sup −1} and 814 ± 52 mJy, respectively, which account for 100% and 51% of their total fluxes. We find that the CO(6-5) and dust emissions are generally spatially correlated, but their brightest peaks show an offset of ∼70 pc, suggesting that the gas and dust emissions may start decoupling at this physical scale. The brightest peak of the CO(6-5) emission does not spatially correspond to the radio continuum peak, which is likely dominated by an active galactic nucleus (AGN). This, together with our additional quantitative analysis, suggests that the heating contribution of the AGN to the CO(6-5) emission in NGC 7130 is negligible. The CO(6-5) and the extinction-corrected Pa-α maps display striking differences, suggestive of either a breakdown of the correlation between warm dense gas and star formation at linear scales of <100 pc or a large uncertainty in our extinction correction to the observed Pa-α image. Over a larger scale of ∼2.1 kpc, the double-lobed structure found in the CO(6-5) emission agrees well with the dust lanes in the optical/near-infrared images.

  19. ALMA IMAGING OF THE CO (6-5) LINE EMISSION IN NGC 7130

    International Nuclear Information System (INIS)

    Zhao, Yinghe; Lu, Nanyao; Xu, C. Kevin; Appleton, Philip; Murphy, Eric; Gao, Yu; Barcos-Munõz, Loreto; Díaz-Santos, Tanio; Charmandaris, Vassilis; Armus, Lee; Van der Werf, Paul; Evans, Aaron; Cao, Chen; Inami, Hanae

    2016-01-01

    In this paper, we report our high-resolution (0.″20 × 0.″14 or ∼70 × 49 pc) observations of the CO(6-5) line emission, which probes warm and dense molecular gas, and the 434 μm dust continuum in the nuclear region of NGC 7130, obtained with the Atacama Large Millimeter Array (ALMA). The CO line and dust continuum fluxes detected in our ALMA observations are 1230 ± 74 Jy km s −1 and 814 ± 52 mJy, respectively, which account for 100% and 51% of their total fluxes. We find that the CO(6-5) and dust emissions are generally spatially correlated, but their brightest peaks show an offset of ∼70 pc, suggesting that the gas and dust emissions may start decoupling at this physical scale. The brightest peak of the CO(6-5) emission does not spatially correspond to the radio continuum peak, which is likely dominated by an active galactic nucleus (AGN). This, together with our additional quantitative analysis, suggests that the heating contribution of the AGN to the CO(6-5) emission in NGC 7130 is negligible. The CO(6-5) and the extinction-corrected Pa-α maps display striking differences, suggestive of either a breakdown of the correlation between warm dense gas and star formation at linear scales of <100 pc or a large uncertainty in our extinction correction to the observed Pa-α image. Over a larger scale of ∼2.1 kpc, the double-lobed structure found in the CO(6-5) emission agrees well with the dust lanes in the optical/near-infrared images

  20. X-ray heating and ionization of broad-emission-line regions in QSO's and active galaxies

    International Nuclear Information System (INIS)

    Weisheit, J.C.; Shields, G.A.; Tarter, C.B.

    1980-07-01

    Absorption of x-rays deep within the broad-line emitting clouds in QSO's and the nuclei of active galaxies creates extensive zones of warm (T approx. 10 4 K), partially ionized N/sub e//N approx. 0.1) gas. Because Lyman alpha photons are trapped in these regions, the x-ray energy is efficiently channeled into Balmer lines collisionally excited from the n = 2 level. The HI regions plus the HII regions created by ultraviolet photons illuminating the surfaces of the clouds give rise to integrated Lα/Hα line emission ratios between 1 and 2. Enhanced MgII line emission from the HI regions gives rise to integrated MgII/Hα ratios near 0.5. The OI line lambda 8446 is efficiently pumped by trapped Hα photons and in the x-ray heated zone an intensity ratio I (lambda 8446)/I(Hα) approx. < 0.1 is calculated. All of these computed ratios now are in agreement with observations

  1. Determination numbers of ionized atoms from emission and absorption lines

    International Nuclear Information System (INIS)

    Alizadeh Azimi, A.; Shokouhi, N.

    2002-01-01

    Saha, M., (1920) estimated that salter chromosphere is not only due to radiation from neutral atoms, but from ionized atoms. The failure to observe these stellar lines in the laboratory was attributed to internal temperature and pressure about 10* E + 6 K 10* E-7 atm. In this research we found that emission lines of ionized atoms (like Cs) could be measured in laboratory condition, (about 10* E-3 atm and 2000 K) by using Graphite France Atomic Absorption with injection 124 u g C sel. We calculated the numbers of ionized atoms from Bottzman law. We also measured these numbers from area under the energy-time curve

  2. Nebular and auroral emission lines of [Cl III] in the optical spectra of planetary nebulae.

    Science.gov (United States)

    Keenan, F P; Aller, L H; Ramsbottom, C A; Bell, K L; Crawford, F L; Hyung, S

    2000-04-25

    Electron impact excitation rates in Cl III, recently determined with the R-matrix code, are used to calculate electron temperature (T(e)) and density (N(e)) emission line ratios involving both the nebular (5517.7, 5537.9 A) and auroral (8433.9, 8480.9, 8500.0 A) transitions. A comparison of these results with observational data for a sample of planetary nebulae, obtained with the Hamilton Echelle Spectrograph on the 3-m Shane Telescope, reveals that the R(1) = I(5518 A)/I(5538 A) intensity ratio provides estimates of N(e) in excellent agreement with the values derived from other line ratios in the echelle spectra. This agreement indicates that R(1) is a reliable density diagnostic for planetary nebulae, and it also provides observational support for the accuracy of the atomic data adopted in the line ratio calculations. However the [Cl iii] 8433.9 A line is found to be frequently blended with a weak telluric emission feature, although in those instances when the [Cl iii] intensity may be reliably measured, it provides accurate determinations of T(e) when ratioed against the sum of the 5518 and 5538 A line fluxes. Similarly, the 8500.0 A line, previously believed to be free of contamination by the Earth's atmosphere, is also shown to be generally blended with a weak telluric emission feature. The [Cl iii] transition at 8480.9 A is found to be blended with the He i 8480.7 A line, except in planetary nebulae that show a relatively weak He i spectrum, where it also provides reliable estimates of T(e) when ratioed against the nebular lines. Finally, the diagnostic potential of the near-UV [Cl iii] lines at 3344 and 3354 A is briefly discussed.

  3. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  4. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  5. Emission-line diagnostics of nearby H II regions including interacting binary populations

    Science.gov (United States)

    Xiao, Lin; Stanway, Elizabeth R.; Eldridge, J. J.

    2018-06-01

    We present numerical models of the nebular emission from H II regions around young stellar populations over a range of compositions and ages. The synthetic stellar populations include both single stars and interacting binary stars. We compare these models to the observed emission lines of 254 H II regions of 13 nearby spiral galaxies and 21 dwarf galaxies drawn from archival data. The models are created using the combination of the BPASS (Binary Population and Spectral Synthesis) code with the photoionization code CLOUDY to study the differences caused by the inclusion of interacting binary stars in the stellar population. We obtain agreement with the observed emission line ratios from the nearby star-forming regions and discuss the effect of binary-star evolution pathways on the nebular ionization of H II regions. We find that at population ages above 10 Myr, single-star models rapidly decrease in flux and ionization strength, while binary-star models still produce strong flux and high [O III]/H β ratios. Our models can reproduce the metallicity of H II regions from spiral galaxies, but we find higher metallicities than previously estimated for the H II regions from dwarf galaxies. Comparing the equivalent width of H β emission between models and observations, we find that accounting for ionizing photon leakage can affect age estimates for H II regions. When it is included, the typical age derived for H II regions is 5 Myr from single-star models, and up to 10 Myr with binary-star models. This is due to the existence of binary-star evolution pathways, which produce more hot Wolf-Rayet and helium stars at older ages. For future reference, we calculate new BPASS binary maximal starburst lines as a function of metallicity, and for the total model population, and present these in Appendix A.

  6. MID-INFRARED ATOMIC FINE-STRUCTURE EMISSION-LINE SPECTRA OF LUMINOUS INFRARED GALAXIES: SPITZER/IRS SPECTRA OF THE GOALS SAMPLE

    Energy Technology Data Exchange (ETDEWEB)

    Inami, H. [National Optical Astronomy Observatory, Tucson, AZ 85719 (United States); Armus, L.; Stierwalt, S.; Díaz-Santos, T.; Surace, J.; Howell, J.; Marshall, J. [Spitzer Science Center, California Institute of Technology, CA 91125 (United States); Charmandaris, V. [Department of Physics and Institute of Theoretical and Computational Physics, University of Crete, GR-71003 Heraklion (Greece); Groves, B. [Max Planck Institute for Astronomy, Königstuhl 17, D-69117 Heidelberg (Germany); Kewley, L. [Research School of Astronomy and Astrophysics, The Australian National University, Cotter Road, Weston Creek, ACT 2611 (Australia); Petric, A. [Department of Astronomy, California Institute of Technology, MS 320-47, Pasadena, CA 91125 (United States); Rich, J. [The Observatories, Carnegie Institute of Washington, 813 Santa Barbara Street, Pasadena, CA 91101 (United States); Haan, S. [CSIRO Astronomy and Space Science, Marsfield, NSW 2122 (Australia); Evans, A. S. [Department of Astronomy, University of Virginia, P.O. Box 400325, Charlottesville, VA 22904 (United States); Mazzarella, J.; Lord, S. [Infrared Processing and Analysis Center, MS 100-22, California Institute of Technology, Pasadena, CA 91125 (United States); Appleton, P. [NASA Herschel Science Center, 770 South Wilson Avenue, Pasadena, CA 91125 (United States); Spoon, H. [Astronomy Department, Cornell University, Ithaca, NY 14853 (United States); Frayer, D. [National Radio Astronomy Observatory, P.O. Box 2, Green Bank, WV 24944 (United States); Matsuhara, H., E-mail: inami@noao.edu [Institute of Space and Astronautical Science, Japan Aerospace Exploration Agency (Japan); and others

    2013-11-10

    We present the data and our analysis of mid-infrared atomic fine-structure emission lines detected in Spitzer/Infrared Spectrograph high-resolution spectra of 202 local Luminous Infrared Galaxies (LIRGs) observed as part of the Great Observatories All-sky LIRG Survey (GOALS). We readily detect emission lines of [S IV], [Ne II], [Ne V], [Ne III], [S III]{sub 18.7{sub μm}}, [O IV], [Fe II], [S III]{sub 33.5{sub μm}}, and [Si II]. More than 75% of these galaxies are classified as starburst-dominated sources in the mid-infrared, based on the [Ne V]/[Ne II] line flux ratios and equivalent width of the 6.2 μm polycyclic aromatic hydrocarbon feature. We compare ratios of the emission-line fluxes to those predicted from stellar photo-ionization and shock-ionization models to constrain the physical and chemical properties of the gas in the starburst LIRG nuclei. Comparing the [S IV]/[Ne II] and [Ne III]/[Ne II] line ratios to the Starburst99-Mappings III models with an instantaneous burst history, the emission-line ratios suggest that the nuclear starbursts in our LIRGs have ages of 1-4.5 Myr, metallicities of 1-2 Z{sub ☉}, and ionization parameters of 2-8 × 10{sup 7} cm s{sup –1}. Based on the [S III]{sub 33.5{sub μm}}/[S III]{sub 18.7{sub μm}} ratios, the electron density in LIRG nuclei is typically one to a few hundred cm{sup –3}, with a median electron density of ∼300 cm{sup –3}, for those sources above the low density limit for these lines. We also find that strong shocks are likely present in 10 starburst-dominated sources of our sample. A significant fraction of the GOALS sources (80) have resolved neon emission-line profiles (FWHM ≥600 km s{sup –1}) and five show clear differences in the velocities of the [Ne III] or [Ne V] emission lines, relative to [Ne II], of more than 200 km s{sup –1}. Furthermore, six starburst and five active galactic nucleus dominated LIRGs show a clear trend of increasing line width with ionization potential

  7. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  8. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  9. Using SDO's AIA to investigate energy transport from a flare's energy release site to the chromosphere

    Science.gov (United States)

    Brosius, J. W.; Holman, G. D.

    2012-04-01

    Context. Coordinated observations of a GOES B4.8 microflare with SDO's Atmospheric Imaging Assembly (AIA) and the Ramaty High Energy Solar Spectroscopic Imager (RHESSI) on 2010 July 31 show that emission in all seven of AIA's EUV channels brightened simultaneously nearly 6 min before RHESSI or GOES detected emission from plasma at temperatures around 10 MK. Aims: To help interpret these and AIA flare observations in general, we characterized the expected temporal responses of AIA's 94, 131, 171, 193, 211, and 335 Å channels to solar flare brightenings by combining (1) AIA's nominal temperature response functions available through SSWIDL with (2) EUV spectral line data observed in a flare loop footpoint on 2001 April 24 with the Coronal Diagnostic Spectrometer (CDS) on timescales comparable to AIA's image cadence. Methods: The nine emission lines observed by CDS cover a wide range of formation temperature from about 0.05 to 8 MK. Line brightenings observed early during the CDS flare occurred at temperatures less than about 0.7 MK, with the largest values around 0.1 MK. These brightenings were consistent with the flare's energy transport being dominated by nonthermal particle beams. Because all of AIA's EUV channels are sensitive to emission from plasma in the 0.1 to 0.7 MK temperature range, we show that all of AIA's EUV channels will brighten simultaneously during flares like this, in which energy transport is dominated by nonthermal particle beams. Results: The 2010 July 31 flare observed by AIA and RHESSI displays this behavior, so we conclude that such beams likely dominated the flare's energy transport early during the event. When thermal conduction from a reconnection-heated, hot (~10 MK) plasma dominates the energy transport, the AIA channels that are sensitive to emission from such temperatures (particularly the 94 and 131 Å channels) will brighten earlier than the channels that are not sensitive to such temperatures (171 and 211 Å). Conclusions: Thus

  10. Optimization of soft x-ray line emission from laser-produced carbon ...

    Indian Academy of Sciences (India)

    Intense XUV soft x-ray emission from laser-produced plasma sources is currently ... absorption edges of oxygen and carbon respectively) is particularly attractive as it permits ... ability of the target element producing intense discrete lines in the water .... ficient due to Pert [17] and dielectronic recombination coefficient due to ...

  11. Status and Needs Research for On-line Monitoring of VOCs Emissions from Stationary Sources

    Science.gov (United States)

    Zhou, Gang; Wang, Qiang; Zhong, Qi; Zhao, Jinbao; Yang, Kai

    2018-01-01

    Based on atmospheric volatile organic compounds (VOCs) pollution control requirements during the twelfth-five year plan and the current status of monitoring and management at home and abroad, instrumental architecture and technical characteristics of continuous emission monitoring systems (CEMS) for VOCs emission from stationary sources are investigated and researched. Technological development needs of VOCs emission on-line monitoring techniques for stationary sources in china are proposed from the system sampling pretreatment technology and analytical measurement techniques.

  12. (Sub)millimeter emission lines of molecules in born-again stars

    Science.gov (United States)

    Tafoya, D.; Toalá, J. A.; Vlemmings, W. H. T.; Guerrero, M. A.; De Beck, E.; González, M.; Kimeswenger, S.; Zijlstra, A. A.; Sánchez-Monge, Á.; Treviño-Morales, S. P.

    2017-04-01

    Context. Born-again stars provide a unique possibility to study the evolution of the circumstellar envelope of evolved stars in human timescales. Up until now, most of the observations of the circumstellar material in these stars have been limited to studying the relatively hot gas and dust. In other evolved stars, the emission from rotational transitions of molecules, such as CO, is commonly used to study the cool component of their circumstellar envelopes. Thus, the detection and study of molecular gas in born-again stars is of great importance when attempting to understand their composition and chemical evolution. In addition, the molecular emission is an invaluable tool for exploring the physical conditions, kinematics, and formation of asymmetric structures in the circumstellar envelopes of these evolved stars. However, up until now, all attempts to detect molecular emission from the cool material around born-again stars have failed. Aims: We searched for emission from rotational transitions of molecules in the hydrogen-deficient circumstellar envelopes of born-again stars to explore the chemical composition, kinematics, and physical parameters of the relatively cool gas. Methods: We carried out observations using the APEX and IRAM 30 m telescopes to search for molecular emission toward four well-studied born-again stars, V4334 Sgr, V605 Aql, A30, and A78, that are thought to represent an evolutionary sequence. Results: For the first time, we detected emission from HCN and H13CN molecules toward V4334 Sgr, and CO emission in V605 Aql. No molecular emission was detected above the noise level toward A30 and A78. The detected lines exhibit broad linewidths ≳150 km s-1, which indicates that the emission comes from gas ejected during the born-again event, rather than from the old planetary nebula. A first estimate of the H12CN/H13CN abundance ratio in the circumstellar environment of V4334 Sgr is ≈3, which is similar to the value of the 12C/13C ratio measured

  13. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  14. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  15. Balloon observation of the binary X-ray source Her X-1 1.24 sec pulsation and cyclotron line emission

    International Nuclear Information System (INIS)

    Pietsch, W.; Reppin, C.; Truemper, J.; Voges, W.; Kendziorra, E.; Staubert, R.; Tuebingen Univ.

    1978-01-01

    During a balloon observation from Palestine, Texas, the authors detected for the first time the 1.24 sec pulsation of Hercules X-1 in the hard X-ray range up to 70 keV and discovered strong line emission in its spectrum at 58 keV. They estimated a line flux of 3x10 -3 photons cm -2 sec -1 and a line width of less than 12 keV. The phenomenon is interpreted as electron cyclotron emission at the basic frequency emitted by the hot polar plasma of the rotating neutron star. The line measured leads to a magnetic field strength of 5.3x10 12 gauss. In further observations during a balloon campaign in Sept./Oct. 1977 the authors confirmed the existence of the line emission and for the first time found pulsed X-ray emission above 15 keV during the 'short on' - and 'off'-state of the Her X-1 35 day cycle. The pulse to interpulse ratio seems to vary with the 35 day phase

  16. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  17. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  18. X-RAY AND MULTIWAVELENGTH INSIGHTS INTO THE NATURE OF WEAK EMISSION-LINE QUASARS AT LOW REDSHIFT

    Energy Technology Data Exchange (ETDEWEB)

    Wu Jianfeng; Brandt, W. N.; Schneider, Donald P. [Department of Astronomy and Astrophysics, Pennsylvania State University, 525 Davey Lab, University Park, PA 16802 (United States); Anderson, Scott F. [Department of Astronomy, University of Washington, Box 351580, Seattle, WA 98195 (United States); Diamond-Stanic, Aleksandar M. [Center for Astrophysics and Space Sciences, University of California, San Diego, La Jolla, CA 92903 (United States); Hall, Patrick B. [Department of Physics and Astronomy, York University, 4700 Keele Street, Toronto, ON M3J 1P3 (Canada); Plotkin, Richard M. [Astronomical Institute ' Anton Pannekoek' , University of Amsterdam, Science Park 904, 1098 XH Amsterdam (Netherlands); Shemmer, Ohad, E-mail: jfwu@astro.psu.edu [Department of Physics, University of North Texas, Denton, TX 76203 (United States)

    2012-03-01

    We report on the X-ray and multiwavelength properties of 11 radio-quiet quasars with weak or no emission lines identified by the Sloan Digital Sky Survey (SDSS) with redshift z = 0.4-2.5. Our sample was selected from the Plotkin et al. catalog of radio-quiet, weak-featured active galactic nuclei (AGNs). The distribution of relative X-ray brightness for our low-redshift weak-line quasar (WLQ) candidates is significantly different from that of typical radio-quiet quasars, having an excess of X-ray weak sources, but it is consistent with that of high-redshift WLQs. Over half of the low-redshift WLQ candidates are X-ray weak by a factor of {approx}> 5, compared to a typical SDSS quasar with similar UV/optical luminosity. These X-ray weak sources generally show similar UV emission-line properties to those of the X-ray weak quasar PHL 1811 (weak and blueshifted high-ionization lines, weak semiforbidden lines, and strong UV Fe emission); they may belong to the notable class of PHL 1811 analogs. The average X-ray spectrum of these sources is somewhat harder than that of typical radio-quiet quasars. Several other low-redshift WLQ candidates have normal ratios of X-ray-to-optical/UV flux, and their average X-ray spectral properties are also similar to those of typical radio-quiet quasars. The X-ray weak and X-ray normal WLQ candidates may belong to the same subset of quasars having high-ionization 'shielding gas' covering most of the wind-dominated broad emission-line region, but be viewed at different inclinations. The mid-infrared-to-X-ray spectral energy distributions (SEDs) of these sources are generally consistent with those of typical SDSS quasars, showing that they are not likely to be BL Lac objects with relativistically boosted continua and diluted emission lines. The mid-infrared-to-UV SEDs of most radio-quiet weak-featured AGNs without sensitive X-ray coverage (34 objects) are also consistent with those of typical SDSS quasars. However, one source in our

  19. X-RAY AND MULTIWAVELENGTH INSIGHTS INTO THE NATURE OF WEAK EMISSION-LINE QUASARS AT LOW REDSHIFT

    International Nuclear Information System (INIS)

    Wu Jianfeng; Brandt, W. N.; Schneider, Donald P.; Anderson, Scott F.; Diamond-Stanic, Aleksandar M.; Hall, Patrick B.; Plotkin, Richard M.; Shemmer, Ohad

    2012-01-01

    We report on the X-ray and multiwavelength properties of 11 radio-quiet quasars with weak or no emission lines identified by the Sloan Digital Sky Survey (SDSS) with redshift z = 0.4-2.5. Our sample was selected from the Plotkin et al. catalog of radio-quiet, weak-featured active galactic nuclei (AGNs). The distribution of relative X-ray brightness for our low-redshift weak-line quasar (WLQ) candidates is significantly different from that of typical radio-quiet quasars, having an excess of X-ray weak sources, but it is consistent with that of high-redshift WLQs. Over half of the low-redshift WLQ candidates are X-ray weak by a factor of ∼> 5, compared to a typical SDSS quasar with similar UV/optical luminosity. These X-ray weak sources generally show similar UV emission-line properties to those of the X-ray weak quasar PHL 1811 (weak and blueshifted high-ionization lines, weak semiforbidden lines, and strong UV Fe emission); they may belong to the notable class of PHL 1811 analogs. The average X-ray spectrum of these sources is somewhat harder than that of typical radio-quiet quasars. Several other low-redshift WLQ candidates have normal ratios of X-ray-to-optical/UV flux, and their average X-ray spectral properties are also similar to those of typical radio-quiet quasars. The X-ray weak and X-ray normal WLQ candidates may belong to the same subset of quasars having high-ionization 'shielding gas' covering most of the wind-dominated broad emission-line region, but be viewed at different inclinations. The mid-infrared-to-X-ray spectral energy distributions (SEDs) of these sources are generally consistent with those of typical SDSS quasars, showing that they are not likely to be BL Lac objects with relativistically boosted continua and diluted emission lines. The mid-infrared-to-UV SEDs of most radio-quiet weak-featured AGNs without sensitive X-ray coverage (34 objects) are also consistent with those of typical SDSS quasars. However, one source in our X

  20. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  1. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  2. Mapping low- and high-density clouds in astrophysical nebulae by imaging forbidden line emission

    Science.gov (United States)

    Steiner, J. E.; Menezes, R. B.; Ricci, T. V.; Oliveira, A. S.

    2009-06-01

    Emission line ratios have been essential for determining physical parameters such as gas temperature and density in astrophysical gaseous nebulae. With the advent of panoramic spectroscopic devices, images of regions with emission lines related to these physical parameters can, in principle, also be produced. We show that, with observations from modern instruments, it is possible to transform images taken from density-sensitive forbidden lines into images of emission from high- and low-density clouds by applying a transformation matrix. In order to achieve this, images of the pairs of density-sensitive lines as well as the adjacent continuum have to be observed and combined. We have computed the critical densities for a series of pairs of lines in the infrared, optical, ultraviolet and X-rays bands, and calculated the pair line intensity ratios in the high- and low-density limit using a four- and five-level atom approximation. In order to illustrate the method, we applied it to Gemini Multi-Object Spectrograph (GMOS) Integral Field Unit (GMOS-IFU) data of two galactic nuclei. We conclude that this method provides new information of astrophysical interest, especially for mapping low- and high-density clouds; for this reason, we call it `the ld/hd imaging method'. Based on observations obtained at the Gemini Observatory, which is operated by the Association of Universities for Research in Astronomy, Inc., under a cooperative agreement with the National Science Foundation on behalf of the Gemini partnership: the National Science Foundation (United States); the Science and Technology Facilities Council (United Kingdom); the National Research Council (Canada), CONICYT (Chile); the Australian Research Council (Australia); Ministério da Ciência e Tecnologia (Brazil) and Secretaria de Ciencia y Tecnologia (Argentina). E-mail: steiner@astro.iag.usp.br

  3. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  4. Reverberation Mapping of Optical Emission Lines in Five Active Galaxies

    Energy Technology Data Exchange (ETDEWEB)

    Fausnaugh, M. M.; Denney, K. D.; Peterson, B. M.; Kochanek, C. S.; Pogge, R. W.; Brown, Jonathan S.; Coker, C. T. [Department of Astronomy, The Ohio State University, 140 W. 18th Avenue, Columbus, OH 43210 (United States); Grier, C. J.; Beatty, Thomas G. [Department of Astronomy and Astrophysics, The Pennsylvania State University, 525 Davey Laboratory, University Park, PA 16802 (United States); Bentz, M. C. [Department of Physics and Astronomy, Georgia State University, Atlanta, GA 30303 (United States); Rosa, G. De [Space Telescope Science Institute, 3700 San Martin Drive, Baltimore, MD 21218 (United States); Adams, S. M. [Cahill Center for Astrophysics, California Institute of Technology, Pasadena, CA 91125 (United States); Barth, A. J. [Department of Physics and Astronomy, 4129 Frederick Reines Hall, University of California, Irvine, CA 92697 (United States); Bhattacharjee, A.; Brotherton, M. S. [Department of Physics and Astronomy, University of Wyoming, 1000 E. University Avenue, Laramie, WY (United States); Borman, G. A. [Crimean Astrophysical Observatory, P/O Nauchny, Crimea 298409 (Russian Federation); Boroson, T. A. [Las Cumbres Global Telescope Network, 6740 Cortona Drive, Suite 102, Santa Barbara, CA 93117 (United States); Bottorff, M. C. [Fountainwood Observatory, Department of Physics FJS 149, Southwestern University, 1011 E. University Avenue, Georgetown, TX 78626 (United States); Brown, Jacob E. [Department of Physics and Astronomy, University of Missouri, Columbia (United States); Crawford, S. M. [South African Astronomical Observatory, P.O. Box 9, Observatory 7935, Cape Town (South Africa); and others

    2017-05-10

    We present the first results from an optical reverberation mapping campaign executed in 2014 targeting the active galactic nuclei (AGNs) MCG+08-11-011, NGC 2617, NGC 4051, 3C 382, and Mrk 374. Our targets have diverse and interesting observational properties, including a “changing look” AGN and a broad-line radio galaxy. Based on continuum-H β lags, we measure black hole masses for all five targets. We also obtain H γ and He ii λ 4686 lags for all objects except 3C 382. The He ii λ 4686 lags indicate radial stratification of the BLR, and the masses derived from different emission lines are in general agreement. The relative responsivities of these lines are also in qualitative agreement with photoionization models. These spectra have extremely high signal-to-noise ratios (100–300 per pixel) and there are excellent prospects for obtaining velocity-resolved reverberation signatures.

  5. Reverberation Mapping of Optical Emission Lines in Five Active Galaxies

    International Nuclear Information System (INIS)

    Fausnaugh, M. M.; Denney, K. D.; Peterson, B. M.; Kochanek, C. S.; Pogge, R. W.; Brown, Jonathan S.; Coker, C. T.; Grier, C. J.; Beatty, Thomas G.; Bentz, M. C.; Rosa, G. De; Adams, S. M.; Barth, A. J.; Bhattacharjee, A.; Brotherton, M. S.; Borman, G. A.; Boroson, T. A.; Bottorff, M. C.; Brown, Jacob E.; Crawford, S. M.

    2017-01-01

    We present the first results from an optical reverberation mapping campaign executed in 2014 targeting the active galactic nuclei (AGNs) MCG+08-11-011, NGC 2617, NGC 4051, 3C 382, and Mrk 374. Our targets have diverse and interesting observational properties, including a “changing look” AGN and a broad-line radio galaxy. Based on continuum-H β lags, we measure black hole masses for all five targets. We also obtain H γ and He ii λ 4686 lags for all objects except 3C 382. The He ii λ 4686 lags indicate radial stratification of the BLR, and the masses derived from different emission lines are in general agreement. The relative responsivities of these lines are also in qualitative agreement with photoionization models. These spectra have extremely high signal-to-noise ratios (100–300 per pixel) and there are excellent prospects for obtaining velocity-resolved reverberation signatures.

  6. First light - II. Emission line extinction, population III stars, and X-ray binaries

    Science.gov (United States)

    Barrow, Kirk S. S.; Wise, John H.; Aykutalp, Aycin; O'Shea, Brian W.; Norman, Michael L.; Xu, Hao

    2018-02-01

    We produce synthetic spectra and observations for metal-free stellar populations and high-mass X-ray binaries in the Renaissance Simulations at a redshift of 15. We extend our methodology from the first paper in the series by modelling the production and extinction of emission lines throughout a dusty and metal-enriched interstellar and circum-galactic media extracted from the simulation, using a Monte Carlo calculation. To capture the impact of high-energy photons, we include all frequencies from hard X-ray to far-infrared with enough frequency resolution to discern line emission and absorption profiles. The most common lines in our sample in order of their rate of occurrence are Ly α, the C IV λλ1548, 1551 doublet, H α, and the Ca II λλλ8498, 8542, 8662 triplet. The best scenario for a direct observation of a metal-free stellar population is a merger between two Population III Galaxies. In mergers between metal-enriched and metal-free stellar populations, some characteristics may be inferred indirectly. Single Population III galaxies are too dim to be observed photometrically at z = 15. Ly α emission is discernible by JWST as an increase in J200w - J277w colour off the intrinsic stellar tracks. Observations of metal-free stars will be difficult, though not impossible, with the next generation of space telescopes.

  7. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  8. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  9. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  10. MULTIWAVELENGTH OBSERVATIONS OF RADIO-QUIET QUASARS WITH WEAK EMISSION LINES

    International Nuclear Information System (INIS)

    Plotkin, Richard M.; Anderson, Scott F.; MacLeod, Chelsea L.; Brandt, W. N.; Schneider, Donald P.; Diamond-Stanic, Aleksandar M.; Fan Xiaohui; Shemmer, Ohad

    2010-01-01

    We present radio and X-ray observations, as well as optical light curves, for a subset of 26 BL Lac candidates from the Sloan Digital Sky Survey (SDSS) lacking strong radio emission and with z < 2.2. Half of these 26 objects are shown to be stars, galaxies, or absorbed quasars. We conclude that the other 13 objects are active galactic nuclei (AGNs) with abnormally weak emission features; 10 of those 13 are definitively radio quiet, and, for those with available optical light curves, their level of optical flux variability is consistent with radio-quiet quasars. We cannot exclude the possibility that some of these 13 AGNs lie on the extremely radio-faint tail of the BL Lac distribution, but our study generally supports the notion that all BL Lac objects are radio-loud. These radio-quiet AGNs appear to have intrinsically weak or absent broad emission line regions (BELRs), and, based on their X-ray properties, we argue that some are low-redshift analogs to weak line quasars (WLQs). SDSS BL Lac searches are so far the only systematic surveys of the SDSS database capable of recovering such exotic low-redshift WLQs. There are 71 more z < 2.2 radio-quiet BL Lac candidates already identified in the SDSS, but not considered here, and many of those might be best unified with WLQs as well. Future studies combining low- and high-redshift WLQ samples will yield new insight on our understanding of the structure and formation of AGN BELRs.

  11. Temperature and emission-line structure at the edges of H II regions

    International Nuclear Information System (INIS)

    Mallik, D.C.V.

    1975-01-01

    Models of ionization fronts located at the edges of expanding H ii regions are presented. These fronts are of the weak D-type and are preceded by shocks in the H i clouds. Since the energy input time is smaller than the cooling time, the gas is found to heat up to a high temperature immediately following ionization. At the trailing edge of the front, the temperature decreases and the ionized gas merges with the main bulk of the nebula where the physical processes are in equilibrium. The emission in O ii and N ii lines is greatly enhanced because of the high temperature at the front. The emission in these and other important lines is calculated and compared with Hβ. Effects of different velocities of flow, of different exciting stars, and of different gas densities on the structure of the fronts are also investigated

  12. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  13. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  14. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  15. Non-LTE line-blanketed model atmospheres of hot stars. 2: Hot, metal-rich white dwarfs

    Science.gov (United States)

    Lanz, T.; Hubeny, I.

    1995-01-01

    We present several model atmospheres for a typical hot metal-rich DA white dwarf, T(sub eff) = 60,000 K, log g = 7.5. We consider pure hydrogen models, as well as models with various abundances of two typical 'trace' elements-carbon and iron. We calculte a number of Local Thermodynamic Equilibrium (LTE) and non-LTE models, taking into account the effect of numerous lines of these elements on the atmospheric structure. We demostrate that while the non-LTE effects are notvery significant for pure hydrogen models, except for describing correctly the central emission in H-alpha they are essential for predicting correctly the ionization balance of metals, such as carbon and iron. Previously reported discrepancies in LTE abundances determinations using C III and C IV lines are easily explained by non-LTE effects. We show that if the iron abundance is larger than 10(exp -5), the iron line opacity has to be considered not only for the spectrum synthesis, but also in the model construction itself. For such metal abundances, non-LTE metal line-blanketed models are needed for detailed abundance studies of hot, metal-rich white dwarfs. We also discuss the predicted Extreme Ultraviolet (EUV) spectrum and show that it is very sensitive to metal abundances, as well as to non-LTE effects.

  16. Modeling particle emission and power flow in pulsed-power driven, nonuniform transmission lines

    Directory of Open Access Journals (Sweden)

    Nichelle Bruner

    2008-04-01

    Full Text Available Pulsed-power driven x-ray radiographic systems are being developed to operate at higher power in an effort to increase source brightness and penetration power. Essential to the design of these systems is a thorough understanding of electron power flow in the transmission line that couples the pulsed-power driver to the load. In this paper, analytic theory and fully relativistic particle-in-cell simulations are used to model power flow in several experimental transmission-line geometries fielded on Sandia National Laboratories’ upgraded Radiographic Integrated Test Stand [IEEE Trans. Plasma Sci. 28, 1653 (2000ITPSBD0093-381310.1109/27.901250]. Good agreement with measured electrical currents is demonstrated on a shot-by-shot basis for simulations which include detailed models accounting for space-charge-limited electron emission, surface heating, and stimulated particle emission. Resonant cavity modes related to the transmission-line impedance transitions are also shown to be excited by electron power flow. These modes can drive oscillations in the output power of the system, degrading radiographic resolution.

  17. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  18. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  19. Rotational emission-line spectrum of Orion A between 247 and 263 GHZ

    International Nuclear Information System (INIS)

    Blake, G.A.; Sutton, E.C.; Masson, C.R.; Phillips, T.G.

    1986-01-01

    Results are presented from a molecular line survey of the core of the Orion molecular cloud between 247 and 263 GHz. The spectrum contains a total of 243 resolvable lines from 23 different chemical species. When combined with the earlier survey of Orion from 215 to 247 GHz by Sutton et al (1985), the complete data set includes over 780 emission features from 29 distinct molecules. Of the 23 molecules detected in this survey, only NO, CCH, and HCO + were identified not in the lower frequency data

  20. THE LICK AGN MONITORING PROJECT 2011: SPECTROSCOPIC CAMPAIGN AND EMISSION-LINE LIGHT CURVES

    Energy Technology Data Exchange (ETDEWEB)

    Barth, Aaron J. [Department of Physics and Astronomy, 4129 Frederick Reines Hall, University of California, Irvine, CA, 92697-4575 (United States); Bennert, Vardha N. [Physics Department, California Polytechnic State University, San Luis Obispo, CA 93407 (United States); Canalizo, Gabriela [Department of Physics and Astronomy, University of California, Riverside, CA 92521 (United States); Filippenko, Alexei V.; Li, Weidong [Department of Astronomy, University of California, Berkeley, CA 94720-3411 (United States); Gates, Elinor L. [Lick Observatory, P.O. Box 85, Mount Hamilton, CA 95140 (United States); Greene, Jenny E. [Department of Astrophysical Sciences, Princeton University, Princeton, NJ 08544 (United States); Malkan, Matthew A.; Treu, Tommaso [Department of Physics and Astronomy, University of California, Los Angeles, CA 90095-1547 (United States); Pancoast, Anna [Department of Physics, University of California, Santa Barbara, CA 93106 (United States); Sand, David J. [Texas Tech University, Physics Department, Box 41051, Lubbock, TX 79409-1051 (United States); Stern, Daniel [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Boulevard, Pasadena, CA 91109 (United States); Woo, Jong-Hak [Astronomy Program, Department of Physics and Astronomy, Seoul National University, Seoul 151-742 (Korea, Republic of); Assef, Roberto J. [Núcleo de Astronomía de la Facultad de Ingeniería, Universidad Diego Portales, Av. Ejército Libertador 441, Santiago (Chile); Bae, Hyun-Jin [Department of Astronomy and Center for Galaxy Evolution Research, Yonsei University, Seoul 120-749 (Korea, Republic of); Brewer, Brendon J. [Department of Statistics, The University of Auckland, Private Bag 92019, Auckland 1142 (New Zealand); Cenko, S. Bradley [Astrophysics Science Division, NASA Goddard Space Flight Center, MC 661, Greenbelt, MD 20771 (United States); and others

    2015-04-15

    In the Spring of 2011 we carried out a 2.5 month reverberation mapping campaign using the 3 m Shane telescope at Lick Observatory, monitoring 15 low-redshift Seyfert 1 galaxies. This paper describes the observations, reductions and measurements, and data products from the spectroscopic campaign. The reduced spectra were fitted with a multicomponent model in order to isolate the contributions of various continuum and emission-line components. We present light curves of broad emission lines and the active galactic nucleus (AGN) continuum, and measurements of the broad Hβ line widths in mean and rms spectra. For the most highly variable AGNs we also measured broad Hβ line widths and velocity centroids from the nightly spectra. In four AGNs exhibiting the highest variability amplitudes, we detect anticorrelations between broad Hβ width and luminosity, demonstrating that the broad-line region “breathes” on short timescales of days to weeks in response to continuum variations. We also find that broad Hβ velocity centroids can undergo substantial changes in response to continuum variations; in NGC 4593, the broad Hβ velocity shifted by ∼250 km s{sup −1} over a 1 month period. This reverberation-induced velocity shift effect is likely to contribute a significant source of confusion noise to binary black hole searches that use multi-epoch quasar spectroscopy to detect binary orbital motion. We also present results from simulations that examine biases that can occur in measurement of broad-line widths from rms spectra due to the contributions of continuum variations and photon-counting noise.

  1. Plasma core electron density and temperature measurements using CVI line emissions in TCABR Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Nascimento, F. do, E-mail: fellypen@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Centro de Componentes Semicondutores; Machida, M. [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Severo, J.H.F.; Sanada, E.; Ronchi, G. [Universidade de Sao Paulo (USP), SP (Brazil). Instituto de Fisica

    2015-08-15

    In this work, we present results of electron temperature (T{sub e} ) and density (n {sub e} ) measurements obtained in Tokamak Chauffage Alfven Bresilien (TCABR) tokamak using visible spectroscopy from CVI line emissions which occurs mainly near the center of the plasma column. The presented method is based on a well-known relationship between the particle flux (Γ {sub ion}) and the photon flux (ø {sub ion}) emitted by an ion species combined with ionizations per photon atomic data provided by the atomic data and analysis structure (ADAS) database. In the experiment, we measured the photon fluxes of three different CVI spectral line emissions, 4685.2, 5290.5, and 6200.6 Å (one line per shot). Using this method it was possible to find out the temporal evolution of T{sub e} and n{sub e} in the plasma. The results achieved are in good agreement with T{sub e} and n{sub e} measurements made using other diagnostic tools. (author)

  2. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  3. Emission lines of [K V] in the optical spectra of gaseous nebulae.

    Science.gov (United States)

    Keenan, Francis P; Aller, Lawrence H; Espey, Brian R; Exter, Katrina M; Hyung, Siek; Keenan, Michael T C; Pollacco, Don L; Ryans, Robert S I

    2002-04-02

    Recent R-matrix calculations of electron impact excitation rates in K v are used to derive the nebular emission line ratio R = I(4122.6 A)/I(4163.3 A) as a function of electron density (N(e)). This ratio is found to be very sensitive to changes in N(e) over the density range 10(3) to 10(6) cm(-3), but does not vary significantly with electron temperature, and hence in principle should provide an excellent optical N(e) diagnostic for the high-excitation zones of nebulae. The observed value of R for the planetary nebula NGC 7027, measured from a spectrum obtained with the Hamilton Echelle spectrograph on the 3-m Shane Telescope, implies a density in excellent agreement with that derived from [Ne iv], formed in the same region of the nebula as [K v]. This observation provides observational support for the accuracy of the theoretical [K v] line ratios, and hence the atomic data on which they are based. However, the analysis of a high-resolution spectrum of the symbiotic star RR Telescopii, obtained with the University College London Echelle Spectrograph on the 3.9-m Anglo-Australian Telescope, reveals that the [K v] 4122.6 A line in this object is badly blended with Fe ii 4122.6 A. Hence, the [K v] diagnostic may not be used for astrophysical sources that show a strong Fe ii emission line spectrum.

  4. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  5. Selective excitation of singly-ionized silver emission lines by Grimm glow discharge plasmas using several different plasma gases

    International Nuclear Information System (INIS)

    Wagatsuma, K.

    1996-01-01

    The relative intensities of silver emission lines from Grimm glow discharge plasmas were investigated in the wavelength range from 160 to 600 nm when using different plasma gases. It was characteristic of the plasma excitation that the spectral patterns were strongly dependent on the nature of the plasma gas employed. Intense emission lines of silver ion were observed when argon-helium mixed gases were employed as the plasma gas. Selective excitation of the ionic lines could be principally attributed to the charge transfer collisions between silver atoms and helium ions. (orig.)

  6. The Lyman Continuum Escape Fraction of Emission Line-selected z ∼ 2.5 Galaxies Is Less Than 15%

    Energy Technology Data Exchange (ETDEWEB)

    Rutkowski, Michael J.; Hayes, Matthew [Department of Astronomy, AlbaNova University Centre, Stockholm University, SE-10691 Stockholm (Sweden); Scarlata, Claudia; Mehta, Vihang [Minnesota Institute for Astrophysics, University of Minnesota, 116 Church Street SE, Minneapolis, MN 55455 (United States); Henry, Alaina; Hathi, Nimish; Koekemoer, Anton M. [Space Telescope Science Institute, Baltimore, MD 21218 (United States); Cohen, Seth; Windhorst, Rogier [School of Earth and Space Exploration, Arizona State University, Tempe, AZ 85281 (United States); Teplitz, Harry I. [Infrared Processing and Analysis Center, California Institute of Technology, Pasadena, CA 91125 (United States); Haardt, Francesco [DiSAT, Università dellInsubria, via Valleggio 11, I-22100 Como (Italy); Siana, Brian [Department of Physics, University of California, Riverside, CA 92521 (United States)

    2017-06-01

    Recent work suggests that strong emission line, star-forming galaxies (SFGs) may be significant Lyman continuum leakers. We combine archival Hubble Space Telescope broadband ultraviolet and optical imaging (F275W and F606W, respectively) with emission line catalogs derived from WFC3 IR G141 grism spectroscopy to search for escaping Lyman continuum (LyC) emission from homogeneously selected z ∼ 2.5 SFGs. We detect no escaping Lyman continuum from SFGs selected on [O ii] nebular emission ( N = 208) and, within a narrow redshift range, on [O iii]/[O ii]. We measure 1 σ upper limits to the LyC escape fraction relative to the non-ionizing UV continuum from [O ii] emitters, f {sub esc} ≲ 5.6%, and strong [O iii]/[O ii] > 5 ELGs, f {sub esc} ≲ 14.0%. Our observations are not deep enough to detect f {sub esc} ∼ 10% typical of low-redshift Lyman continuum emitters. However, we find that this population represents a small fraction of the star-forming galaxy population at z ∼ 2. Thus, unless the number of extreme emission line galaxies grows substantially to z ≳ 6, such galaxies may be insufficient for reionization. Deeper survey data in the rest-frame ionizing UV will be necessary to determine whether strong line ratios could be useful for pre-selecting LyC leakers at high redshift.

  7. The Lyman Continuum Escape Fraction of Emission Line-selected z ∼ 2.5 Galaxies Is Less Than 15%

    International Nuclear Information System (INIS)

    Rutkowski, Michael J.; Hayes, Matthew; Scarlata, Claudia; Mehta, Vihang; Henry, Alaina; Hathi, Nimish; Koekemoer, Anton M.; Cohen, Seth; Windhorst, Rogier; Teplitz, Harry I.; Haardt, Francesco; Siana, Brian

    2017-01-01

    Recent work suggests that strong emission line, star-forming galaxies (SFGs) may be significant Lyman continuum leakers. We combine archival Hubble Space Telescope broadband ultraviolet and optical imaging (F275W and F606W, respectively) with emission line catalogs derived from WFC3 IR G141 grism spectroscopy to search for escaping Lyman continuum (LyC) emission from homogeneously selected z ∼ 2.5 SFGs. We detect no escaping Lyman continuum from SFGs selected on [O ii] nebular emission ( N = 208) and, within a narrow redshift range, on [O iii]/[O ii]. We measure 1 σ upper limits to the LyC escape fraction relative to the non-ionizing UV continuum from [O ii] emitters, f _e_s_c ≲ 5.6%, and strong [O iii]/[O ii] > 5 ELGs, f _e_s_c ≲ 14.0%. Our observations are not deep enough to detect f _e_s_c ∼ 10% typical of low-redshift Lyman continuum emitters. However, we find that this population represents a small fraction of the star-forming galaxy population at z ∼ 2. Thus, unless the number of extreme emission line galaxies grows substantially to z ≳ 6, such galaxies may be insufficient for reionization. Deeper survey data in the rest-frame ionizing UV will be necessary to determine whether strong line ratios could be useful for pre-selecting LyC leakers at high redshift.

  8. 40 CFR 1051.320 - What happens if one of my production-line vehicles or engines fails to meet emission standards?

    Science.gov (United States)

    2010-07-01

    ...-line vehicles or engines fails to meet emission standards? 1051.320 Section 1051.320 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) AIR POLLUTION CONTROLS CONTROL OF EMISSIONS FROM RECREATIONAL ENGINES AND VEHICLES Testing Production-Line Vehicles and Engines § 1051.320 What happens if one...

  9. Heating mechanisms for intermittent loops in active region cores from AIA/SDO EUV observations

    Energy Technology Data Exchange (ETDEWEB)

    Cadavid, A. C.; Lawrence, J. K.; Christian, D. J. [Department of Physics and Astronomy, California State University Northridge, Northridge, CA 91330 (United States); Jess, D. B. [Astrophysics Research Centre, School of Mathematics and Physics, Queen' s University Belfast, Belfast BT7 1NN (United Kingdom); Nigro, G. [Universita della Calabria, Dipartimento di Fisica and Centro Nazionale Interuniversitario Struttura della Materia, Unita di Cosenza, I-87030 Arcavacata di Rende (Italy)

    2014-11-01

    We investigate intensity variations and energy deposition in five coronal loops in active region cores. These were selected for their strong variability in the AIA/SDO 94 Å intensity channel. We isolate the hot Fe XVIII and Fe XXI components of the 94 Å and 131 Å by modeling and subtracting the 'warm' contributions to the emission. HMI/SDO data allow us to focus on 'inter-moss' regions in the loops. The detailed evolution of the inter-moss intensity time series reveals loops that are impulsively heated in a mode compatible with a nanoflare storm, with a spike in the hot 131 Å signals leading and the other five EUV emission channels following in progressive cooling order. A sharp increase in electron temperature tends to follow closely after the hot 131 Å signal confirming the impulsive nature of the process. A cooler process of growing emission measure follows more slowly. The Fourier power spectra of the hot 131 Å signals, when averaged over the five loops, present three scaling regimes with break frequencies near 0.1 min{sup –1} and 0.7 min{sup –1}. The low frequency regime corresponds to 1/f noise; the intermediate indicates a persistent scaling process and the high frequencies show white noise. Very similar results are found for the energy dissipation in a 2D 'hybrid' shell model of loop magneto-turbulence, based on reduced magnetohydrodynamics, that is compatible with nanoflare statistics. We suggest that such turbulent dissipation is the energy source for our loops.

  10. Outflow and hot dust emission in broad absorption line quasars

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Shaohua; Zhou, Hongyan [Polar Research Institute of China, 451 Jinqiao Road, Shanghai 200136 (China); Wang, Huiyuan; Wang, Tinggui; Xing, Feijun; Jiang, Peng [Key Laboratory for Research in Galaxies and Cosmology, University of Science and Technology of China, Chinese Academy of Sciences, Hefei, Anhui 230026 (China); Zhang, Kai, E-mail: zhangshaohua@pric.gov.cn, E-mail: whywang@mail.ustc.edu.cn [Key Laboratory for Research in Galaxies and Cosmology, Shanghai Astronomical Observatory, Chinese Academy of Sciences, 80 Nandan Road, Shanghai 200030 (China)

    2014-05-01

    We have investigated a sample of 2099 broad absorption line (BAL) quasars with z = 1.7-2.2 built from the Sloan Digital Sky Survey Data Release Seven and the Wide-field Infrared Survey. This sample is collected from two BAL quasar samples in the literature and is refined by our new algorithm. Correlations of outflow velocity and strength with a hot dust indicator (β{sub NIR}) and other quasar physical parameters—such as an Eddington ratio, luminosity, and a UV continuum slope—are explored in order to figure out which parameters drive outflows. Here β{sub NIR} is the near-infrared continuum slope, which is a good indicator of the amount of hot dust emission relative to the accretion disk emission. We confirm previous findings that outflow properties moderately or weakly depend on the Eddington ratio, UV slope, and luminosity. For the first time, we report moderate and significant correlations of outflow strength and velocity with β{sub NIR} in BAL quasars. It is consistent with the behavior of blueshifted broad emission lines in non-BAL quasars. The statistical analysis and composite spectra study both reveal that outflow strength and velocity are more strongly correlated with β{sub NIR} than the Eddington ratio, luminosity, and UV slope. In particular, the composites show that the entire C IV absorption profile shifts blueward and broadens as β{sub NIR} increases, while the Eddington ratio and UV slope only affect the high and low velocity part of outflows, respectively. We discuss several potential processes and suggest that the dusty outflow scenario, i.e., that dust is intrinsic to outflows and may contribute to the outflow acceleration, is most likely.

  11. Solar magnetic field studies using the 12 micron emission lines. I - Quiet sun time series and sunspot slices

    Science.gov (United States)

    Deming, Drake; Boyle, Robert J.; Jennings, Donald E.; Wiedemann, Gunter

    1988-01-01

    The use of the extremely Zeeman-sensitive IR emission line Mg I, at 12.32 microns, to study solar magnetic fields. Time series observations of the line in the quiet sun were obtained in order to determine the response time of the line to the five-minute oscillations. Based upon the velocity amplitude and average period measured in the line, it is concluded that it is formed in the temperature minimum region. The magnetic structure of sunspots is investigated by stepping a small field of view in linear 'slices' through the spots. The region of penumbral line formation does not show the Evershed outflow common in photospheric lines. The line intensity is a factor of two greater in sunspot penumbrae than in the photosphere, and at the limb the penumbral emission begins to depart from optical thinness, the line source function increasing with height. For a spot near disk center, the radial decrease in absolute magnetic field strength is steeper than the generally accepted dependence.

  12. DK UMa: A Star on the Ascent

    Science.gov (United States)

    Simon, Theodore

    1999-01-01

    DK UMa (= 24 UMa = HD 82210) is a G4 IV-III star. According to its M(sub v) and B - V color, it is located at the base of the red giant branch, having recently exited from the Hertzsprung Gap. Now poised to start its first ascent along the giant branch, DK UMa is at a significant juncture in its post-main-sequence evolution, offering an important evolutionary comparison for magnetic activity with stars like 31 Comae, which is just entering the Hertzsprung Gap, and older stars like the Hyades giants or P Ceti, which have passed the tip of the giant branch and lie in the so-called 'clump'. As part of a major survey of the ultraviolet and X ray properties of a well-defined sample of evolved giant stars, DK UMa was observed with the Extreme Ultraviolet Explorer (EUVE) spacecraft in March 1997, for a total exposure time of 230 kiloseconds. A plot of the extracted short-wavelength (SW) spectrum of this star is shown, where it is compared with similar EUVE exposures for other yellow and red giant stars in the activity survey. In terms of the spectral lines of different ionization stages present in these spectra, the transition region and coronal temperature of DK UMa appears to be intermediate between those of 31 Com and P Ceti. Combining the relative strengths of the EUVE lines with Hubble Space Telescope (HST) data at near UV wavelengths and with ROSAT X-ray fluxes, the differential emission measure (DEM) distributions of these stars form a sequence in coronal temperature, which peaks at 10(exp 7.2) K for 31 Com, at 10(exp 6.8) K for B Ceti, and at intermediate temperatures for DK UMa - consistent with the evolutionary stages represented by the three stars. The integrated fluxes of the strongest emission lines found in the EUVE spectrum of DK UMa are listed, again compared with similar measurements for other giant stars that were observed in the course of other EUVE Guest Observer programs.

  13. On-chip plasmonic cavity-enhanced spontaneous emission rate at the zero-phonon line

    DEFF Research Database (Denmark)

    Siampour, Hamidreza; Kumar, Shailesh; Bozhevolnyi, Sergey I.

    Highly confined surface plasmon polariton (SPP) modes can be utilized to enhance light-matter interaction at the single emitter level of quantum optical systems [1-4]. Dielectric-loaded SPP waveguides (DLSPPWs) confine SPPs laterally with relatively low propagation loss, enabling to benefit both ...... and an up to 42-fold spontaneous emission rate enhancement at the zero-phonon line (a ∼7-fold resonance enhancement in addition to a ∼6-fold broadband enhancement) is achieved, revealing the potential of our approach for on-chip realization of quantum-optical networks....... from a large Purcell factor and from a large radiative efficiency (low quenching rates) [1, 2]. In this work, we present a DLSPPW-based Bragg cavity resonator to direct emission from a single diamond nitrogen vacancy (NV) center into the zero-phonon line (Fig. 1). A quality factor of ∼70 for the cavity...

  14. Radio ejection and broad forbidden emission lines in the Seyfert galaxy NGC 7674

    International Nuclear Information System (INIS)

    Unger, S.W.; Pedlar, A.; Axon, D.J.

    1988-01-01

    The Seyfert nucleus in NGC7674 (Mkn533) is remarkable for its broad asymmetric forbidden line profiles, which extend 2000 kms -1 blueward of the systemic velocity. The galaxy also has a compact nuclear radio source. We have obtained new high-resolution radio observations of NGC7674, using the European VLBI network and the VLA, and optical spectroscopic observations using the Isaac Newton Telescope. The radio maps reveal a triple radio source with a total angular extent of about 0.7 arcsec, and provide evidence that the radio emission is powered by collimated ejection. In the plane of the sky, the ejection axis appears roughly perpendicular to the galactic rotation axis. Although the dominant radio components are separated by 0.5 arcsec, the broad [OIII]λ5007 line emission is confined to within about 0.25 arcsec of the continuum nucleus. (author)

  15. MID-INFRARED PROPERTIES OF THE SWIFT BURST ALERT TELESCOPE ACTIVE GALACTIC NUCLEI SAMPLE OF THE LOCAL UNIVERSE. I. EMISSION-LINE DIAGNOSTICS

    International Nuclear Information System (INIS)

    Weaver, K. A.; Melendez, M.; Mushotzky, R. F.; Kraemer, S.; Engle, K.; Malumuth, E.; Tueller, J.; Markwardt, C.; Berghea, C. T.; Dudik, R. P.; Winter, L. M.; Armus, L.

    2010-01-01

    We compare mid-infrared emission-line properties from high-resolution Spitzer spectra of a hard X-ray (14-195 keV) selected sample of nearby (z < 0.05) active galactic nuclei (AGNs) detected by the Burst Alert Telescope (BAT) aboard Swift. The luminosity distribution for the mid-infrared emission lines, [O IV] 25.89 μm, [Ne II] 12.81 μm, [Ne III] 15.56 μm, and [Ne V] 14.32/24.32 μm, and hard X-ray continuum show no differences between Seyfert 1 and Seyfert 2 populations; however, six newly discovered BAT AGNs are under-luminous in [O IV], most likely the result of dust extinction in the host galaxy. The overall tightness of the mid-infrared correlations and BAT fluxes and luminosities suggests that the emission lines primarily arise in gas ionized by the AGNs. We also compare the mid-infrared emission lines in the BAT AGNs with those from published studies of ULIRGs, Palomar-Green quasars, star-forming galaxies, and LINERs. We find that the BAT AGN sample falls into a distinctive region when comparing the [Ne III]/[Ne II] and the [O IV]/[Ne III] ratios. These line ratios are lower in sources that have been previously classified in the mid-infrared/optical as AGNs than those found for the BAT AGNs, suggesting that, in our X-ray selected sample, the AGNs represent the main contribution to the observed line emission. These ratios represent a new emission line diagnostic for distinguishing between AGNs and star-forming galaxies.

  16. Photometry of the 4686 A emission line of gamma(2) Velorum from the South Pole

    International Nuclear Information System (INIS)

    Taylor, M.

    1990-01-01

    An automated optical telescope located at the Amundsen-Scott South Pole station on Antarctica, has been used to obtain more than 78 h of photometry of the He II emission line (4686 A) of the spectroscopic binary gamma(2) Velorum. These data were obtained on seven different days during the 1987 austral winter; the longest continuous run spans 19 h. Two independent period search techniques have been used to search for periodic behavior in the strength of the He II emission line of this Wolf-Rayet star. They are: (1) power spectrum analysis and (2) a first-order sine function fit to the data using least squares. Various multiplicities of a period on the order of 1.3 h with amplitudes of a few percent are found in most of these data. According to recent theoretical models of Wolf-Rayet stars, fluctuations in the He II emission line may indicate vibrational instability in gamma(2) Vel. These pulsations may, in turn, give rise to shocks which propagate outward and which may provide the necessary conditions for periodic changes in the state of a given region of the atmosphere to occur. 15 refs

  17. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  18. The early-type strong emission-line supergiants of the Magellanic Clouds - A spectroscopic zoology

    Science.gov (United States)

    Shore, S. N.; Sanduleak, N.

    1984-01-01

    The results of a spectroscopic survey of 21 early-type extreme emission line supergiants of the Large and Small Magellanic Clouds using IUE and optical spectra are presented. The combined observations are discussed and the literature on each star in the sample is summarized. The classification procedures and the methods by which effective temperatures, bolometric magnitudes, and reddenings were assigned are discussed. The derived reddening values are given along with some results concerning anomalous reddening among the sample stars. The derived mass, luminosity, and radius for each star are presented, and the ultraviolet emission lines are described. Mass-loss rates are derived and discussed, and the implications of these observations for the evolution of the most massive stars in the Local Group are addressed.

  19. Elemental Abundances in the Broad Emission Line Region of Quasars at Redshifts larger than 4

    DEFF Research Database (Denmark)

    Dietrich, M.; Appenzeller, I.; Hamann, F.

    2003-01-01

    the chemical composition of the line emitting gas. Comparisons to photoionization calculations indicate gas metallicities in the broad emission line region in the range of solar to several times solar. The average of the mean metallicity of each high-z quasar in this sample is $Z/Z_\\odot = 4.3 \\pm 0...

  20. Extended Emission-Line Regions: Remnants of Quasar Superwinds?

    Science.gov (United States)

    Fu, Hai; Stockton, Alan

    2009-01-01

    We give an overview of our recent integral-field-unit spectroscopy of luminous extended emission-line regions (EELRs) around low-redshift quasars, including new observations of five fields. Previous work has shown that the most luminous EELRs are found almost exclusively around steep-spectrum radio-loud quasars, with apparently disordered global velocity fields, and little, if any, morphological correlation with either the host galaxy or the radio structure. Our new observations confirm and expand these results. The EELRs often show some clouds with velocities exceeding 500 km s-1, ranging up to 1100 km s-1, but the velocity dispersions, with few exceptions, are in the 30-100 km s-1 range. Emission-line ratios show that the EELRs are clearly photoionized by the quasars. Masses of the EELRs range up to 1010Msun. Essentially all of the EELRs show relatively low metallicities, and they are associated with quasars that, in contrast to most, show similarly low metallicities in their broad-line regions. The two objects in our sample that do not have classical double-lobed radio morphologies (3C 48, with a compact-steep-spectrum source; Mrk 1014, radio quiet, but with a weak compact-steep-spectrum source) are the only ones that appear to have recent star formation. While some of the less luminous EELRs may have other origins, the most likely explanation for those in our sample is that they are examples of gas swept out of the host galaxy by a large-solid-angle blast wave accompanying the production of the radio jets. The triggering of the quasar activity is almost certainly the result of the merger of a gas-rich galaxy with a massive, gas-poor galaxy hosting the supermassive black hole. Based in part on observations obtained at the Gemini Observatory, which is operated by the Association of Universities for Research in Astronomy, Inc., under a cooperative agreement with the NSF on behalf of the Gemini partnership: the National Science Foundation (United States), the

  1. On the Baldwin effect of He II emission lines in WR (WN) stars

    OpenAIRE

    van Gent, J. I.; Lamers, H. J. G. L. M.; de Koter, A.; Morris, P. W.

    2001-01-01

    We investigate the relation between the equivalent width of He ii emission lines and the monochromatic continuum luminosity at the line wavelength in the spectra of Wolf-Rayet stars. Model stellar atmospheres and spectra are used to show that the equivalent width inversely correlates with the monochromatic continuum luminosity. We find the effect in Wolf-Rayet star models over a large range of stellar parameters. The effect is shown to be connected to density differences among Wolf-Rayet star...

  2. The spatial intensity distribution of selected emission lines for Herbig-Haro 1 - Comparison between theory and observations

    International Nuclear Information System (INIS)

    Noriega-Crespo, A.; Bohm, K.H.; Raga, A.C.

    1989-01-01

    In this paper, it is shown that most of the spatial intensity distribution of 11 selected emission lines for Herbig-Haro 1 (including the forbidden S II emission lines at 6731 A and 4069 A, the forbidden O III line at 5007 A, and the forbidden O II line at 3727 A) can be explained by a bow shock with a shock velocity of about 150-200 km/sec at the stagnation point, and under the assumption that the gas entering the shock is fully preionized. The results are based on three spectrograms (with a total exposure time of 180 min) obtained consecutively. Specifically, the ratios of each of the forbidden lines to H-alpha were studied, which permitted a critical test of the model. The agreement between the theoretical predictions and the observations was found to be remarkable, considering the complex geometry that a bow shock could have. 38 refs

  3. Emission line relative intensity variations in the symbiotic stars: CI Cygni, BF Cygni, AX Persei and V1016 Cygni

    International Nuclear Information System (INIS)

    Oliversen, N.A.

    1982-01-01

    Low resolution spectra (lambda 3800 to lambda 5900) are presented of the symbiotic stars CI Cygni, BF Cygni, AX Persei and V1016 Cygni, which were obtained with the Washburn Observatory Boller and Chivens cassegrain spectrograph and intensified Reticon. The spectra were obtained as part of a monitoring program covering 36 months since November 1978. The nebular electron temperature and density are derived from the [O III] lambda 5007 and lambda 4363 emission lines and the uv intercombination lines of lambda 1661 and lambda 1667. Relative emission line intensity variations were observed in all four stars. The relative emission line changes correlated with photometric minima for CI Cyg, AX Per and possibly BF Cyg. These changes are interpreted as due to a red giant eclipsing a nebula surrounding the exciting source. Based on the [O III] line ratio change, the nebular density of V1016 Cyg has continued to decline since 1978. The thesis also contains a discussion of the use of the emision lines of [Ne III] lambda 3869, [O III] lambda 5007, lambda 4363 and He lambda 5876 to derive nebular electron temperature and density. A decline in the intensity ratios of I(lambda 3869)/(lambda 5007) and I(lambda 5876)/I(lambda 5007) were observed during the 1980 minimum of CI Cyg. The observed I(lambda 3869)/I(lambda 5007) decline was too large to be explained by temperature or density changes. The [Ne III] and He II regions in CI Cyg are therefore closer to the hot source than the more extended (o III] emission region. Contained within the appendix is a discussion of a graphical method of solution ot the nebular temperature and density, which is based on the emission lines of [Ne III], [O III] and He I

  4. Variations of the Hβ-emission line during a large flare on UV Ceti

    International Nuclear Information System (INIS)

    Moffett, T.J.; Evans, D.S.; Ferland, G.

    1977-01-01

    Simultaneous high-speed photometry and photoelectric scanner observations of the Hβ-line were obtained for five flare events, one a major flare, on UV Ceti on 1975 January 6. The relative increase in the intensity of the Hβ-line during the large flare was much greater than the relative continuum rise as measured both by the scanner and by broad-band photometric observations. In Hβ the flare lasted nearly 30 times as long as in the continuum. Peak intensity in the Hβ-line occurred later than the continuum maximum. The possibility of using emission line observations to detect flare activity on early spectral-type stars (dK - dG) is discussed. Some speculations on the mechanism of flare production are indulged. (author)

  5. Steep Hard-X-ray Spectra Indicate Extremely High Accretion Rates in Weak Emission-Line Quasars

    Science.gov (United States)

    Marlar, Andrea; Shemmer, Ohad; Anderson, Scott F.; Brandt, W. Niel; Diamond-Stanic, Aleksandar M.; Fan, Xiaohui; Luo, Bin; Plotkin, Richard; Richards, Gordon T.; Schneider, Donald P.; Wu, Jianfeng

    2018-06-01

    We present XMM-Newton imaging spectroscopy of ten weak emission-line quasars (WLQs) at 0.928 ≤ z ≤ 3.767, six of which are radio quiet and four which are radio intermediate. The new X-ray data enabled us to measure the hard-X-ray power-law photon index (Γ) in each source with relatively high accuracy. These measurements allowed us to confirm previous reports that WLQs have steeper X-ray spectra, therefore indicating higher accretion rates with respect to "typical" quasars. A comparison between the Γ values of our radio-quiet WLQs and those of a carefully-selected, uniform sample of 84 quasars shows that the first are significantly higher, at the ≥ 3σ level. Collectively, the four radio-intermediate WLQs have lower Γ values with respect to the six radio-quiet WLQs, as may be expected if the spectra of the first group are contaminated by X-ray emission from a jet. These results suggest that, in the absence of significant jet emission along our line of sight, WLQs constitute the extreme high end of the accretion rate distribution in quasars. We detect soft excess emission in our lowest-redshift radio-quiet WLQ, in agreement with previous findings suggesting that the prominence of this feature is associated with a high accretion rate. We have not detected signatures of Compton reflection, Fe Kα lines, or strong variability between two X-ray epochs in any of our WLQs.

  6. NEW SOLAR EXTREME-ULTRAVIOLET IRRADIANCE OBSERVATIONS DURING FLARES

    International Nuclear Information System (INIS)

    Woods, Thomas N.; Hock, Rachel; Eparvier, Frank; Jones, Andrew R.; Chamberlin, Phillip C.; Klimchuk, James A.; Didkovsky, Leonid; Judge, Darrell; Mariska, John; Warren, Harry; Schrijver, Carolus J.; Webb, David F.; Bailey, Scott; Tobiska, W. Kent

    2011-01-01

    New solar extreme-ultraviolet (EUV) irradiance observations from the NASA Solar Dynamics Observatory (SDO) EUV Variability Experiment provide full coverage in the EUV range from 0.1 to 106 nm and continuously at a cadence of 10 s for spectra at 0.1 nm resolution and even faster, 0.25 s, for six EUV bands. These observations can be decomposed into four distinct characteristics during flares. First, the emissions that dominate during the flare's impulsive phase are the transition region emissions, such as the He II 30.4 nm. Second, the hot coronal emissions above 5 MK dominate during the gradual phase and are highly correlated with the GOES X-ray. A third flare characteristic in the EUV is coronal dimming, seen best in the cool corona, such as the Fe IX 17.1 nm. As the post-flare loops reconnect and cool, many of the EUV coronal emissions peak a few minutes after the GOES X-ray peak. One interesting variation of the post-eruptive loop reconnection is that warm coronal emissions (e.g., Fe XVI 33.5 nm) sometimes exhibit a second large peak separated from the primary flare event by many minutes to hours, with EUV emission originating not from the original flare site and its immediate vicinity, but rather from a volume of higher loops. We refer to this second peak as the EUV late phase. The characterization of many flares during the SDO mission is provided, including quantification of the spectral irradiance from the EUV late phase that cannot be inferred from GOES X-ray diagnostics.

  7. Transition probabilities of some Si II lines obtained by laser produced plasma emission

    International Nuclear Information System (INIS)

    Blanco, F.; Botho, B.; Campos, J.

    1995-01-01

    The absolute transition probabilities for 28 Si II spectral lines have been determined by measurement of emission line intensities from laser-produced plasmas of Si in Ar and Kr atmospheres. The studied plasma has a temperature of about 2 . 10 4 K and 10 17 cm -3 electron density. The local thermodynamic equilibrium conditions and plasma homogeneity have been checked. The results are compared with the available experimental and theoretical data and with present Hartree-Fock calculations in LS coupling. (orig.)

  8. Constraining Line-of-sight Confusion in the Corona Using Linearly Polarized Observations of the Infrared FeXIII 1075nm and SiX 1430nm Emission Lines

    Science.gov (United States)

    Dima, G. I.; Kuhn, J. R.; Berdyugina, S.

    2017-12-01

    Measurements of the coronal magnetic field are difficult because of the intrinsically faint emission of coronal plasma and the large spurious background due to the bright solar disk. This work addresses the problem of resolving the confusion of the line-of-sight (LOS) integration through the optically-thin corona being observed. Work on developing new measuring techniques based on single-point inversions using the Hanle effect has already been described (Dima et al. 2016). It is important to develop a technique to assess when the LOS confusion makes comparing models and observations problematic. Using forward integration of synthetic emission through magnetohydrodynamic (MHD) models together with simultaneous linearly polarized observations of the FeXIII 1075nm and SiX 1430nm emission lines allows us to assess LOS confusion. Since the lines are both in the Hanle saturated regime their polarization angles are expected to be aligned as long as the gas is sampling the same magnetic field. If significant contributions to the emission is taking place from different regions along the LOS due to the additive nature of the polarized brightness the measured linear polarization between the two lines will be offset. The size of the resolution element is important for this determination since observing larger coronal regions will confuse the variation along the LOS with that in the plane-of-sky. We also present comparisons between synthetic linearly polarized emission through a global MHD model and observations of the same regions obtained using the 0.5m Scatter-free Observatory for Limb Active Regions and Coronae (SOLARC) telescope located on Haleakala, Maui. This work is being done in preparation for the type of observations that will become possible when the next generation 4m DKIST telescope comes online in 2020.

  9. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  10. Stability of emission line clouds in active galactic nuclei

    International Nuclear Information System (INIS)

    Krinsky, I.S.

    1989-01-01

    A numerical model was developed for a Quasar Stellar Object (QSO) broad emission line cloud (ELC) imbedded within a confining hot intercloud medium (HIM) for the purpose of studying its stability to perturbations in its temperature and density. A self-consistent model in radiative, pressure and thermal equilibrium is presented. The effect of trapped line radiation pressure is also included with the total pressure (particle plus radiation) held constant. The equilibrium model is found to have regions with radiation pressure exceeding gas pressure. Energy transport includes the effects of an external broken power law continuum and thermal conduction of heat from the HIM. Conduction is found to be unimportant to the total energy budget of the cloud, but is important to the dynamics of the ELC/HIM interface. Independent of the ELC/HIM model, an extension of second order probabilistic radiative transfer that treats the diffuse radiation field as composed of two oppositely directed streams is presented. The high ionization zone of the ELC is found to be unstable to radiatively driven sound waves. Both the external radiation field and the force of trapped line radiation are potential sources for driving the growth of sound waves. The final picture that emerges is that the ELC must be in a constant state of flux

  11. Emission-Line Galaxies from the PEARS Hubble Ultra Deep Field: A 2-D Detection Method and First Results

    Science.gov (United States)

    Gardner, J. P.; Straughn, Amber N.; Meurer, Gerhardt R.; Pirzkal, Norbert; Cohen, Seth H.; Malhotra, Sangeeta; Rhoads, james; Windhorst, Rogier A.; Gardner, Jonathan P.; Hathi, Nimish P.; hide

    2007-01-01

    The Hubble Space Telescope (HST) Advanced Camera for Surveys (ACS) grism PEARS (Probing Evolution And Reionization Spectroscopically) survey provides a large dataset of low-resolution spectra from thousands of galaxies in the GOODS North and South fields. One important subset of objects in these data are emission-line galaxies (ELGs), and we have investigated several different methods aimed at systematically selecting these galaxies. Here we present a new methodology and results of a search for these ELGs in the PEARS observations of the Hubble Ultra Deep Field (HUDF) using a 2D detection method that utilizes the observation that many emission lines originate from clumpy knots within galaxies. This 2D line-finding method proves to be useful in detecting emission lines from compact knots within galaxies that might not otherwise be detected using more traditional 1D line-finding techniques. We find in total 96 emission lines in the HUDF, originating from 81 distinct "knots" within 63 individual galaxies. We find in general that [0 1111 emitters are the most common, comprising 44% of the sample, and on average have high equivalent widths (70% of [0 1111 emitters having rest-frame EW> 100A). There are 12 galaxies with multiple emitting knots; several show evidence of variations in H-alpha flux in the knots, suggesting that the differing star formation properties across a single galaxy can in general be probed at redshifts approximately greater than 0.2 - 0.4. The most prevalent morphologies are large face-on spirals and clumpy interacting systems, many being unique detections owing to the 2D method described here, thus highlighting the strength of this technique.

  12. THE LOCAL [C ii] 158 μ m EMISSION LINE LUMINOSITY FUNCTION

    Energy Technology Data Exchange (ETDEWEB)

    Hemmati, Shoubaneh; Yan, Lin; Capak, Peter; Faisst, Andreas; Masters, Daniel [Infrared Processing and Analysis Center, Department of Astronomy, California Institute of Technology, 1200 E. California Blvd., Pasadena CA 91125 (United States); Diaz-Santos, Tanio [Nucleo de Astronomia de la Facultad de Ingenieria, Universidad Diego Portales, Av. Ejercito Libertador 441, Santiago (Chile); Armus, Lee, E-mail: shemmati@ipac.caltech.edu [Spitzer Science Center, Department of Astronomy, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA 91125 (United States)

    2017-01-01

    We present, for the first time, the local [C ii] 158 μ m emission line luminosity function measured using a sample of more than 500 galaxies from the Revised Bright Galaxy Sample. [C ii] luminosities are measured from the Herschel PACS observations of the Luminous Infrared Galaxies (LIRGs) in the Great Observatories All-sky LIRG Survey and estimated for the rest of the sample based on the far-infrared (far-IR) luminosity and color. The sample covers 91.3% of the sky and is complete at S{sub 60μm} > 5.24 Jy. We calculate the completeness as a function of [C ii] line luminosity and distance, based on the far-IR color and flux densities. The [C ii] luminosity function is constrained in the range ∼10{sup 7–9} L{sub ⊙} from both the 1/ V{sub max} and a maximum likelihood methods. The shape of our derived [C ii] emission line luminosity function agrees well with the IR luminosity function. For the CO(1-0) and [C ii] luminosity functions to agree, we propose a varying ratio of [C ii]/CO(1-0) as a function of CO luminosity, with larger ratios for fainter CO luminosities. Limited [C ii] high-redshift observations as well as estimates based on the IR and UV luminosity functions are suggestive of an evolution in the [C ii] luminosity function similar to the evolution trend of the cosmic star formation rate density. Deep surveys using the Atacama Large Millimeter Array with full capability will be able to confirm this prediction.

  13. A DEEP CHANDRA ACIS STUDY OF NGC 4151. III. THE LINE EMISSION AND SPECTRAL ANALYSIS OF THE IONIZATION CONE

    International Nuclear Information System (INIS)

    Wang, Junfeng; Fabbiano, Giuseppina; Elvis, Martin; Risaliti, Guido; Karovska, Margarita; Zezas, Andreas; Mundell, Carole G.; Dumas, Gaelle; Schinnerer, Eva

    2011-01-01

    This paper is the third in a series in which we present deep Chandra ACIS-S imaging spectroscopy of the Seyfert 1 galaxy NGC 4151, devoted to study its complex circumnuclear X-ray emission. Emission features in the soft X-ray spectrum of the bright extended emission (L 0.3-2 k eV ∼ 10 40 erg s –1 ) at r > 130 pc (2'') are consistent with blended brighter O VII, O VIII, and Ne IX lines seen in the Chandra HETGS and XMM-Newton RGS spectra below 2 keV. We construct emission line images of these features and find good morphological correlations with the narrow-line region clouds mapped in [O III] λ5007. Self-consistent photoionization models provide good descriptions of the spectra of the large-scale emission, as well as resolved structures, supporting the dominant role of nuclear photoionization, although displacement of optical and X-ray features implies a more complex medium. Collisionally ionized emission is estimated to be ∼ ☉ yr –1 at 130 pc and the kinematic power of the ionized outflow is 1.7 × 10 41 erg s –1 , approximately 0.3% of the bolometric luminosity of the active nucleus in NGC 4151.

  14. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  15. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun [Center for Space Science and Applied Research, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Ning [School of Tourism and Geography, Yunnan Normal University, Kunming, Yunnan 650031 (China); Lin, Jun [Yunnan Observatories, Chinese Academy of Sciences, Kunming, Yunnan 650011 (China)

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, which is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.

  16. Evaluation of self-absorption coefficients of aluminum emission lines in laser-induced breakdown spectroscopy measurements

    International Nuclear Information System (INIS)

    El Sherbini, A.M.; El Sherbini, Th.M.; Hegazy, H.; Cristoforetti, G.; Legnaioli, S.; Palleschi, V.; Pardini, L.; Salvetti, A.; Tognoni, E.

    2005-01-01

    In quantitative Laser Induced Breakdown Spectroscopy (LIBS) measurements it is essential to account for the effect of self-absorption on the emission lines intensity. In order to quantify this effect, in this paper we propose a simple method for evaluating the ratio between the actual measured line intensity and the intensity expected in absence of self-absorption and, if necessary, correcting the effect of self-absorption on line intensity. The method, based on a homogeneous plasma model, is applicable when the plasma electron density is known and in particular to lines whose Stark broadening parameter is available

  17. Exploration of BEOL line-space patterning options at 12 nm half-pitch and below

    Science.gov (United States)

    Decoster, S.; Lazzarino, F.; Petersen Barbosa Lima, L.; Li, W.; Versluijs, J.; Halder, S.; Mallik, A.; Murdoch, G.

    2018-03-01

    While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec's N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.

  18. The [CII] 158 μm line emission in high-redshift galaxies

    Science.gov (United States)

    Lagache, G.; Cousin, M.; Chatzikos, M.

    2018-02-01

    Gas is a crucial component of galaxies, providing the fuel to form stars, and it is impossible to understand the evolution of galaxies without knowing their gas properties. The [CII] fine structure transition at 158 μm is the dominant cooling line of cool interstellar gas, and is the brightest of emission lines from star forming galaxies from FIR through metre wavelengths, almost unaffected by attenuation. With the advent of ALMA and NOEMA, capable of detecting [CII]-line emission in high-redshift galaxies, there has been a growing interest in using the [CII] line as a probe of the physical conditions of the gas in galaxies, and as a star formation rate (SFR) indicator at z ≥ 4. In this paper, we have used a semi-analytical model of galaxy evolution (G.A.S.) combined with the photoionisation code CLOUDY to predict the [CII] luminosity of a large number of galaxies (25 000 at z ≃ 5) at 4 ≤ z ≤ 8. We assumed that the [CII]-line emission originates from photo-dominated regions. At such high redshift, the CMB represents a strong background and we discuss its effects on the luminosity of the [CII] line. We studied the L[CII ]-SFR and L[ CII ]-Zg relations and show that they do not strongly evolve with redshift from z = 4 and to z = 8. Galaxies with higher [CII] luminosities tend to have higher metallicities and higher SFRs but the correlations are very broad, with a scatter of about 0.5 and 0.8 dex for L[ CII ]-SFR and L[ CII ]-Zg, respectively. Our model reproduces the L[ CII ]-SFR relations observed in high-redshift star-forming galaxies, with [CII] luminosities lower than expected from local L[ CII ]-SFR relations. Accordingly, the local observed L[ CII ]-SFR relation does not apply at high-z (z ≳ 5), even when CMB effects are ignored. Our model naturally produces the [CII] deficit (i.e. the decrease of L[ CII ]/LIR with LIR), which appears to be strongly correlated with the intensity of the radiation field in our simulated galaxies. We then predict the

  19. Emissive properties of xenon ions from a laser-produced plasma in the 100-140 Aa spectral range: Atomic-physics analysis of the experimental data

    International Nuclear Information System (INIS)

    Gilleron, F.; Poirier, M.; Blenski, T.; Schmidt, M.; Ceccotti, T.

    2003-01-01

    In order to design extreme ultraviolet (EUV) sources for nanolithography, xenon EUV emission has been experimentally studied in a plasma generated by the interaction of a high-power laser with a droplet jet. A theoretical model assuming that the resulting plasma is optically thick allows one to find the distribution of the relevant ions and transitions involved in the emission process. Atomic physics computations are performed using the HULLAC code to give a detailed account of the transitions involved. The importance of 4p-4d, 4d-4f, and 4d-5p transitions is stressed, as well as the need for configuration-interaction treatment of the Δn=0 transitions. Comparisons of a modeled local thermodynamical equilibrium spectrum with experiment provides qualitative agreement and permits an estimate of the plasma temperature, density, and dimensions

  20. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  1. Differential performance and parasitism of caterpillars on maize inbred lines with distinctly different herbivore-induced volatile emissions.

    Directory of Open Access Journals (Sweden)

    Thomas Degen

    Full Text Available Plant volatiles induced by insect feeding are known to attract natural enemies of the herbivores. Six maize inbred lines that showed distinctly different patterns of volatile emission in laboratory assays were planted in randomized plots in the Central Mexican Highlands to test their ability to recruit parasitic wasps under field conditions. The plants were artificially infested with neonate larvae of the fall armyworm Spodoptera frugiperda, and two of its main endoparasitoids, Campoletis sonorensis and Cotesia marginiventris, were released in the plots. Volatiles were collected from equally treated reference plants in the neighbourhood of the experimental field. The cumulative amount of 36 quantified volatile compounds determined for each line was in good accordance with findings from the laboratory; there was an almost 15-fold difference in total emission between the two extreme lines. We found significant differences among the lines with respect to the numbers of armyworms recovered from the plants, their average weight gain and parasitism rates. Average weight of the caterpillars was negatively correlated with the average total amount of volatiles released by the six inbred lines. However, neither total volatile emission nor any specific single compound within the blend could explain the differential parasitism rates among the lines, with the possible exception of (E-2-hexenal for Campoletis sonorensis and methyl salicylate for Cotesia marginiventris. Herbivore-induced plant volatiles and/or correlates thereof contribute to reducing insect damage of maize plants through direct plant defence and enhanced attraction of parasitoids, alleged indirect defence. The potential to exploit these volatiles for pest control deserves to be further evaluated.

  2. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  3. Low-k films modification under EUV and VUV radiation

    International Nuclear Information System (INIS)

    Rakhimova, T V; Rakhimov, A T; Mankelevich, Yu A; Lopaev, D V; Kovalev, A S; Vasil'eva, A N; Zyryanov, S M; Kurchikov, K; Proshina, O V; Voloshin, D G; Novikova, N N; Krishtab, M B; Baklanov, M R

    2014-01-01

    Modification of ultra-low-k films by extreme ultraviolet (EUV) and vacuum ultraviolet (VUV) emission with 13.5, 58.4, 106, 147 and 193 nm wavelengths and fluences up to 6 × 10 18  photons cm −2 is studied experimentally and theoretically to reveal the damage mechanism and the most ‘damaging’ spectral region. Organosilicate glass (OSG) and organic low-k films with k-values of 1.8–2.5 and porosity of 24–51% are used in these experiments. The Si–CH 3 bonds depletion is used as a criterion of VUV damage of OSG low-k films. It is shown that the low-k damage is described by two fundamental parameters: photoabsorption (PA) cross-section σ PA and effective quantum yield φ of Si–CH 3 photodissociation. The obtained σ PA and φ values demonstrate that the effect of wavelength is defined by light absorption spectra, which in OSG materials is similar to fused silica. This is the reason why VUV light in the range of ∼58–106 nm having the highest PA cross-sections causes strong Si–CH 3 depletion only in the top part of the films (∼50–100 nm). The deepest damage is observed after exposure to 147 nm VUV light since this emission is located at the edge of Si–O absorption, has the smallest PA cross-section and provides extensive Si–CH 3 depletion over the whole film thickness. The effective quantum yield slowly increases with the increasing porosity but starts to grow quickly when the porosity exceeds the critical threshold located close to a porosity of ∼50%. The high degree of pore interconnectivity of these films allows easy movement of the detached methyl radicals. The obtained results have a fundamental character and can be used for prediction of ULK material damage under VUV light with different wavelengths. (paper)

  4. Morphology of the bipolar planetary nebula NGC 2346 from emission line profile studies

    Energy Technology Data Exchange (ETDEWEB)

    Anandarao, B G; Banerjee, D P.K.; Desai, J N; Jain, S K; Mallik, D C.V.

    1988-11-01

    High resolution observations of H..cap alpha.. 6563 A, (O III) 5007 A and (N II) 6583 A emission lines in the bipolar planetary nebula NGC 2346 are presented. Expansion velocities (V/sub exp/) of 8 +- 1 km s/sup -1/ in the :O III: line and 11 +- 1 km s/sup -1/ in the :N II: line are observed in the central region. An expansion velocity of 7.5 +- 1.0 km s/sup -1/ in the (O III) line is observed in a position 10 arcsec away from the centre, in the NE lobe of the nebula. From the widths of the H..cap alpha.. and (N II) lines, an ion temperature of T=10 650 +- 2950 K and a turbulent velocity V/sub T/ = 16 +- 2 km s/sup -1/ are derived. Using the detailed radial velocity mapping done by a previous author, a morphological model for the nebula is presented.

  5. Recommendation for a set of solar EUV lines to be monitored for aeronomy applications

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2007-06-01

    Full Text Available In two recent studies, Dudok de Wit et al. (2005 and Kretzschmar et al. (2006 have shown that the solar Ultra-Violet spectrum between 25 and 195 nm can be reconstructed from the observation of a set of 6 to 10 carefully chosen spectral lines. The best set of lines, however, is application dependent. In this study, we demonstrate that a good candidate for aeronomy applications consists of the following 6 lines: H I at 102.572 nm, C III at 97.702 nm, O V at 62.973 nm, He I at 58.433 nm, Fe XV at 28.415 nm and He II at 30.378 nm. The TRANSCAR model is used to quantify the impact of each individual line on the density, temperature and velocity profiles. Using a multidimensional scaling technique, we show how to select from this the best set of lines. Although this selection is motivated by the specification of the ionosphere, our set of lines is also found to be appropriate for reconstructing the variability of the solar spectrum between 25 and 195 nm.

  6. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  7. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  8. Nuclei far from the beta stability line. High-energy beta decay and delayed-particle emission

    International Nuclear Information System (INIS)

    Hansen, R.G.; Hornshj, P.

    1976-01-01

    Progress in on-line mass separation together with improved proton accelerators now permit the production of secondary ion-beams of the order of 10 11 atoms per second. Applications to the study of delayed-proton and delayed-alpha emission are discussed. These effects have been used for the estimation of alpha-emission strength functions at 4-6 MeV excitation energy, and for the determination of level densities through fluctuation analysis

  9. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  10. Linear polarization measurements at H. beta. of early-type emission line stars

    Energy Technology Data Exchange (ETDEWEB)

    Clarke, D; McLean, I S [Glasgow Univ. (UK)

    1976-02-01

    Linear polarization measurements across the H..beta.. emission lines of the stars ..gamma.. Cas, zeta Tau and 48 Per are presented. For the first two stars there is a marked reduction of the polarization at the centre of the line and for ..gamma.. Cas, this varies from night to night. During the H..beta.. observations of zeta Tau, a change of polarization over tens of minutes was indicated in a monitor channel tuned to the continuum on the blue side of H..beta... For the fainter star, 48 Per, the uncertainties of the polarimetry were increased in relation to ..gamma.. Cas and zeta Tau by a factor of about two and at this precision, no differential effects across the line were recorded. Observations of ..cap alpha.. Cyg are also given to demonstrate the reliability of the polarimeter.

  11. EUV Spectra of High Z Impurities from Large Helical Device and Atomic Data

    International Nuclear Information System (INIS)

    Kato, T.; Suzuki, C.; Funaba, H.; Sato, K.; Murakami, I.; Kato, D.; Sakaue, H.; O’Sullivan, G.; Harte, C.; White, J.; D’Arcy, R.; Tanuma, H.; Nakamura, N.

    2017-01-01

    The results of experiments on high Z impurity injection in the Large Helical Device at the National Institute for Fusion Science are described. Spectra from Xe, Sn and W ions were recorded in the extreme ultraviolet spectral region. Two different situations were observed in the case of Xe and Sn, depending on whether or not the plasma underwent radiative collapse. If the plasma was stable, the spectrum consisted of a number of strong lines and in both cases the strongest contribution was from 4p - 4d transitions of Cu-like ions. If the plasma underwent radiative collapse in each case it was dominated by an intense unresolved transition array with some strong lines overlapping it resulting from 4p 6 4d m - 4p 5 4d m+1 + 4p 6 4d m-1 4f transitions. For tungsten, radiative collapse was not observed though the spectrum here was dominated by the same array which lies between 4.5 and 7 nm with some additional contribution at the same wavelength from 4d 10 4f m - 4d 9 4f m+1 and 4d 10 4f m - 4d 10 4f m-1 5d transitions in lower stages also. From observation and comparison with other sources, it is shown that the spectra are dominated by resonance transitions to the ground state of the emitting ions, in marked contrast to results from charge exchange spectra that had been recorded to assist with ion stage separation. In the case of tungsten, no sharp lines are seen though the profile of the unresolved array structure changes with plasma temperature and the origin of these changes can be traced to differences in the populations of contributing ions. New assignments for lines of Xe XVIII, Sn XIX and Sn XVII of 4p - 4d transitions are listed in Tables. Strong lines of W, Xe and Sn ions in EUV range are also tabulated. (author)

  12. Stokes polarimetry of main-line OH emission from stellar masers

    International Nuclear Information System (INIS)

    Claussen, M.J.; Fix, J.D.

    1982-01-01

    Main-line OH emission has been measured in all four Stokes parameters from seven late-type variable stars and the F8 supergiant IRC+10420. Linearly polarized features were detected in UX Cyg, U Ori, and IRC+10420 at 1665 MHz. The linearly polarized features in UX Cyg and IRC +10420, when combined with adjacent circularly polarized features suggest Zeeman patterns. A polarization pattern in IRC+10420 is probably the best example of a complete Zeeman pattern yet observed in stellar masers, although it appears to lack the shifted linear (sigma) components. This study, combined with other recent work, shows that linearly polarized features in stellar sources are uncommon. Only about 10% of the stellar OH sources show linearly polarized features. As an aid in accounting for the observed polarization properties of stellar OH masers, model mass flows were calculated using magnetic field structures similar to that of the solar wind. Conclusions drawn from this model were: (1) unpolarized or weakly circularly polarized emission from sources can arise from the entire circumstellar shell; (2) circular polarization without linear polarization can be produced either by emission from the entire shell or by enhanced OH densities in small regions of the shell provided there are sufficient free electrons present to depolarize the linear components; and (3) Zeeman patterns which include both circular and linear polarizations can be produced in OH density enhancements if electron densities are low. The electron densities required for effective Faraday depolarization yield emission measures of the order of 10 9 pc cm -6 . Given the large distances of stellar OH masers, the thermal continuum emission from such depolarizing electrons would probably be undetectable

  13. Emission-line galaxies and quasars in the southern hemisphere. I. Description and applications of an objective-prism survey

    International Nuclear Information System (INIS)

    Smith, M.G.

    1975-01-01

    A selection of objects from the first plates of a low-dispersion, objective-prism survey for emission-line galaxies and quasars is used to illustrate the application of the survey to the following lines of research in extragalactic astronomy: quasi-stellar objects, Seyfert galaxies, instabilities in galaxies produced by tidal interaction or explosive events, and rates of star formation and the general chemical evolution of galaxies. Included in the discussion is a description of how the survey provides a new, purely optical, color-independent method for the direct isolation of bright, high-redshift QSOs with strong emission lines (Lα is often directly visible on the Schmidt-survey plates). The newly discovered objects used for illustration are a radio-quiet QSO of redshift 2.07, a luminous, class 2 Seyfert galaxy, a compact blue emission-line galaxy with a jet or streamer, yet with no obvious interacting companion, and a blue galaxy with Hβ flux 50 times that of 30 Doradus, and low metal abundances, which is undergoing a very intense burst of star formation. These objects are to be discussed in greater detail in subsequent papers in this series

  14. A Deep Chandra ACIS Study of NGC 4151. II. The Innermost Emission Line Region and Strong Evidence for Radio Jet-NLR Cloud Collision

    Science.gov (United States)

    Wang, Junfeng; Fabbiano, Giuseppina; Elvis, Martin; Risaliti, Guido; Mundell, Carole G.; Karovska, Margarita; Zezas, Andreas

    2011-07-01

    We have studied the X-ray emission within the inner ~150 pc radius of NGC 4151 by constructing high spatial resolution emission line images of blended O VII, O VIII, and Ne IX. These maps show extended structures that are spatially correlated with the radio outflow and optical [O III] emission. We find strong evidence for jet-gas cloud interaction, including morphological correspondences with regions of X-ray enhancement, peaks of near-infrared [Fe II] emission, and optical clouds. In these regions, moreover, we find evidence of elevated Ne IX/O VII ratios; the X-ray emission of these regions also exceeds that expected from nuclear photoionization. Spectral fitting reveals the presence of a collisionally ionized component. The thermal energy of the hot gas suggests that >~ 0.1% of the estimated jet power is deposited into the host interstellar medium through interaction between the radio jet and the dense medium of the circumnuclear region. We find possible pressure equilibrium between the collisionally ionized hot gas and the photoionized line-emitting cool clouds. We also obtain constraints on the extended iron and silicon fluorescent emission. Both lines are spatially unresolved. The upper limit on the contribution of an extended emission region to the Fe Kα emission is <~ 5% of the total, in disagreement with a previous claim that 65% of the Fe Kα emission originates in the extended narrow line region.

  15. Spectroscopic observations of ion line-emission from a magnetically insulated ion diode

    International Nuclear Information System (INIS)

    Maron, Y.; Peng, H.S.; Rondeau, G.D.; Hammer, D.A.

    1984-01-01

    Excited ions, produced in the surface-flashover plasma in a magnetically insulated diode, spontaneously emit light from the anode plasma region as well as (if the life time of the excited level is at least a few ns) from the diode acceleration gap. The emission lines of the ions traversing the gap are shifted from their natural wavelength because of the Stark effect due to the diode electric field. If the light is viewed transverse to the acceleration direction, the line width will be mostly determined by Doppler broadening due to ion transverse velocities. The authors use the OMNI II diode (up to 500 kV, 25 kA, 80 ns) with an insulating B field of ≅12 kG and an A-K gap of ≅7mm. The light emission from the entire 6.5 x 12 cm area in front of the anode is viewed parallel to the applied B field. A spectral resolution of 0.5 A is obtained by dispersing the light using a spectrometer followed by 6 optical fibers attached to PM-tubes. Each channel output is calibrated in situ. The spatial resolution across the gap could be made as small as 0.3 mm and the temporal resolution was varied between a few to a few tens of ns. The line spectral profile is obtained at a single discharge for a given distance from the anode surface

  16. Detection of an unidentified emission line in the stacked X-ray spectrum of galaxy clusters

    Energy Technology Data Exchange (ETDEWEB)

    Bulbul, Esra; Foster, Adam; Smith, Randall K.; Randall, Scott W. [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States); Markevitch, Maxim [NASA Goddard Space Flight Center, Greenbelt, MD 20771 (United States); Loewenstein, Michael, E-mail: ebulbul@cfa.harvard.edu [CRESST and X-ray Astrophysics Laboratory, NASA Goddard Space Flight Center, Greenbelt, MD 20771 (United States)

    2014-07-01

    We detect a weak unidentified emission line at E = (3.55-3.57) ± 0.03 keV in a stacked XMM-Newton spectrum of 73 galaxy clusters spanning a redshift range 0.01-0.35. When the full sample is divided into three subsamples (Perseus, Centaurus+Ophiuchus+Coma, and all others), the line is seen at >3σ statistical significance in all three independent MOS spectra and the PN 'all others' spectrum. It is also detected in the Chandra spectra of the Perseus Cluster. However, it is very weak and located within 50-110 eV of several known lines. The detection is at the limit of the current instrument capabilities. We argue that there should be no atomic transitions in thermal plasma at this energy. An intriguing possibility is the decay of sterile neutrino, a long-sought dark matter particle candidate. Assuming that all dark matter is in sterile neutrinos with m{sub s} = 2E = 7.1 keV, our detection corresponds to a neutrino decay rate consistent with previous upper limits. However, based on the cluster masses and distances, the line in Perseus is much brighter than expected in this model, significantly deviating from other subsamples. This appears to be because of an anomalously bright line at E = 3.62 keV in Perseus, which could be an Ar XVII dielectronic recombination line, although its emissivity would have to be 30 times the expected value and physically difficult to understand. Another alternative is the above anomaly in the Ar line combined with the nearby 3.51 keV K line also exceeding expectation by a factor of 10-20. Confirmation with Astro-H will be critical to determine the nature of this new line.

  17. Spectroscopic and polarimetric study of radio-quiet weak emission line quasars

    Science.gov (United States)

    Kumar, Parveen; Chand, Hum; Gopal-Krishna; Srianand, Raghunathan; Stalin, Chelliah Subramonian; Petitjean, Patrick

    2018-04-01

    A small subset of optically selected radio-quiet QSOs with weak or no emission lines may turn out to be the elusive radio-quiet BL Lac objects, or simply be radio-quiet QSOs with an infant/shielded broad line region (BLR). High polarisation (p > 3-4%), a hallmark of BL Lacs, can be used to test whether some optically selected ‘radio-quiet weak emission line QSOs’ (RQWLQs) show a fractional polarisation high enough to qualify as radio-quiet analogues of BL Lac objects. To check this possibility, we have made optical spectral and polarisation measurements of a sample of 19 RQWLQs. Out of these, only 9 sources show a non-significant proper motion (hence very likely extragalactic) and only two of them are found to have p > 1%. For these two RQWLQs, namely J142505.59+035336.2 and J154515.77+003235.2, we found the highest polarization to be 1.59±0.53%, which is again too low to classify them as (radio-quiet) BL Lacs, although one may recall that even genuine BL Lacs sometimes appear weakly polarised. We also present a statistical comparison of the optical spectral index, for a sample of 45 RQWLQs with redshift-luminosity matched control samples of 900 QSOs and an equivalent sample of 120 blazars, assembled from the literature. The spectral index distribution of RQWLQs is found to differ, at a high significance level, from that of blazars. This, too, is consistent with the common view that the mechanism of the central engine in RQWLQs, as a population, is close to that operating in normal QSOs and the primary difference between them is related to the BLR.

  18. EUV spectroscopy of highly charged tungsten ions relevant to hot plasmas

    International Nuclear Information System (INIS)

    Biedermann, C.; Radtke, R.; Fuchs, T.; Fussmann, G.; Schwob, J.L.; Mandelbaum, P.; Doron, R.

    2001-01-01

    The radiation from tungsten ions in the extreme ultraviolet spectral region was investigated using a 2-m grazing-incidence spectrometer in conjunction with the Berlin electron beam ion trap. Operating EBIT at beam energies between 900 eV and 1.7 keV In-like W 25+ to Sr-like W 36+ ions could selectively be excited, and a bright emission band of about 2 A width was measured which shifts from 50 to 54 A when raising the charge state. The band of partially unresolved lines originates from 4l-4l' transitions of ions having an open 4d subshell. Atomic structure calculations with the HULLAC code package show that the narrowing and shift of the line band emission can be interpreted in the framework of the unresolved transition array using mixed configurations. The theoretical spectrum analysis applies a collisional-radiative model to account for the low electron density of EBIT and reproduces the variations of the observed emission pattern. (orig.)

  19. DUST ATTENUATION OF THE NEBULAR REGIONS OF z ∼ 2 STAR-FORMING GALAXIES: INSIGHT FROM UV, IR, AND EMISSION LINES

    Energy Technology Data Exchange (ETDEWEB)

    De Barros, S.; Reddy, N.; Shivaei, I., E-mail: stephane.debarros@oabo.inaf.it [Department of Physics and Astronomy, University of California, Riverside, CA 92507 (United States)

    2016-04-01

    We use a sample of 149 spectroscopically confirmed UV-selected galaxies at z ∼ 2 to investigate the relative dust attenuation of the stellar continuum and the nebular emission lines. For each galaxy in the sample, at least one rest-frame optical emission line (Hα/[N ii] λ6583 or [O iii] λ5007) measurement has been taken from the litterature, and 41 galaxies have additional Spitzer/MIPS 24 μm observations that are used to infer infrared luminosities. We use a spectral energy distribution (SED) fitting code that predicts nebular line strengths when fitting the stellar populations of galaxies in our sample, and we perform comparisons between the predictions of our models and the observed/derived physical quantities. We find that on average our code is able to reproduce all the physical quantities (e.g., UV β slopes, infrared luminosities, emission line fluxes), but we need to apply a higher dust correction to the nebular emission compared to the stellar emission for the largest star formation rate (SFR) (log SFR/M{sub ⊙} yr{sup −1} > 1.82, Salpeter initial mass function). We find a correlation between SFR and the difference in nebular and stellar color excesses, which could resolve the discrepant results regarding nebular dust correction at z ∼ 2 from previous studies.

  20. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  1. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  2. A probabilistic approach to emission-line galaxy classification

    Science.gov (United States)

    de Souza, R. S.; Dantas, M. L. L.; Costa-Duarte, M. V.; Feigelson, E. D.; Killedar, M.; Lablanche, P.-Y.; Vilalta, R.; Krone-Martins, A.; Beck, R.; Gieseke, F.

    2017-12-01

    We invoke a Gaussian mixture model (GMM) to jointly analyse two traditional emission-line classification schemes of galaxy ionization sources: the Baldwin-Phillips-Terlevich (BPT) and WH α versus [N II]/H α (WHAN) diagrams, using spectroscopic data from the Sloan Digital Sky Survey Data Release 7 and SEAGal/STARLIGHT data sets. We apply a GMM to empirically define classes of galaxies in a three-dimensional space spanned by the log [O III]/H β, log [N II]/H α and log EW(H α) optical parameters. The best-fitting GMM based on several statistical criteria suggests a solution around four Gaussian components (GCs), which are capable to explain up to 97 per cent of the data variance. Using elements of information theory, we compare each GC to their respective astronomical counterpart. GC1 and GC4 are associated with star-forming galaxies, suggesting the need to define a new starburst subgroup. GC2 is associated with BPT's active galactic nuclei (AGN) class and WHAN's weak AGN class. GC3 is associated with BPT's composite class and WHAN's strong AGN class. Conversely, there is no statistical evidence - based on four GCs - for the existence of a Seyfert/low-ionization nuclear emission-line region (LINER) dichotomy in our sample. Notwithstanding, the inclusion of an additional GC5 unravels it. The GC5 appears associated with the LINER and passive galaxies on the BPT and WHAN diagrams, respectively. This indicates that if the Seyfert/LINER dichotomy is there, it does not account significantly to the global data variance and may be overlooked by standard metrics of goodness of fit. Subtleties aside, we demonstrate the potential of our methodology to recover/unravel different objects inside the wilderness of astronomical data sets, without lacking the ability to convey physically interpretable results. The probabilistic classifications from the GMM analysis are publicly available within the COINtoolbox at https://cointoolbox.github.io/GMM_Catalogue/.

  3. The strong 3.3 micron emission line in Wolf-Rayet stars

    International Nuclear Information System (INIS)

    Williams, P.M.

    1982-01-01

    A number of Wolf-Rayet stars have been found to show in their spectra a strong emission feature at 3.28 μm, the wavelength of the 'unidentified' feature observed in some nebular spectra. From comparison of the strength of this line from stars of different spectral type and excitation, it is identified with the CIV (11-10) transition group and shown not to be connected with the circumstellar dust associated with some Wolf-Rayet stars. (author)

  4. Identification of S VIII through S XIV emission lines between 17.5 and 50 nm in a magnetically confined plasma

    Science.gov (United States)

    McCarthy, K. J.; Tamura, N.; Combs, S. K.; García, R.; Hernández Sánchez, J.; Navarro, M.; Panadero, N.; Pastor, I.; Soleto, A.; the TJ-II Team

    2018-03-01

    43 spectral emission lines from F-like to Li-like sulphur ions have been identified in the wavelength range from 17.5 to 50 nm in spectra obtained following tracer injection into plasmas created in a magnetically confined plasma device, the stellarator TJ-II. Plasmas created and maintained in this heliac device with electron cyclotron resonance heating achieve central electron temperatures and densities up to 1.5 keV and 8 × 1018 m-3, respectively. Tracer injections were performed with ≤6 × 1016 atoms of sulphur contained within ˜300 μm diameter polystyrene capsules, termed tracer encapsulated solid pellets, using a gas propulsion system to achieve velocities between 250 and 450 m s-1. Once ablation of the exterior polystyrene shell by plasma particles is completed, the sulphur is deposited in the plasma core where it is ionized up to S+13 and transported about the plasma. In order to aid line identification, which is made using a number of atomic line emission databases, spectra are collected before and after injection using a 1 m focal length normal incidence spectrometer equipped with a CCD camera. This work is motivated by the need to clearly identify sulphur emission lines in the vacuum ultraviolet range of magnetically confined plasmas, as sulphur x-ray emission lines are regularly observed in both tokamak and stellarator plasmas.

  5. On-line depth measurement for laser-drilled holes based on the intensity of plasma emission

    Science.gov (United States)

    Ho, Chao-Ching; Chiu, Chih-Mu; Chang, Yuan-Jen; Hsu, Jin-Chen; Kuo, Chia-Lung

    2014-09-01

    The direct time-resolved depth measurement of blind holes is extremely difficult due to the short time interval and the limited space inside the hole. This work presents a method that involves on-line plasma emission acquisition and analysis to obtain correlations between the machining processes and the optical signal output. Given that the depths of laser-machined holes can be estimated on-line using a coaxial photodiode, this was employed in our inspection system. Our experiments were conducted in air under normal atmospheric conditions without gas assist. The intensity of radiation emitted from the vaporized material was found to correlate with the depth of the hole. The results indicate that the estimated depths of the laser-drilled holes were inversely proportional to the maximum plasma light emission measured for a given laser pulse number.

  6. Depression of molecular emission in the line of sight of Sgr A West

    International Nuclear Information System (INIS)

    Fukui, Y.; Ogawa, H.; Deguchi, S.; Suzuki, H.

    1982-01-01

    The galatic center region has been mapped in the 4-mm emission lines of HCCCN (J = 8-7) and H 2 CO (JK-K+ = 1 01 -0 00 ) with a 1.5 arc min beam. The molecular lines are found to show depression in intensity in the line of sight of Sgr A West. Comparison with other molecular data indicates that NH 3 also shows a significant depression while HCN and HCO + show little sign of similar depression. Based on some density estimates we suggest that the depression means abnormally reduced abundance in HCCCN, NH 3 , and H 2 CO in the line of sight of Sgr A West. The difference in the degree of depression could be interpreted in terms of a time-dependent ion-molecule reaction scheme because HCO + and HCN are formed much more rapidly than the other molecules in the scheme

  7. On-line Field Measurements of Speciated PM1 Emission Factors from Common South Asian Combustion Sources

    Science.gov (United States)

    DeCarlo, P. F.; Goetz, J. D.; Giordano, M.; Stockwell, C.; Maharjan, R.; Adhikari, S.; Bhave, P.; Praveen, P. S.; Panday, A. K.; Jayarathne, T. S.; Stone, E. A.; Yokelson, R. J.

    2017-12-01

    Characterization of aerosol emissions from prevalent but under sampled combustion sources in South Asia was performed as part of the Nepal Ambient Monitoring and Source Testing Experiment (NAMaSTE) in April 2015. Targeted emission sources included cooking stoves with a variety of solid fuels, brick kilns, garbage burning, crop-residue burning, diesel irrigation pumps, and motorcycles. Real-time measurements of submicron non-refractory particulate mass concentration and composition were obtained using an Aerodyne mini Aerosol Mass Spectrometer (mAMS). Speciated PM1 mass emission factors were calculated for all particulate species (e.g. organics, sulfates, nitrates, chlorides, ammonium) and for each source type using the carbon mass balance approach. Size resolved emission factors were also acquired using a novel high duty cycle particle time-of-flight technique (ePTOF). Black carbon and brown carbon absorption emission factors and absorption Angström exponents were measured using filter loading and scattering corrected attenuation at 370 nm and 880 nm with a dual spot aethalometer (Magee Scientific AE-33). The results indicate that open garbage burning is a strong emitter of organic aerosol, black carbon, and internally mixed particle phase hydrogen chloride (HCl). Emissions of HCl were attributed to the presence chlorinated plastics. The primarily coal fired brick kilns were found to be large emitters of sulfate but large differences in the organic and light absorbing component of emissions were observed between the two kiln types investigated (technologically advanced vs. traditional). These results, among others, bring on-line and field-tested aerosol emission measurements to an area of atmoshperic research dominated by off-line or laboratory based measurements.

  8. Clustering Properties of Emission Line Selected Galaxies over the past 12.5 Gyrs

    Science.gov (United States)

    Khostovan, Ali Ahmad; Sobral, David; Mobasher, Bahram; Best, Philip N.; Smail, Ian; Matthee, Jorryt; Darvish, Behnam; Nayyeri, Hooshang; Hemmati, Shoubaneh; Stott, John P.

    2018-01-01

    In this talk, I will present my latest results on the clustering and dark matter halo (DMH) mass properties of ~7000 narrowband-selected [OIII] and [OII] emitters. I will briefly describe the past work that has been done with our samples (e.g., luminosity functions, evolution of equivalent widths) as motivation of using [OIII] and [OII] emitters to study clustering/halo properties. My talk will focus on our findings regarding the line luminosity and stellar mass dependencies with DMH mass. We find strongly increasing and redshift-independent trends between line luminosity and DMH mass with evidence for a shallower slope at the bright end consistent with halo masses of ~ 1012.5-13 M⊙. Similar, but weaker, trends between stellar mass and halo mass have also been found. We investigate the inter-dependencies of these trends on halo mass and find that the correlation with line luminosity is stronger than with stellar mass. This suggest that active galaxies may be connected with their host DMHs simply based on their emission line luminosity. If time permits, I will briefly present our most recent results using our sample of ~4000 Lyα emitters, where we find similar trends to that seen with the [OIII] and [OII] samples, as well as previous Hα measurements, which suggests galaxies selected based on emission lines may be tracing the same subpopulation of star forming galaxies. I will conclude my talk with an interpretation of this connection and suggest that the shallower slope seen for the brightest emitters is evidence for a transitional halo mass as suggested in models where quenching mechanisms truncate star formation activity and reduce the fraction of star forming galaxies with increasing halo mass.

  9. Automated Identification of Coronal Holes from Synoptic EUV Maps

    Science.gov (United States)

    Hamada, Amr; Asikainen, Timo; Virtanen, Ilpo; Mursula, Kalevi

    2018-04-01

    Coronal holes (CHs) are regions of open magnetic field lines in the solar corona and the source of the fast solar wind. Understanding the evolution of coronal holes is critical for solar magnetism as well as for accurate space weather forecasts. We study the extreme ultraviolet (EUV) synoptic maps at three wavelengths (195 Å/193 Å, 171 Å and 304 Å) measured by the Solar and Heliospheric Observatory/Extreme Ultraviolet Imaging Telescope (SOHO/EIT) and the Solar Dynamics Observatory/Atmospheric Imaging Assembly (SDO/AIA) instruments. The two datasets are first homogenized by scaling the SDO/AIA data to the SOHO/EIT level by means of histogram equalization. We then develop a novel automated method to identify CHs from these homogenized maps by determining the intensity threshold of CH regions separately for each synoptic map. This is done by identifying the best location and size of an image segment, which optimally contains portions of coronal holes and the surrounding quiet Sun allowing us to detect the momentary intensity threshold. Our method is thus able to adjust itself to the changing scale size of coronal holes and to temporally varying intensities. To make full use of the information in the three wavelengths we construct a composite CH distribution, which is more robust than distributions based on one wavelength. Using the composite CH dataset we discuss the temporal evolution of CHs during the Solar Cycles 23 and 24.

  10. A DEEP CHANDRA ACIS STUDY OF NGC 4151. II. THE INNERMOST EMISSION LINE REGION AND STRONG EVIDENCE FOR RADIO JET-NLR CLOUD COLLISION

    International Nuclear Information System (INIS)

    Wang Junfeng; Fabbiano, Giuseppina; Elvis, Martin; Risaliti, Guido; Karovska, Margarita; Zezas, Andreas; Mundell, Carole G.

    2011-01-01

    We have studied the X-ray emission within the inner ∼150 pc radius of NGC 4151 by constructing high spatial resolution emission line images of blended O VII, O VIII, and Ne IX. These maps show extended structures that are spatially correlated with the radio outflow and optical [O III] emission. We find strong evidence for jet-gas cloud interaction, including morphological correspondences with regions of X-ray enhancement, peaks of near-infrared [Fe II] emission, and optical clouds. In these regions, moreover, we find evidence of elevated Ne IX/O VII ratios; the X-ray emission of these regions also exceeds that expected from nuclear photoionization. Spectral fitting reveals the presence of a collisionally ionized component. The thermal energy of the hot gas suggests that ∼> 0.1% of the estimated jet power is deposited into the host interstellar medium through interaction between the radio jet and the dense medium of the circumnuclear region. We find possible pressure equilibrium between the collisionally ionized hot gas and the photoionized line-emitting cool clouds. We also obtain constraints on the extended iron and silicon fluorescent emission. Both lines are spatially unresolved. The upper limit on the contribution of an extended emission region to the Fe Kα emission is ∼< 5% of the total, in disagreement with a previous claim that 65% of the Fe Kα emission originates in the extended narrow line region.

  11. Emission Lines in the Near-infrared Spectra of the Infrared Quintuplet Stars in the Galactic Center

    Energy Technology Data Exchange (ETDEWEB)

    Najarro, F. [Departamento de Astrofísica, Centro de Astrobiología (CSIC-INTA), Ctra. Torrejón a Ajalvir km 4, E-28850 Torrejón de Ardoz (Spain); Geballe, T. R. [Gemini Observatory, 670 North A’ohoku Place, Hilo, HI 96720 (United States); Figer, D. F. [Center for Detectors, Rochester Institute of Technology, 74 Lomb Memorial Drive, Rochester, NY 14623 (United States); Fuente, D. de la [Instituto de Astronomía, Unidad Académica en Ensenada, Universidad Nacional Autónoma de México, Ensenada 22860, México (Mexico)

    2017-08-20

    We report the detection of a number of emission lines in the 1.0–2.4 μ m spectra of four of the five bright-infrared dust-embedded stars at the center of the Galactic center’s (GC) Quintuplet Cluster. Spectroscopy of the central stars of these objects is hampered not only by the large interstellar extinction that obscures all of the objects in the GC, but also by the large amounts of warm circumstellar dust surrounding each of the five stars. The pinwheel morphologies of the dust observed previously around two of them are indicative of Wolf–Rayet colliding wind binaries; however, infrared spectra of each of the five have until now revealed only dust continua steeply rising to long wavelengths and absorption lines and bands from interstellar gas and dust. The emission lines detected, from ionized carbon and from helium, are broad and confirm that the objects are dusty late-type carbon Wolf–Rayet stars.

  12. VERY STRONG EMISSION-LINE GALAXIES IN THE WFC3 INFRARED SPECTROSCOPIC PARALLEL SURVEY AND IMPLICATIONS FOR HIGH-REDSHIFT GALAXIES

    Energy Technology Data Exchange (ETDEWEB)

    Atek, H.; Colbert, J.; Shim, H. [Spitzer Science Center, Caltech, Pasadena, CA 91125 (United States); Siana, B.; Bridge, C. [Department of Astronomy, Caltech, Pasadena, CA 91125 (United States); Scarlata, C. [Department of Astronomy, University of Minnesota-Twin Cities, Minneapolis, MN 55455 (United States); Malkan, M.; Ross, N. R. [Department of Physics and Astronomy, University of California, Los Angeles, CA (United States); McCarthy, P.; Dressler, A.; Hathi, N. P. [Observatories of the Carnegie Institution for Science, Pasadena, CA 91101 (United States); Teplitz, H. [Infrared Processing and Analysis Center, Caltech, Pasadena, CA 91125 (United States); Henry, A.; Martin, C. [Department of Physics, University of California, Santa Barbara, CA 93106 (United States); Bunker, A. J. [Department of Physics, University of Oxford, Denys Wilkinson Building, Keble Road, Oxford OX1 3RH (United Kingdom); Fosbury, R. A. E. [Space Telescope-European Coordinating Facility, Garching bei Muenchen (Germany)

    2011-12-20

    The WFC3 Infrared Spectroscopic Parallel Survey uses the Hubble Space Telescope (HST) infrared grism capabilities to obtain slitless spectra of thousands of galaxies over a wide redshift range including the peak of star formation history of the universe. We select a population of very strong emission-line galaxies with rest-frame equivalent widths (EWs) higher than 200 A. A total of 176 objects are found over the redshift range 0.35 < z < 2.3 in the 180 arcmin{sup 2} area that we have analyzed so far. This population consists of young and low-mass starbursts with high specific star formation rates (sSFR). After spectroscopic follow-up of one of these galaxies with Keck/Low Resolution Imaging Spectrometer, we report the detection at z = 0.7 of an extremely metal-poor galaxy with 12 + log(O/H) =7.47 {+-} 0.11. After estimating the active galactic nucleus fraction in the sample, we show that the high-EW galaxies have higher sSFR than normal star-forming galaxies at any redshift. We find that the nebular emission lines can substantially affect the total broadband flux density with a median brightening of 0.3 mag, with some examples of line contamination producing brightening of up to 1 mag. We show that the presence of strong emission lines in low-z galaxies can mimic the color-selection criteria used in the z {approx} 8 dropout surveys. In order to effectively remove low-redshift interlopers, deep optical imaging is needed, at least 1 mag deeper than the bands in which the objects are detected. Without deep optical data, most of the interlopers cannot be ruled out in the wide shallow HST imaging surveys. Finally, we empirically demonstrate that strong nebular lines can lead to an overestimation of the mass and the age of galaxies derived from fitting of their spectral energy distribution (SED). Without removing emission lines, the age and the stellar mass estimates are overestimated by a factor of 2 on average and up to a factor of 10 for the high-EW galaxies

  13. Acoustic emission and magnification of atomic lines resolution for laser breakdown of salt water in ultrasound field

    International Nuclear Information System (INIS)

    Bulanov, Alexey V.; Nagorny, Ivan G.

    2015-01-01

    Researches of the acoustic effects accompanying optical breakdown in a water, generated by the focused laser radiation with power ultrasound have been carried out. Experiments were performed by using 532 nm pulses from Brilliant B Nd:YAG laser. Acoustic radiation was produced by acoustic focusing systems in the form hemisphere and ring by various resonance frequencies of 10.7 kHz and 60 kHz. The experimental results are obtained, that show the sharply strengthens effects of acoustic emission from a breakdown zone by the joint influence of a laser and ultrasonic irradiation. Essentially various thresholds of breakdown and character of acoustic emission in fresh and sea water are found out. The experimental result is established, testifying that acoustic emission of optical breakdown of sea water at presence and at absence of ultrasound essentially exceeds acoustic emission in fresh water. Atomic lines of some chemical elements like a Sodium, Magnesium and so on were investigated for laser breakdown of water with ultrasound field. The effect of magnification of this lines resolution for salt water in ultrasound field was obtained

  14. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  15. Laser-plasma source parameters for Kr, Gd, and Tb ions at 6.6 nm

    Energy Technology Data Exchange (ETDEWEB)

    Masnavi, Majid; Szilagyi, John; Parchamy, Homaira; Richardson, Martin C. [The Townes Laser Institute, College of Optics and Photonics, University of Central Florida, 4000 Central Florida Blvd., Orlando, Florida 32816 (United States)

    2013-04-22

    There is increasing interest in extreme-ultraviolet (EUV) laser-based lamps for sub-10-nm lithography operating in the region of 6.6 nm. A collisional-radiative model is developed as a post-processor of a hydrodynamic code to investigate emission from resonance lines in Kr, Gd, and Tb ions under conditions typical for mass-limited EUV sources. The analysis reveals that maximum conversion efficiencies of Kr occur at 5 Multiplication-Sign 10{sup 10}W/cm{sup 2}, while for Gd and Tb it was Asymptotically-Equal-To 0.9%/2{pi}sr for laser intensities of (2-5) Multiplication-Sign 10{sup 12}W/cm{sup 2}.

  16. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  17. Modeling of EUV emission from xenon and tin plasma sources for nanolithography

    Energy Technology Data Exchange (ETDEWEB)

    Poirier, M. [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France)]. E-mail: michel.poirier@cea.fr; Blenski, T. [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France); Gaufridy de Dortan, F. de [Service Photons, Atomes, et Molecules, CEA Saclay, bat. 522, F91191 Gif/Yvette Cedex (France); Gilleron, F. [CEA-DAM, F91680 Bruyeres-le-Chatel (France)

    2006-05-15

    Over the last decade there has been a major effort devoted to the development of efficient extreme UV sources designed for nanolithography, operating in the 13.5-nm range. Possible sources include laser-produced plasmas and discharge-produced plasmas. This paper, devoted to the modeling of such emission, emphasizes the atomic physics effects and particularly the effects of configuration interaction. Two types of theoretical approaches are presented, one involving the detailed computation with the parametric potential code HULLAC, the other based on the superconfiguration code SCO. Computations of emission spectra in xenon and tin are presented. The possible influence of non-local thermodynamic equilibrium (NLTE) effects is investigated using populations given by the simple collisional-radiative formulas from Colombant and Tonon. Convergence to LTE is analyzed in the tin case.

  18. Observation of hard X-rays line emission from Her X-1

    Energy Technology Data Exchange (ETDEWEB)

    Polcaro, V.F.; Bazzano, A.; la Padula, C.; Ubertini, P.; Vialetto, G.; Manchanda, R.K.; Damle, S.V.

    1982-04-01

    We present the results of a hard X-ray measurement of the binary source Her X-1, carried out with a balloon borne X-ray telescope consisting of two Multiwire Proportional Counters, having 900 cm/sup 2/ sensitive area each and spectral resolution of 15% and 24% FWHM respectively at 60 keV. The source was observed during the 'Mid-on' state. Our data confirm the previously reported high energy emission line overimposed on the low energy thermal spectrum.

  19. Impurity line emission due to thermal charge exchange in JET edge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Maggi, C F; Horton, L D; Koenig, R; Stamp, M [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Summers, H P [Strathclyde Univ., Glasgow (United Kingdom)

    1994-07-01

    High n-shell emission from hydrogen-like carbon (C VI, n=8-7) has been routinely observed from the plasma edge of JET. By comparing the measured spectral line intensities with the signals predicted by advanced atomic physics modelling of carbon and hydrogen radiation, integrated with modelling of the divertor and edge plasma, it is concluded that charge transfer from excited state hydrogen donors into fully stripped carbon ions can account for the observed spectral emission, but that the hydrogen distribution and to a lesser extent the carbon distribution away from the strike zone predicted by the transport model are too low. Data presented are those of three upper X-point discharges, where the target material was carbon. 5 refs., 1 fig., 3 tabs.

  20. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.