WorldWideScience

Sample records for etched ion track

  1. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  2. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  3. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  4. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Science.gov (United States)

    Fink, D.; Muñoz H., G.; García A., H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-04-01

    Some yet poorly understood problems of etching of pristine and swift heavy ion track-irradiated aged polymers were treated, by applying conductometry across the irradiated foils during etching. The onset times of etchant penetration across pristine foils, and the onset times of the different etched track regimes in irradiated foils were determined for polymers of various proveniences, fluences and ages, as well as their corresponding etching speeds. From the results, correlations of the parameters with each other were deduced. The normalization of these parameters enables one to compare irradiated polymer foils of different origin and treatment with one another. In a number of cases, also polymeric gel formation and swelling occur which influence the track etching behaviour. The polymer degradation during aging influences the track etching parameters, which differ from each other on both sides of the foils. With increasing sample age, these differences increase.

  5. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  6. On the structure of etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Hnatowicz, Vladimír; Vacík, Jiří; Apel, P. Yu.

    2016-01-01

    Roč. 121, APR (2016), s. 106-109 ISSN 0969-806X R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(CZ) LM2011019 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * track etching Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.315, year: 2016

  7. Symphony and cacophony in ion track etching: how to control etching results

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Cruz, S. A.; Munoz, G. H.; Vacík, Jiří

    2012-01-01

    Roč. 167, č. 7 (2012), s. 527-540 ISSN 1042-0150 R&D Projects: GA AV ČR IAA200480702 Institutional support: RVO:61389005 Keywords : ion track s * polymers * etching * diodes * resistances Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.502, year: 2012

  8. Etching behaviour of alpha-recoil tracks in natural dark mica studied via artificial ion tracks

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Neumann, R.; Wagner, G.A.

    2003-01-01

    Alpha-recoil tracks (ARTs) created by the α-decay of U, Th, and their daughter nuclei, are used by a new dating method to determine the formation age of dark mica bearing Quaternary and Neogene volcanic rocks and the cooling age of plutonic and metamorphic rocks [Chem. Geol. 166 (2000) 127, Science 155 (1967) 1103]. The age equation combines the volumetric density of ARTs with the U and Th contents. Etching latent ARTs (diameter 30-100 nm) in the mica mineral phlogopite by HF and measuring the areal density of triangular etch pits by optical and scanning force microscopy (SFM) leads to a linear growth of ART areal density versus etching time. The ART volume density is a function of the slope of the areal density and the etching rate (v eff ). Therefore, the determination of v eff is essential for the calculation of an age value. To determine the etching parameters such as etching efficiency and v eff , phlogopite samples were irradiated with 80 keV Au ions. Irradiated surfaces were etched with 4% HF at 23±2 deg. C during successive time intervals and after each interval studied with SFM. The etching rate v eff was determined by different techniques. To evaluate the threshold of etchability, the energy losses of the Au ions and α-recoil nuclei in phlogopite were calculated with the SRIM00 code. The etching efficiency of the Au ion tracks was then used to predict the corresponding etching efficiency of the natural radioactive nuclei

  9. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Cruz, S. A.; Garcia-Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 173, 1-2 (2018), s. 148-164 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : polymers * ion tracks * etching * conductometry * rectification * phase shift Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 0.443, year: 2016

  10. Ion track etching revisited: I. Correlations between track parameters in aged polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Munoz, G. H.; García Arellano, H.; Vacík, Jiří; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2018-01-01

    Roč. 420, č. 4 (2018), s. 57-68 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ion track * polymer * etching Subject RIV: BG - Nuclear, Atomic and Molecular Physics , Colliders OBOR OECD: Nuclear physics Impact factor: 1.109, year: 2016

  11. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  12. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  13. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  14. Track profile and range studies of heavy and light ions in CR-39: effect of thermal pre-treatment on etching characteristics

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Bull, R.K.; Durrani, S.A.

    1982-01-01

    Sheets of CR 39 have been irradiated with 20 Ne, 32 S, 40 Ar, 56 Fe and 63 Cu ions at normal incidence to the surface of the sheets and at normal incidence to the edges. The profiles of the etched tracks have been observed side-on and measurements made of the variation of V (the ratio of track to bulk etching velocities) along the tracks and of the fully etched range of the tracks. Heating the plastic at 100 0 C prior to etching produces zones within the plastic having different bulk etching rates Vsub(B). An outer zone of low Vsub(B) is followed by a zone of much higher Vsub(B). This allows tracks to be readily etched to very large diameters, comparable in size to the breakdown spots produced by electrochemical etching. (author)

  15. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  16. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  17. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    International Nuclear Information System (INIS)

    Muench, Falk; Seidl, Tim; Rauber, Markus; Peter, Benedikt; Brötz, Joachim; Krause, Markus; Trautmann, Christina; Roth, Christina; Katusic, Stipan; Ensinger, Wolfgang

    2014-01-01

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10 10 channels per cm 2 of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m 2  g −1 to 732 m 2  g −1 . At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix. • Freely adjustable meso- or

  18. Hierarchically porous carbon membranes containing designed nanochannel architectures obtained by pyrolysis of ion-track etched polyimide

    Energy Technology Data Exchange (ETDEWEB)

    Muench, Falk, E-mail: muench@ca.tu-darmstadt.de [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Seidl, Tim; Rauber, Markus [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Peter, Benedikt; Brötz, Joachim [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Krause, Markus; Trautmann, Christina [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany); Material Research Department, GSI Helmholtzzentrum für Schwerionenforschung GmbH, Planckstraße 1, 64291 Darmstadt (Germany); Roth, Christina [Department of Chemistry and Biochemistry, Freie Universität Berlin, Takustraße 3, 14195 Berlin (Germany); Katusic, Stipan [Evonik Industries AG, Rodenbacher Chaussee 4, 63457 Hanau (Germany); Ensinger, Wolfgang [Department of Material- and Geoscience, Technische Universität Darmstadt, Alarich-Weiss-Straße 2, 64287 Darmstadt (Germany)

    2014-12-15

    Well-defined, porous carbon monoliths are highly promising materials for electrochemical applications, separation, purification and catalysis. In this work, we present an approach allowing to transfer the remarkable degree of synthetic control given by the ion-track etching technology to the fabrication of carbon membranes with porosity structured on multiple length scales. The carbonization and pore formation processes were examined with Raman, Brunauer–Emmett–Teller (BET), scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, while model experiments demonstrated the viability of the carbon membranes as catalyst support and pollutant adsorbent. Using ion-track etching, specifically designed, continuous channel-shaped pores were introduced into polyimide foils with precise control over channel diameter, orientation, density and interconnection. At a pyrolysis temperature of 950 °C, the artificially created channels shrunk in size, but their shape was preserved, while the polymer was transformed to microporous, amorphous carbon. Channel diameters ranging from ∼10 to several 100 nm could be achieved. The channels also gave access to previously closed micropore volume. Substantial surface increase was realized, as it was shown by introducing a network consisting of 1.4 × 10{sup 10} channels per cm{sup 2} of 30 nm diameter, which more than tripled the mass-normalized surface of the pyrolytic carbon from 205 m{sup 2} g{sup −1} to 732 m{sup 2} g{sup −1}. At a pyrolysis temperature of 3000 °C, membranes consisting of highly ordered graphite were obtained. In this case, the channel shape was severely altered, resulting in a pronounced conical geometry in which the channel diameter quickly decreased with increasing distance to the membrane surface. - Highlights: • Pyrolysis of ion-track etched polyimide yields porous carbon membranes. • Hierarchic porosity: continuous nanochannels embedded in a microporous carbon matrix.

  19. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  20. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  1. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  2. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  3. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  4. Funnel-type etched ion tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Alfonta, L.; Klinkovich, I.

    2010-01-01

    Roč. 165, č. 5 (2010), s. 343-361 ISSN 1042-0150 R&D Projects: GA AV ČR(CZ) KAN400480701 Institutional research plan: CEZ:AV0Z10480505 Keywords : tracks * polymers * etching Subject RIV: JJ - Other Materials Impact factor: 0.660, year: 2010

  5. Preparation of fluoropolymer-based ion-track membranes. Structure of latent tracks and pretreatment effect

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Nuryanthi, Nuryanthi; Koshikawa, Hiroshi; Sawada, Shinichi; Hakoda, Teruyuki; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari

    2012-01-01

    High-energy heavy-ion induced damage, called latent tracks m organic polymers can sometimes be etched out chemically to give submicro- and nano-sized pores. Our focus is placed on ion-track membranes of poly(vinylidene fluoride) (PVDF), a type of fluoropolymer, which were previously considered as a matrix of polymer electrolyte fuel-cell membranes. There have been no optimized methods of preparing the PVDF-based ion-track membranes. We thus examined chemical structures of the defects created in the track, and accordingly, presented a pretreatment technique for achieving more efficient track etching. A 25 μm-thick PVDF film was bombarded with 1.1 GeV 238 U or 450 MeV 129 Xe ions. In the multi-purpose chamber, degradation processes were monitored in-situ by FT-IR spectroscopy and residual gas analysis as a function of the fluence up to 6.0 x 10 11 ions/cm 2 . The films irradiated at 8 ions/cm 2 were etched in a 9 M KOH aqueous solution at 80degC. We also performed the conductometric etching, which allows monitoring of pore evolution versus etching time by recording the electrical conductance through the membrane. At fluences above 1 x 10 10 ions/cm 2 , the film showed two new absorption bands identified as double-bond stretching vibrations of in-chain unsaturations -CH=CF- and fluorinated vinyl groups -CF 2 CH=CF 2 . These defects would result from the evolution of HF. The knowledge of the solubility in a permanganate alkaline solution and our preliminary experiment suggested the importance of oxidized tracks for the easy introduction of the etching agent. We finally found that the pretreatment with ozone could oxidize the double bonds in the tracks, thereby vigorously promoting track etching before breakthrough. (author)

  6. Grafting of acrylic acid on etched latent tracks induced by swift heavy ions on polypropylene films

    International Nuclear Information System (INIS)

    Mazzei, R.; Fernandez, A.; Garcia Bermudez, G.; Torres, A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Tadey, D.

    2008-01-01

    In order to continue with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then, these were etched and grafted with acrylic acid (AA) monomers. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grating yield as a function of the fluence and etching time was obtained. In addition, the permeation of solutions, with different pH, through PP grafted foils was measured

  7. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  8. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  9. Fabrication of different pore shapes by multi-step etching technique in ion-irradiated PET membranes

    Science.gov (United States)

    Mo, D.; Liu, J. D.; Duan, J. L.; Yao, H. J.; Latif, H.; Cao, D. L.; Chen, Y. H.; Zhang, S. X.; Zhai, P. F.; Liu, J.

    2014-08-01

    A method for the fabrication of different pore shapes in polyethylene terephthalate (PET)-based track etched membranes (TEMs) is reported. A multi-step etching technique involving etchant variation and track annealing was applied to fabricate different pore shapes in PET membranes. PET foils of 12-μm thickness were irradiated with Bi ions (kinetic energy 9.5 MeV/u, fluence 106 ions/cm2) at the Heavy Ion Research Facility (HIRFL, Lanzhou). The cross-sections of fundamental pore shapes (cylinder, cone, and double cone) were analyzed. Funnel-shaped and pencil-shaped pores were obtained using a two-step etching process. Track annealing was carried out in air at 180 °C for 120 min. After track annealing, the selectivity of the etching process decreased, which resulted in isotropic etching in subsequent etching steps. Rounded cylinder and rounded cone shapes were obtained by introducing a track-annealing step in the etching process. Cup and spherical funnel-shaped pores were fabricated using a three- and four-step etching process, respectively. The described multi-step etching technique provides a controllable method to fabricate new pore shapes in TEMs. Introduction of a variety of pore shapes may improve the separation properties of TEMs and enrich the series of TEM products.

  10. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  11. Growth and morphological analysis of segmented AuAg alloy nanowires created by pulsed electrodeposition in ion-track etched membranes

    Directory of Open Access Journals (Sweden)

    Ina Schubert

    2015-06-01

    Full Text Available Background: Multicomponent heterostructure nanowires and nanogaps are of great interest for applications in sensorics. Pulsed electrodeposition in ion-track etched polymer templates is a suitable method to synthesise segmented nanowires with segments consisting of two different types of materials. For a well-controlled synthesis process, detailed analysis of the deposition parameters and the size-distribution of the segmented wires is crucial.Results: The fabrication of electrodeposited AuAg alloy nanowires and segmented Au-rich/Ag-rich/Au-rich nanowires with controlled composition and segment length in ion-track etched polymer templates was developed. Detailed analysis by cyclic voltammetry in ion-track membranes, energy-dispersive X-ray spectroscopy and scanning electron microscopy was performed to determine the dependency between the chosen potential and the segment composition. Additionally, we have dissolved the middle Ag-rich segments in order to create small nanogaps with controlled gap sizes. Annealing of the created structures allows us to influence their morphology.Conclusion: AuAg alloy nanowires, segmented wires and nanogaps with controlled composition and size can be synthesised by electrodeposition in membranes, and are ideal model systems for investigation of surface plasmons.

  12. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  13. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  14. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  15. Etching kinetics of swift heavy ion irradiated silicone rubber with insoluble additives or reaction products

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Petrov, A.; Farenzena, L.; Behar, M.; Papaleo, R.P.

    2003-01-01

    It is normally understood as a basic precondition of the etching of swift heavy ion tracks in polymers that both the additives and etching products are soluble in the etchant. If this is not given, the polymer surface may be gradually blocked by the deposition of the insoluble material that acts as a diffusion barrier for the penetration of fresh etchant into the tracks, and therefore the effective track etching speed will gradually be reduced. The etching kinetics is developed for that case, and the theory is compared with first experimental findings. For that purpose we have taken commercial silicone rubber foils as test materials, that were irradiated with GeV heavy ions through a mask at a fluence that corresponds to the onset of track overlapping. After etching with NaOH, the corresponding etching speed was recorded via the reduction of the foil thickness. The etching speed is seen to decrease with exposure time, in parallel to the development of an insoluble surface layer. It is discussed how to prevent that surface blocking, to maintain a high etching speed

  16. Etching behavior of poly (vinylidene fluoride) thin films irradiated with ion beams. Effect of irradiated ions and pretreatment

    International Nuclear Information System (INIS)

    Yamaki, Tetsuya; Rohani, Rosiah; Koshikawa, Hiroshi; Takahashi, Shuichi; Hasegawa, Shin; Asano, Masaharu; Maekawa, Yasunari; Voss, Kay-Obbe; Neumann, Reinhard

    2008-01-01

    Poly (vinylidene fluoride) thin films irradiated with four kinds of ion beams were exposed to a 9M KOH aqueous solution after their storage in air for 30 or 90 days at different temperatures. According to the conductometry, the heating at 120degC was found to enhance the etch rate in the latent track without changing that in the bulk, thereby enabling us to obtain very high etching sensitivity for the preparation of nano-sized through-pores. The formation of hydroperoxides during this pretreatment should facilitate the introduction of the etching agent to improve etchability. Additionally, the irradiation of higher-LET ions, causing each track to contain more activated sites (like radicals), was preferable to achieve high sensitivity of the etching. (author)

  17. Track-Etched Magnetic Micropores for Immunomagnetic Isolation of Pathogens

    Science.gov (United States)

    Muluneh, Melaku; Shang, Wu

    2014-01-01

    A microfluidic chip is developed to selectively isolate magnetically tagged cells from heterogeneous suspensions, the track-etched magnetic micropore (TEMPO) filter. The TEMPO consists of an ion track-etched polycarbonate membrane coated with soft magnetic film (Ni20Fe80). In the presence of an applied field, provided by a small external magnet, the filter becomes magnetized and strong magnetic traps are created along the edges of the micropores. In contrast to conventional microfluidics, fluid flows vertically through the porous membrane allowing large flow rates while keeping the capture rate high and the chip compact. By utilizing track-etching instead of conventional semiconductor fabrication, TEMPOs can be fabricated with microscale pores over large areas A > 1 cm2 at little cost ( 500 at a flow rate of Φ = 5 mL h−1. Furthermore, the large density of micropores (ρ = 106 cm−2) allows the TEMPO to sort E. coli from unprocessed environmental and clinical samples, as the blockage of a few pores does not significantly change the behavior of the device. PMID:24535921

  18. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Energy Technology Data Exchange (ETDEWEB)

    Fink, Dietmar, E-mail: fink@xanum.uam.mx [Nuclear Physics Institute, 25068 Řež (Czech Republic); Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Vacik, Jiri; Hnatowicz, V. [Nuclear Physics Institute, 25068 Řež (Czech Republic); Muñoz Hernandez, G. [Departamento de Fisica, Universidad Autónoma Metropolitana-Iztapalapa, PO Box 55-534, 09340 México, DF (Mexico); Garcia Arrelano, H. [Departamento de Ciencias Ambientales, División de Ciencias Biológicas y de la Salud, Universidad Autónoma Metropolitana-Lerma, Av. de las Garzas No. 10, Col. El Panteón, Lerma de Villada, Municipio de Lerma, Estado de México CP 52005 (Mexico); Alfonta, Lital [Avram and Stella Goldstein-Goren Department of Biotechnology Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel); Kiv, Arik [Department of Materials Engineering, Ben-Gurion University of the Negev, PO Box 653, Beer-Sheva 84105 (Israel)

    2017-05-01

    Highlights: • Application of swift heavy ion tracks in biosensing. • Obtaining yet unknown diffusion coefficients of organic matter across etched ion tracks. • Obtaining diffusion coefficients of organics in etched ion tracks of biosensors. • Comparison with Renkin’s equation to predict the effective etched track diameter in the given experiments. - Abstract: For understanding of the diffusion kinetics and their optimization in swift heavy ion track-based biosensors, recently a diffusion simulation was performed. This simulation aimed at yielding the degree of enrichment of the enzymatic reaction products in the highly confined space of the etched ion tracks. A bunch of curves was obtained for the description of such sensors that depend only on the ratio of the diffusion coefficient of the products to that of the analyte within the tracks. As hitherto none of these two diffusion coefficients is accurately known, the present work was undertaken. The results of this paper allow one to quantify the previous simulation and hence yield realistic predictions of glucose-based biosensors. At this occasion, also the influence of the etched track radius on the diffusion coefficients was measured and compared with earlier prediction.

  19. Radiation resistance of track etched membranes

    International Nuclear Information System (INIS)

    Buczkowski, M.; Sartowska, B.; Wawszczak, D.; Starosta, W.

    2001-01-01

    Track etched membranes (TEMs) obtained by irradiation of polymer films with heavy ions and subsequent etching of latent tracks can be applied in many fields and among others in biomedicine as well. It is important to know radiation resistance of TEMs because of wide use of radiation sterilization in the case of biomedical devices. Tensile properties of TEMs made of PET and PC films with the thickness of 10 μm after electron irradiation at different doses are known from literature. Nowadays TEMs are being manufactured from thicker (20 μm) PET and PC films as well as polyethylene naphthalate (PEN) films are proposed for TEMs. It seems to be important to get data about radiation resistance of new kinds of TEMs. Samples of polymer films made of PET and PEN with the thickness of 19-25 μm and TEMs made of such materials have been irradiated using 10 MeV electron beam with doses up to 990 kGy. Tensile properties and SEM photographs of the samples after irradiation are given in the paper

  20. Creation of nanoscale objects by swift heavy ion track manipulations

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.; Stolterfoht, N.

    2003-01-01

    In this work we give an overview of the possibilities to create new objects with nanoscale dimensions with ion tracks, for future applications. This can be realized in two ways: by manipulation of latent swift heavy ion (SHI) tracks, or by embedding specific structures within etched SHI tracks. In the first case one can make use of irradiation effects such as phase transitions and chemical or structural changes along the tracks. In the latter case, one can fill etched SHI tracks with metals, semiconductors, insulating and conducting polymers, fullerite, or colloides. Wires and tubules with outer diameters, between about 50 nm and 5 μm and lengths of up to about 100 μm can be obtained. The most important production techniques are galvanic and chemical depositions. Ion Transmission Spectrometry has turned out to be an especially useful tool for the characterisation of the produced objects. Present studies aim at the construction of condensers, magnets, diodes, and sensors in etched tracks. An obstacle for the practical realization of smallest-size polymeric ion track devices is the statistical distribution of the ion tracks on the target areas, which yields some pixels without any track, and other pixels even with overlapping tracks on a given sample. In a first test experiment we demonstrate that one can, in principle, overcome that problem by taking self-ordered porous foils as masks for subsequent high-fluence SHI irradiation. (author)

  1. CONTRIBUTION OF DIFFERENT PARTICLES MEASURED WITH TRACK ETCHED DETECTORS ONBOARD ISS.

    Science.gov (United States)

    Ambrožová, I; Davídková, M; Brabcová, K Pachnerová; Tolochek, R V; Shurshakov, V A

    2017-09-29

    Cosmic radiation consists of primary high-energy galactic and solar particles. When passing through spacecraft walls and astronauts' bodies, the spectrum becomes even more complex due to generating of secondary particles through fragmentation and nuclear interactions. Total radiation exposure is contributed by both these components. With an advantage, space research uses track etched detectors from the group of passive detectors visualizing the tracks of particles, in this case by etching. The detectors can discriminate between various components of cosmic radiation. A method is introduced for the separation of the different types of particles according to their range using track etched detectors. The method is demonstrated using detectors placed in Russian segment of the International Space Station in 2009. It is shown that the primary high-energy heavy ions with long range contribute up to 56% of the absorbed dose and up to 50% to the dose equivalent. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  2. Track-etched nanopores in spin-coated polycarbonate films applied as sputtering mask

    International Nuclear Information System (INIS)

    Nix, A.-K.; Gehrke, H.-G.; Krauser, J.; Trautmann, C.; Weidinger, A.; Hofsaess, H.

    2009-01-01

    Thin polycarbonate films were spin-coated on silicon substrates and subsequently irradiated with 1-GeV U ions. The ion tracks in the polymer layer were chemically etched yielding nanopores of about 40 nm diameter. In a second process, the nanoporous polymer film acted as mask for structuring the Si substrate underneath. Sputtering with 5-keV Xe ions produced surface craters of depth ∼150 nm and diameter ∼80 nm. This arrangement can be used for the fabrication of track-based nanostructures with self-aligned apertures.

  3. Non-etching nuclear track visualization in polymers: fluorescent and dyed tracks

    International Nuclear Information System (INIS)

    Somogyi, G.; Toth-Szilagyi, M.; Monnin, M.; Gourcy, J.

    1979-01-01

    A report is presented on progress in two methods of non-etching nuclear track visualization. The basis for one method is to graft polymer chains in the vicinity of the ion path in a polymer, and then to dye it, making the track visible. The second method is similar, but in this case saturated organic molecules can be used, which prevents subsequent polymerization. The detector is soaked with a solution of a sensitization molecule that can react with a convenient dye and fix it. The sensitization molecules may diffuse into the detector either through the bulk of it or/ and along the region damaged by a charged particle. Depending on the detailed procedure used, the tracks may be seen as 'dyed tracks' with visible-light illumination or as 'fluorescent tracks' with u.v. illumination. Experimental procedures and results are given and discussed. (U.K.)

  4. Artificial ion tracks in volcanic dark mica simulating natural radiation damage: A scanning force microscopy study

    International Nuclear Information System (INIS)

    Lang, M.; Glasmacher, U.A.; Moine, B.; Mueller, C.; Neumann, R.; Wagner, G.A.

    2002-01-01

    A new dating technique uses alpha-recoil tracks (ART), formed by the natural α-decay of U, Th and their daughter products, to determine the formation age of Quaternary volcanic rocks ( 6 a). Visualization of etched ART by scanning force microscopy (SFM) enables to access track densities beyond 10 8 cm -2 and thus extend the new ART-dating technique to an age range >10 6 a. In order to simulate natural radiation damage, samples of phlogopite, originating from Quaternary and Tertiary volcanic rocks of the Eifel (Germany) and Kerguelen Islands (Indian Ocean) were irradiated with U, Ni (11.4 MeV/u), Xe, Cr, Ne (1.4 MeV/u) and Bi (200 keV) ions. After irradiation and etching with HF at various etching times, phlogopite surfaces were visualized by SFM. Hexagonal etch pits are typical of U, Xe and Cr ion tracks, but the etch pits of Ni, Ne and Bi ion tracks are triangular. Surfaces irradiated with U, Xe, Cr and Ni ions do not show any significant difference between etch pit density and irradiation fluence, whereas the Ne-irradiated surface show ∼14 times less etch pit density. The etching rate v H (parallel to cleavage) depends on the chemical composition of the phlogopite. The etching rate v T ' (along the track) increases with energy loss

  5. Coupled chemical reactions in dynamic nanometric confinement: V. The influence of Li+ and F- ions on etching of nuclear tracks in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Ruiz, N. L.; Vacík, Jiří; Hnatowicz, Vladimír; Garcia-Arellano, H.; Alfonta, L.; Kiv, A.

    2014-01-01

    Roč. 169, č. 5 (2014), s. 396-417 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(XE) LM2011019 Institutional support: RVO:61389005 Keywords : tracks * biotechnology * nanostruct * ions * etching Subject RIV: BO - Biophysics Impact factor: 0.513, year: 2014

  6. Coupled chemical reactions in dynamic nanometric confinement: IV. Ion transmission spectrometric analysis of nanofluidic behavior and membrane formation during track etching in polymers

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Munoz, G. H.; Arellano, H. G.; Kiv, A.; Alfonta, L.

    2015-01-01

    Roč. 170, č. 3 (2015), s. 155-174 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : ions * etching * tracks Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.472, year: 2015

  7. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  8. Measurements of diameters of selectively etchable tracks produced in polymer by heavy ions

    International Nuclear Information System (INIS)

    Apel', P.Yu.

    1981-01-01

    The process of pore formation in polyethyleneterephtalate films irradiated by the 136 Xe, 84 Kr, 40 Ar ions was investigated by measuring the conductivity of the samples during etching. The diameters of the damaged tracks within which the local etching rate was larger than etching rate for non-destroyed polymer were determined. In the case of the 136 Xe ions measurements have been carried out at different ion energies [ru

  9. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  10. Naturally etched tracks in apatites and the correction of fission track dating

    CERN Document Server

    Tien, J L

    1999-01-01

    Naturally etched tracks have been found in apatites from the rapid cooled, high-level Kunon pluton in the Zhangzhou Igneous Complex, SE China. This is manifested by the fact that the apatite fission track (FT) age derived from conventional counting of spontaneous and induced tracks yields a result of 140.6+-6.5 Ma, which is much older than the ages determined using other methods on different minerals from the same rock. When tracks are observed after etching the polished inner sections of the apatite grains, the naturally etched tracks characterized by having hazy boundaries can be distinguished from the normal tracks with sharp boundaries. The age obtained by omitting these fading-resistant hazy tracks, 76.5+-4.0 Ma, indicates the time of the Kunon pluton cooling down to approx 100 deg. C. The corrected peak age (73.8 Ma) is consistent with the other apatite FT peak ages (79.2 to 70.2 Ma) of the nearly contemporaneous plutons in the same igneous complex.

  11. Investigations of heavy ion tracks in polyethylene naphthalate films

    CERN Document Server

    Starosta, W; Sartowska, B; Buczkowski, M

    1999-01-01

    The heavy ion beam (with fluence 3x10 sup 8 ion/cm sup 2) from a cyclotron has been used for irradiation of thin polyethylene naphthalate (PEN) films. Latent tracks in these polymeric films have been sensitized by UV radiation and then chemically etched in NaOH solution. The etching process parameters have been controlled by the electroconductivity method. After etching, parameters of samples have been examined by SEM and bubble point methods (Coulter[reg] Porometer II instrument). Results have shown good quality of PEN track membranes with pore sizes in the range: 0.1 - 0.5 mu m. The described procedure is known for thin polyethylene terephthalate (PET) films. Taking into consideration that PEN films have got better mechanical, thermal, gas barrier as well as better chemical resistance properties in comparison with PET films, the possibility of application of such membranes is much wider.

  12. 3D computation of the shape of etched tracks in CR-39 for oblique particle incidence and comparison with experimental results

    International Nuclear Information System (INIS)

    Doerschel, B.; Hermsdorf, D.; Reichelt, U.; Starke, S.; Wang, Y.

    2003-01-01

    Computation of the shape of etch pits needs to know the varying track etch rate along the particle trajectories. Experiments with alpha particles and 7 Li ions entering CR-39 detectors under different angles showed that this function is not affected by the inclination of the particle trajectory with respect to the normal on the detector surface. Track formation for oblique particle incidence can, therefore, be simulated using the track etch rates determined for perpendicular incidence. 3D computation of the track shape was performed applying a model recently described in literature. A special program has been written for computing the x,y,z coordinates of points on the etch pit walls. In addition, the etch pit profiles in sagittal sections as well as the contours of the etch pit openings on the detector surface have been determined experimentally. Computed and experimental results were in good agreement confirming the applicability of the 3D computational model in combination with the functions for the depth-dependent track etch rates determined experimentally

  13. Calculation of bulk etch rate’s semi-empirical equation for polymer track membranes in stationary and dynamic modes

    Directory of Open Access Journals (Sweden)

    A. Mashentseva

    2013-05-01

    Full Text Available One of the most urgent and extremely social problems in environmental safeties area in Kazakhstan is providing the population of all regions of the country with quality drinking water. Development of filter elements based on nuclear track-etch membranes may be considered as one of best solutions this problem. The values of bulk etch rate and activation energy were calculated in view the effect of temperature, alkaline solution concentration as well as stirring effect. The semi-empirical equation of the bulk etch rate for PET track membranes was calculated. As a result of theoretical and experimental studies a semi-empirical equation of the bulk etch rate VB=3.4∙1012∙C2.07∙exp(-0.825/kT for 12 microns PET film, irradiated by ions 84Kr15+ (energy of 1.75 MeV/nucleon at the heavy ion accelerator DC-60 in Astana branch of the INP NNC RK, was obtained. 

  14. Composition dependent thermal annealing behaviour of ion tracks in apatite

    Energy Technology Data Exchange (ETDEWEB)

    Nadzri, A., E-mail: allina.nadzri@anu.edu.au [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia); Schauries, D.; Mota-Santiago, P.; Muradoglu, S. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia); Trautmann, C. [GSI Helmholtz Centre for Heavy Ion Research, Planckstrasse 1, 64291 Darmstadt (Germany); Technische Universität Darmstadt, 64287 Darmstadt (Germany); Gleadow, A.J.W. [School of Earth Science, University of Melbourne, Melbourne, VIC 3010 (Australia); Hawley, A. [Australian Synchrotron, 800 Blackburn Road, Clayton, VIC 3168 (Australia); Kluth, P. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601 (Australia)

    2016-07-15

    Natural apatite samples with different F/Cl content from a variety of geological locations (Durango, Mexico; Mud Tank, Australia; and Snarum, Norway) were irradiated with swift heavy ions to simulate fission tracks. The annealing kinetics of the resulting ion tracks was investigated using synchrotron-based small-angle X-ray scattering (SAXS) combined with ex situ annealing. The activation energies for track recrystallization were extracted and consistent with previous studies using track-etching, tracks in the chlorine-rich Snarum apatite are more resistant to annealing than in the other compositions.

  15. A novel approach to particle track etching: surfactant enhanced control of pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Dmitriev, S.N.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    Based on the understanding of the mechanism behind a long observed but thus far unexplained effect, a new method to control the geometry of nano- and micropores is described. Surfactant molecules added to an etching solution used for etching out ion tracks, create a steric-hindrance effect which is responsible for the formation of 'bottleneck' or 'cigar-like' pores. Filtration membranes thus obtained exhibit significantly improved flow rates without deterioration in the retention properties. New applications are made possible with these new pore geometries

  16. Tracking of Polycarbonate Films using Low-energy Ions Final Report CRADA No. TC-774-94

    Energy Technology Data Exchange (ETDEWEB)

    Musket, R. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2018-01-24

    Ion tracking is performed almost exclusively using ions with energies near or above the maximum in electronic stopping. For the present study, we have examined the results of etching ion tracks created by ions bombarding polycarbonate films with energies corresponding to stopping well below the maximum and just above the anticipated threshold for creating etchable latent tracks. Low-energy neon and argon ions with 18-60 keV /amu and fluences of about 108/cm2 were used to examine the limits for producing etchable tracks in polycarbonate films. By concentrating on the early stages of etching (i.e., -20 nm < SEM hole diameter < -100 nm), we can directly relate the energy deposition calculated for the incident ion to the creation of etchable tracks. The experimental results will be discussed with regard to the energy losses of the ions in the polycarbonate films and to the formation of continuous latent tracks through the entire thickness the films. These results have significant implications with respect to the threshold for formation of etchable tracks and to the use of low-energy ions for lithographic applications.

  17. Poly(vinylidene fluoride)-based ion track membranes with different pore diameters and shapes. SEM observations and conductometric analysis

    International Nuclear Information System (INIS)

    Nuryanthi, Nunung; Yamaki, Tetsuya; Koshikawa, Hiroshi; Asano, Masaharu; Enomoto, Kazuyuki; Sawada, Shin-ichi; Maekawa, Yasunari; Voss, Kay-Obbe; Trautmann, Christina; Neumann, Reinhard

    2010-01-01

    Poly(vinylidene fluoride) (PVDF) membranes with conical and cylindrical nanopores were prepared in a controlled manner by the ion-track technique, which involved heavy-ion beam irradiation and subsequent alkaline etching. The etching behavior mainly depended on the energy deposition of the ion beams, and thus its depth distribution, estimated by theoretical simulation, was successfully applied to control the shapes and diameters of the etched pores. Scanning electron microscopy (SEM) and electrolytic conductometry provided an insight into the critical experimental parameters. Interestingly, applying a higher voltage to the conductometry cell promoted track etching up to breakthrough probably because electrophoretic migration of the dissolved products occurred out of each pore. (author)

  18. Current problems in chemical track etching

    International Nuclear Information System (INIS)

    Somogyi, G.

    1984-01-01

    A schematic survey is given on the current relevant problems of the etching (or revelation) of multi-track and single-track events in dielectric solids. Some aspects of the research trends and possible new applications of the effects observable here, are also considered. (author)

  19. A novel method for observation by unaided eyes of nitrogen ion tracks and angular distribution in a plasma focus device using 50 Hz–HV electrochemically-etched polycarbonate detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Habibi, M.; Roshani, G.H.; Ramezani, V.

    2012-01-01

    A novel ion detection method has been developed and studied in this paper for the first time to detect and observe tracks of nitrogen ions and their angular distribution by unaided eyes in the Amirkabir 4 kJ plasma focus device (PFD). The method is based on electrochemical etching (ECE) of nitrogen ion tracks in 1 mm thick large area polycarbonate (PC) detectors. The ECE method employed a specially designed and constructed large area ECE chamber by applying a 50 Hz–high voltage (HV) generator under optimized ECE conditions. The nitrogen ion tracks and angular distribution were efficiently (constructed for this study) amplified to a point observable by the unaided eyes. The beam profile and angular distribution of nitrogen ion tracks in the central axes of the beam and two- and three-dimensional iso-ion track density distributions showing micro-beam spots were determined. The distribution of ion track density along the central axes versus angular position shows double humps around a dip at the 0° angular positions. The method introduced in this paper proved to be quite efficient for ion beam profile and characteristic studies in PFDs with potential for ion detection studies and other relevant dosimetry applications.

  20. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  1. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  2. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  3. Ion track annealing in quartz investigated by small angle X-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Schauries, D.; Afra, B.; Rodriguez, M.D. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 2601 (Australia); Trautmann, C. [GSI Helmholtz Centre for Heavy Ion Research, Planckstrasse 1, 64291 Darmstadt (Germany); Technische Universität Darmstadt, 64287 Darmstadt (Germany); Hawley, A. [Australian Synchrotron, 800 Blackburn Road, Clayton, VIC 3168 (Australia); Kluth, P. [Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 2601 (Australia)

    2015-12-15

    We report on the reduction of cross-section and length of amorphous ion tracks embedded within crystalline quartz during thermal annealing. The ion tracks were created via Au ion irradiation with an energy of 2.2 GeV. The use of synchrotron-based small angle X-ray scattering (SAXS) allowed characterization of the latent tracks, without the need for chemical etching. Temperatures between 900 and 1000 °C were required to see a notable change in track size. The shrinkage in cross-section and length was found to be comparable for tracks aligned perpendicular and parallel to the c-axis.

  4. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  5. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  6. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  7. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  8. Application of a cellular automaton for the evolution of etched nuclear tracks

    International Nuclear Information System (INIS)

    Cruz-Trujillo, Leonardo de la; Hernández-Hernández, C.; Vázquez-López, C.; Zendejas-Leal, B.E.; Golzarri, I.; Espinosa, G.

    2013-01-01

    In the present work, it is demonstrated the first application of cellular automata to the growing of etched nuclear tracks. The simplest case in which conical etched tracks are gradually formed is presented, as well as a general case of time varying etching rate V t . It is demonstrated that the cellular automata elements consist in an image pattern of the latent nuclear track input cells, 16 rules for updating states, the Moore neighborhood and an algorithm of four states. - Highlights: ► We model the evolution of an etched nuclear track using cellular automata (ca). ► A cellular automaton of a conical track has 4 states and 16 transition rules. ► The ca of general tracks require a not regular mesh and the L(t) and V b parameters

  9. Beam profile measurement with CR-39 track detector for low-energy ions

    CERN Document Server

    Sato, F; Tanaka, T; Iida, T; Yamauchi, T; Oda, K

    1999-01-01

    A CR-39 track detector was successfully used to measure the outline of thin low-energy ion beams. After the etching, the surface of the detector was examined with an observation system composed of a Normarski microscope, a CCD camera and a digital image processing computer. Beam images obtained with the system were in good agreement on the outline of the beam formed with a beam aperture. Also, the resolving power in the beam outline measurement was roughly explained from the consideration of the ion range and the etch-pit growth in the chemical etching for the CR-39 detector.

  10. Glucose determination using a re-usable enzyme-modified ion track membrane sensor

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Klinkovich, I.; Bukelman, O.; Marks, R.S.; Kiv, A.; Fuks, D.; Fahrner, W. R.; Alfonta, L.

    2009-01-01

    Roč. 24, č. 8 (2009), s. 2702-2706 ISSN 0956-5663 Institutional research plan: CEZ:AV0Z10480505 Keywords : Glucose sensor * etched tracks * Ion track membranes Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 5.429, year: 2009

  11. Pinning of 1800 Bloch walls at etched nuclear tracks in LPE-grown iron garnet films

    International Nuclear Information System (INIS)

    Krumme, J.; Bartels, I.; Strocka, B.; Witter, K.; Schmelzer, C.; Spohr, R.

    1977-01-01

    For increasing the magnetic-wall coercivity H/sup w//sub c/ in liquid-phase epitaxial (LPE) ferrimagnetic garnet films of composition (Gd,Bi) 3 (Fe,Al,Ga) 5 O 12 , magnetic-wall ''traps'' are formed via bombardment by xenon ions with 180-MeV/ion energy and doses between 10 6 and 10 8 cm -2 . For efficient wall pinning, the width of the nuclear damage tracks associated with the ion trajectories in the film have been enlarged to about the wall width by using a selective (chemical) etchant that makes use of the drastically increased etching rate in the damaged track volume. Therefore, channels of cylindrical or prismatic cross section are created having a width of a few 10 2 to about 10 3 A and a length of more than 10 μm at the given etching conditions. The pinning capability of such channels can be further enhanced in films that are grown under planar compressive or tensile misfit strain. Then, strain relaxation occurs in the vicinity of these channels which results in steep gradients of the magnetic-wall energy via magnetostriction. These strain halos extend sufficiently beyond the channels so that efficient wall pinning is observed, even if the channel cross section is small compared with the wall width. Thermomagnetic compensation-point writing in LPE garnet film, that were treated accordingly, yield a pattern of stable magnetic domains of down to 8 μm in diameter in 3-μm-thick layers. The effect of etched nuclear tracks on the magnetic-wall coercivity can be interpreted satisfactorily with present models on H/sup w//sub c/

  12. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  13. A comparative study of track registration response of Makrofol-(KG, KL and N) polycarbonate to sup 4 sup 0 Ar ions

    CERN Document Server

    Kumar, A

    1999-01-01

    In the present work a comparative study of track registration response of sup 4 sup 0 Ar ions in different types of Makrofol polycarbonates viz. Makrofol-KG, KL and N have been done. The etched track parameters viz. bulk etch rate, track etch rate, etch rate ratio, cone angle and etching efficiency were calculated. The variation of etching rates with temperature were found to be exponential and follow the Arrhenius equation. The values of activation energy for bulk and track etching were also calculated. Maximum etchable track length/range were also obtained and compared with the theoretical values obtained from computer program RANGE. From the results it is found that the polycarbonates having same chemical composition manufactured by different chemical processes have slightly different behavior

  14. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  15. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  16. Low-voltage electroosmotic pumping using polyethylene terephthalate track-etched membrane

    Energy Technology Data Exchange (ETDEWEB)

    Wang Ceming; Wang Lin [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Xue Jianming, E-mail: jmxue@pku.edu.cn [State Key Laboratory of Nuclear Physics and Technology, Peking University, Beijing 100871 (China); Center for Applied Physics and Technology, Peking University, Beijing 100871 (China)

    2012-09-01

    We present experimental investigations of electroosmotic (EO) pumping using polyethylene terephthalate (PET) track-etched membrane at a low applied voltage. An EO pump based on PET track-etched membrane has been designed and fabricated. Pumping performance of the device is experimentally studied in terms of flow rate as a function of applied voltage and KCl aqueous concentration. The PET track-etched membrane EO pump can generate flow rates on the order of 10 {mu}l min{sup -1} cm{sup -2} at several applied volts. The measured flow rate tends to decrease with increasing KCl aqueous concentration. In addition, we study the EO flow in cylindrical nanopore with use of a continuum model, composed of Nernst Planck equations, Poisson equation and Navier Stokes equations.

  17. Effect of Metal Ion Etching on the Tribological, Mechanical and Microstructural Properties of TiN-COATED d2 Tool Steel Using Cae Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah Binti; Hj. Mohd Toff, Mohd Radzi

    A study has been made on TiN coatings deposited on D2 tool steel substrates by using commercially available cathodic arc evaporation, physical vapor deposition technique. The goal of this work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness, coefficient of friction and surface roughness of TiN coating deposited on tool steel, which is vastly use in tool industry for various applications. A pin-on-disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating at various ion etching rates. The tribo-test showed that the minimum value recorded for friction coefficient was 0.386 and 0.472 with standard deviation of 0.056 and 0.036 for the coatings deposited at zero and 16 min ion etching. The differences in friction coefficient and surface roughness was mainly associated with the macrodroplets, which was produced during etching stage. The coating deposited for 16 min metal ion etching showed the maximum hardness, i.e., about five times higher than uncoated one and 1.24 times to the coating deposited at zero ion etching. After friction test, the wear track was observed by using field emission scanning electron microscope. The coating deposited for zero ion etching showed small amounts of macrodroplets as compared to the coating deposited for 16 min ion etching. The elemental composition on the wear scar were investigated by means of energy dispersive X-ray, indicate no further TiN coating on wear track. A considerable improvement in TiN coatings was recorded as a function of various ion etching rates.

  18. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  19. Determination by Small-angle X-ray Scattering of Pore Size Distribution in Nanoporous Track-etched Polycarbonate Membranes

    Science.gov (United States)

    Jonas, A. M.; Legras, R.; Ferain, E.

    1998-03-01

    Nanoporous track-etched membranes with narrow pore size distributions and average pore size diameters tunable from 100 to 1000 Åare produced by the chemical etching of latent tracks in polymer films after irradiation by a beam of accelerated heavy ions. Nanoporous membranes are used for highly demanding filtration purposes, or as templates to obtain metallic or polymeric nanowires (L. Piraux et al., Nucl. Instr. Meth. Phys. Res. 1997, B131, 357). Such applications call for developments in nanopore size characterization techniques. In this respect, we report on the characterization by small-angle X-ray scattering (SAXS) of nanopore size distribution (nPSD) in polycarbonate track-etched membranes. The obtention of nPSD requires inverting an ill-conditioned inhomogeneous equation. We present different numerical routes to overcome the amplification of experimental errors in the resulting solutions, including a regularization technique allowing to obtain the nPSD without a priori knowledge of its shape. The effect of deviations from cylindrical pore shape on the resulting distributions are analyzed. Finally, SAXS results are compared to results obtained by electron microscopy and conductometry.

  20. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  1. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  2. Swift-heavy ion track electronics (SITE)

    International Nuclear Information System (INIS)

    Fink, D.; Chadderton, L.T.; Hoppe, K.; Fahrner, W.R.; Chandra, A.; Kiv, A.

    2007-01-01

    An overview about the state-of-art of the development of a new type of nanoelectronics based on swift-heavy ions is given. Polymeric as well as silicon-based substrates have been used, and both latent and etched ion tracks play a role. Nowadays the interest has shifted from simple scaling-down of capacitors, magnets, transformers, diodes, transistors, etc. towards new types of ion track-based structures hitherto unknown in electronics. These novel structures, denoted by the acronyms 'TEAMS' (tunable electrically anisotropic material on semiconductor) and 'TEMPOS' (tunable electronic material with pores in oxide on semiconductor), may exhibit properties of tunable resistors, capacitors, diodes, sensors and transistors. Their general current/voltage characteristics are outlined. As these structures are often influenced by ambient physical or chemical parameters they also act as sensors. A peculiarity of these structures is the occurrence of negative differential resistances (NDRs) which makes them feasible for applications in tunable flip-flops, amplifiers and oscillators

  3. Swift-heavy ion track electronics (SITE)

    Energy Technology Data Exchange (ETDEWEB)

    Fink, D. [Hahn-Meitner-Institute Berlin, Glienicker Str. 100, D-14109 Berlin (Germany)]. E-mail: fink@hmi.de; Chadderton, L.T. [Institute of Advanced Studies, ANU Canberra, G.P.O. Box 4, ACT (Australia); Hoppe, K. [South Westfalia University of Applied Sciences, Hagen (Germany); Fahrner, W.R. [Chair of Electronic Devices, Inst. of Electrotechnique, Fernuniversitaet, Hagen (Germany); Chandra, A. [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India); Kiv, A. [Ben Gurion University of the Negev, Israel, P.O. Box 653, Beer-Sheva, 84105 (Israel)

    2007-08-15

    An overview about the state-of-art of the development of a new type of nanoelectronics based on swift-heavy ions is given. Polymeric as well as silicon-based substrates have been used, and both latent and etched ion tracks play a role. Nowadays the interest has shifted from simple scaling-down of capacitors, magnets, transformers, diodes, transistors, etc. towards new types of ion track-based structures hitherto unknown in electronics. These novel structures, denoted by the acronyms 'TEAMS' (tunable electrically anisotropic material on semiconductor) and 'TEMPOS' (tunable electronic material with pores in oxide on semiconductor), may exhibit properties of tunable resistors, capacitors, diodes, sensors and transistors. Their general current/voltage characteristics are outlined. As these structures are often influenced by ambient physical or chemical parameters they also act as sensors. A peculiarity of these structures is the occurrence of negative differential resistances (NDRs) which makes them feasible for applications in tunable flip-flops, amplifiers and oscillators.

  4. CR-39 track detector for multi-MeV ion spectroscopy.

    Science.gov (United States)

    Jeong, T W; Singh, P K; Scullion, C; Ahmed, H; Hadjisolomou, P; Jeon, C; Yun, H; Kakolee, K F; Borghesi, M; Ter-Avetisyan, S

    2017-05-19

    We present the characteristics of track formation on the front and rear surfaces of CR-39 produced by laser-driven protons and carbon ions. A methodological approach, based on bulk etch length, is proposed to uniquely characterize the particle tracks in CR-39, enabling comparative description of the track characteristics in different experiments. The response of CR-39 to ions is studied based on the energy dependent growth rate of the track diameter to understand the intrinsic particle stopping process within the material. A large non-uniformity in the track diameter is observed for CR-39 with thickness matching with the stopping range of particles. Simulation and experimental results show the imprint of longitudinal range straggling for energetic protons. Moreover, by exploiting the energy dependence of the track diameter, the energy resolution (δE/E) of CR-39 for few MeV protons and Carbon ion is found to be about 3%.

  5. Environment-sensitive ion-track membranes

    International Nuclear Information System (INIS)

    Yoshida, Masaru

    1996-01-01

    Development of an environment-sensitive porous membrane from ion-track membranes may realize by combining the techniques of ion beam radiation and those of molecular designing and synthesis for intelligent materials. Now, the development of such membrane is progressing with an aim at selecting some specific substances and accurately control its pore size in response to any small environmental stimulus such as temperature change. The authors have been studying the molecular design, synthesis and functional expression of intelligent materials, which are called here as environment-sensitive gels. In this report, the outlines of the apparatus for the production of such porous membrane was described. An organic polymer membrane was irradiated with an ion beam and followed by chemical etching to make ion track pores. Scanning electron microscopic observation for the cross section of the membrane showed that the pore shape varies greatly depending on the ion nuclide used. The characteristics of newly produced porous membranes consisting of CR-30/A-ProDMe and polyethylene-telephtharate were investigated in respect of pore size change responding to temperature. These studies of design, synthesis and functions of such gels would enable to substitute artificial materials for the functions of human sensors. (M.N.). 54 refs

  6. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  7. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  8. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  9. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Science.gov (United States)

    Fink, Dietmar; Vacik, Jiri; Hnatowicz, V.; Muñoz Hernandez, G.; Garcia Arrelano, H.; Alfonta, Lital; Kiv, Arik

    2017-05-01

    For understanding of the diffusion kinetics and their optimization in swift heavy ion track-based biosensors, recently a diffusion simulation was performed. This simulation aimed at yielding the degree of enrichment of the enzymatic reaction products in the highly confined space of the etched ion tracks. A bunch of curves was obtained for the description of such sensors that depend only on the ratio of the diffusion coefficient of the products to that of the analyte within the tracks. As hitherto none of these two diffusion coefficients is accurately known, the present work was undertaken. The results of this paper allow one to quantify the previous simulation and hence yield realistic predictions of glucose-based biosensors. At this occasion, also the influence of the etched track radius on the diffusion coefficients was measured and compared with earlier prediction.

  10. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  11. A study of etching model of alpha-recoil tracks in biotite

    International Nuclear Information System (INIS)

    Dong Jinquan; Yuan Wanming; Wang Shicheng; Fan Qicheng

    2005-01-01

    Like fission-track dating, alpha-recoil track (ART) dating is based on the accumulation of nuclear particles that the released from natural radioactivity and produce etchable tracks in solids. ARTs are formed during the alpha-decay of uranium and thorium as well as of their daughter nuclei. When emitting an alpha-particle, the heavy remaining nucleus recoils 30-40 nm, leaving behind a trail of radiation damage. Through etching the ART tracks become visible with the aid of an interference phase-contrast microscope. Under the presupposition that all tracks are preserved since the formation of a sample their total number is a measure of the sample's age. The research for etching model is to accurately determine ART volume density, i.e., the number of ARTs per unit volume. The volume density of many dots in many layers may be determined on a sample using this etching model, and as decreasing the error and increasing the accuracy. (authors)

  12. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  13. Evolution of etched nuclear track profiles of alpha particles in CR-39 by atomic force microscopy

    International Nuclear Information System (INIS)

    Félix-Bautista, R.; Hernández-Hernández, C.; Zendejas-Leal, B.E.; Fragoso, R.; Golzarri, J.I.; Vázquez-López, C.; Espinosa, G.

    2013-01-01

    A series of atomic force microscopy (AFM) images of etched nuclear tracks has been obtained and used to calculate the nuclear track registration sensitivity parameter V(x) = Vt(x)/Vb. Due to the AFM limitations the samples were irradiated normally to the surface, and with energies attenuated in order to include the Bragg peak region in the AFM piezo-scanner z movement range. The simulation of the track profile evolution was then obtained. The different stages of etched nuclear track profiles were rendered. - Highlights: ► Using AFM we reach that Bragg peak region of etched tracks in CR-39. ► The etched track sensitivity V was calculated by data obtained by AFM. ► The evolucion of etched nuclear tracks was simulated by data achieved by AFM

  14. Transport through track etched polymeric blend membrane

    Indian Academy of Sciences (India)

    Unknown

    Department of Physics, University of Rajasthan, Jaipur 302 004, India. MS received 10 June 2005 ... Both the track and bulk etching takes place in the irradiated membrane. ... using rotating flywheel attachment, the details having been given ...

  15. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  16. Observations on the geometries of etched fission and alpha-recoil tracks with reference to models of track revelation in minerals

    International Nuclear Information System (INIS)

    Jonckheere, R.; Enkelmann, E.; Stuebner, K.

    2005-01-01

    The kinetic and atomistic theories of crystal growth and dissolution are used to interpret the shapes and orientations of fission-track, recoil-track and dislocation etch pits in tri-octahedral phlogopite and di-octahedral muscovite. An atomistic approach combined with symmetry considerations lead to the identification of the periodic bond chains that determine the etch pit morphologies and relative etch rates at a chemical level: O-Mg-O in phlogopite, O-Mg-O-Fe in biotite and O-Al-O in muscovite. Using first-order estimates of the bond strengths, it is possible to account for the relative track etch rates in these minerals. The reported, sometimes simultaneous, occurrence of triangular, polygonal and hexagonal etch pit contours in phlogopite, some of which violate the crystal symmetry, suggests that the cohesion of the phlogopite lattice is lost over a much larger radius than that of the track core around the trajectories of particles for which the energy loss exceeds a threshold value. This is interpreted as an indication of pronounced sublattice and anisotropic effects during track registration

  17. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  18. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  19. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  20. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  1. The role of ion beam etching in magnetic bubble device manufacture

    International Nuclear Information System (INIS)

    Brambley, D.R.; Vanner, K.C.

    1979-01-01

    The most critical stage of fabrication of magnetic bubble memories is the etching of a pattern in a permalloy (80/20 Ni/Fe) film approximately 0.4 microns thick. The permalloy elements so made are used to produce perturbations in an externally applied magnetic bias field, and these perturbations cause the translation of magnetic bubbles within an underlying film. Devices now being produced have memory-cell sizes of less than 16 microns and require the etched features to have minimum dimensions of less than 2 microns. The only practicable way of achieving this with the requisite precision is by the use of sputter or ion beam etching. In addition, ion beam etching is used for defining gold conductor elements which perform the functions of bubble nucleation, replication and transfer. This paper briefly outlines the bubble device fabrication process, with special emphasis on the role of ion beam etching. The wafer temperature, element profile and uniformity obtained during ion beam etching are of considerable significance, and some of the factors affecting these will be discussed. Finally some of the limitations of ion beam etching will be described. (author)

  2. Image analysis used to count and measure etched tracks from ionizing radiation

    Science.gov (United States)

    Blanford, George E.; Schulz, Cindy K.

    1995-01-01

    We have developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains and plastic dosimeters. Tracks in lunar samples are formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. We worked on two samples identified for a consortium study of lunar weathering effects, 61221 and 67701. They were prepared by the lunar curator's staff as polished grain mounts that were etched in boiling 1 N NaOH for 6 h to reveal tracks. We determined that backscattered electron images taken at 10 percent contrast and approximately 50 percent brightness produced suitable high contrast images for analysis. We used the NIH Image program to cut out areas that were unsuitable for measurement such as edges, cracks, etc. We ascertained a gray-scale threshold of 25 to separate tracks from background. We used the computer to count everything that was two pixels or greater in size and to measure the area to obtain track densities. We found an excellent correlation with manual measurements for track densities below 1 x 10(exp 8) cm(exp -2). For track densities between 1 x 10(exp 8) cm(exp -2) to 1 x 10(exp 9) cm(exp -2) we found that a regression formula using the percentage area covered by tracks gave good agreement with manual measurements. We determined the track density distributions for 61221 and 67701. Sample 61221 is an immature sample, but not pristine. Sample 67701 is a submature sample that is very close to being fully mature. Because only 10 percent of the grains have track densities less than 10(exp 9) cm(exp -2), it is difficulty to determine whether the sample matured in situ or is a mixture of a mature and a submature soil. Although our analysis

  3. A simple and rapid method for high-resolution visualization of single-ion tracks

    Directory of Open Access Journals (Sweden)

    Masaaki Omichi

    2014-11-01

    Full Text Available Prompt determination of spatial points of single-ion tracks plays a key role in high-energy particle induced-cancer therapy and gene/plant mutations. In this study, a simple method for the high-resolution visualization of single-ion tracks without etching was developed through the use of polyacrylic acid (PAA-N, N’-methylene bisacrylamide (MBAAm blend films. One of the steps of the proposed method includes exposure of the irradiated films to water vapor for several minutes. Water vapor was found to promote the cross-linking reaction of PAA and MBAAm to form a bulky cross-linked structure; the ion-track scars were detectable at a nanometer scale by atomic force microscopy. This study demonstrated that each scar is easily distinguishable, and the amount of generated radicals of the ion tracks can be estimated by measuring the height of the scars, even in highly dense ion tracks. This method is suitable for the visualization of the penumbra region in a single-ion track with a high spatial resolution of 50 nm, which is sufficiently small to confirm that a single ion hits a cell nucleus with a size ranging between 5 and 20 μm.

  4. A simple and rapid method for high-resolution visualization of single-ion tracks

    Energy Technology Data Exchange (ETDEWEB)

    Omichi, Masaaki [Department of Applied Chemistry, Graduate School of Engineering, Osaka University, Osaka 565-0871 (Japan); Center for Collaborative Research, Anan National College of Technology, Anan, Tokushima 774-0017 (Japan); Choi, Wookjin; Sakamaki, Daisuke; Seki, Shu, E-mail: seki@chem.eng.osaka-u.ac.jp [Department of Applied Chemistry, Graduate School of Engineering, Osaka University, Osaka 565-0871 (Japan); Tsukuda, Satoshi [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, Sendai, Miyagi 980-8577 (Japan); Sugimoto, Masaki [Japan Atomic Energy Agency, Takasaki Advanced Radiation Research Institute, Gunma, Gunma 370-1292 (Japan)

    2014-11-15

    Prompt determination of spatial points of single-ion tracks plays a key role in high-energy particle induced-cancer therapy and gene/plant mutations. In this study, a simple method for the high-resolution visualization of single-ion tracks without etching was developed through the use of polyacrylic acid (PAA)-N, N’-methylene bisacrylamide (MBAAm) blend films. One of the steps of the proposed method includes exposure of the irradiated films to water vapor for several minutes. Water vapor was found to promote the cross-linking reaction of PAA and MBAAm to form a bulky cross-linked structure; the ion-track scars were detectable at a nanometer scale by atomic force microscopy. This study demonstrated that each scar is easily distinguishable, and the amount of generated radicals of the ion tracks can be estimated by measuring the height of the scars, even in highly dense ion tracks. This method is suitable for the visualization of the penumbra region in a single-ion track with a high spatial resolution of 50 nm, which is sufficiently small to confirm that a single ion hits a cell nucleus with a size ranging between 5 and 20 μm.

  5. Comparison of fast neutron-induced tracks in plastics using the electrochemical etching method

    International Nuclear Information System (INIS)

    Cotter, S.J.; Gammage, R.B.; Thorngate, J.H.; Ziemer, P.L.

    1979-01-01

    Four plastics were examined by the electrochemical etching method for their suitability in registering fast neutron-induced recoil particle tracks. The plastics were cellulose acetate, cellulose triacetate, cellulose acetobutyrate and polycarbonate. Cellulose acetate and triacetate displayed high levels of water absorptivity during etching while the acetobutyrate foils cracked due to electromechanical stresses at high frequencies (>500 Hz). The clarity of the etched track was superior in the polycarbonate foils, suggesting the latter as the generally preferred dosimeter for fast neutrons. (author)

  6. Neutron activation analysis of uranium by means of electrochemical etching of tracks in lawsan detectors

    International Nuclear Information System (INIS)

    Kim Son Chun; Chuburkov, Yu.T.; Zvara, I.I.

    1982-01-01

    The method of neutron activation analysis of uranium in natural and artificial materials using track lavsan detectors of fission fragments has been developed. The method of electrochemical etching (etching reagent NaOH) of fragment tracks in lavsan is improved. Using statistical method of experiment planning the equation, describing the dependence of diometer value of fission fragment tracks on parameters of etching process, is obtained. The analysis sensitivity is 10 - 7 g/g - 10 - 8 g/g

  7. A spatial track formation model and its use for calculating etch-pit parameters of light nuclei

    International Nuclear Information System (INIS)

    Somogyi, G.; Scherzer, R.; Grabisch, K.; Enge, W.

    1976-01-01

    A generalized geometrical model of etch-pit formation in three dimensions is presented for nuclear particles entering isotropic solids at arbitrary angles of incidence. With this model one can calculate the relations between any particle parameter /Z = charge, M = mass, R = range, theta = angle of incidence/ and etching or track parameter /h = removed detector layer, L = track length, d = track diameter, etch-pit profile and contour/ for track etching rates varying monotonically along the trajectory of particles. Using a computer algorithm, calculations have been performed to study identification problems of nuclei of Z = 1-8 registered in a stack of polycarbonate sheets. For these calculations the etching rate ratio vs residual range curves were parametrized with a form of V -1 (R) = 1-Σasub(i) exp (- bsub(i)R) which does not involve the existence of a threshold for track registration. Particular attention was paid to the study of the evolution of etch-pit sizes for relatively high values of h. For this case, data are presented for the charge and isotope resolving power of the identification methods based on the relations L(R) of d(R). Calculations were also made to show the effect of the relative /parallel and opposite/ orientations between the directions of track etching and particle speed on etch-pit evolution. These studies offered new identification methods based on the determination of the curves L(parallel) vs L(opposite) and d(parallel) vs d(opposite), respectively. (orig.) [de

  8. Track-etch detection of radon in soils

    International Nuclear Information System (INIS)

    Cervantes Gonzales, P.; Gonzalez, D.

    1990-01-01

    In this work it is described the methodology to apply the track-etch technique, using detectors of nitrocellulose LR-115, for the detection of radon in soil. It is supported the use of the new detector carries and determined the parameters for revealing and counting of tracks in our conditions. It is shown in a preliminary way that this method gives better possibilities for analysis than another traditional technique to radon detection. The existence of radon was determined in the test zone. 15 refs

  9. Enlarging the fission fragment tracks in glass detectors by etching in weak solutions of HF - a safe etchant

    International Nuclear Information System (INIS)

    Singh, V.P.; Sharma, A.P.

    1982-01-01

    The effect of etchant concentration and temperature on track revelation properties of soda glass detectors has been studied. Etch rate ratio, maximum observable diameter and the energy resolution of the fission fragment tracks of 252 Cf in glasses are increased when the samples are etched in 1.25 vol% HF as compared to higher concentrations of HF and other etching solutions. The critical angle of etching is found to decrease with decrease in etchant concentration. The activation energies for bulk etching and track etching have also been estimated. Better results were obtained by using lower etching temperatures. (author)

  10. Thermal stability of dyed tracks and electrochemical etching sensitivity of some polymeric detectors

    International Nuclear Information System (INIS)

    Monnin, M.; Gourcy, J.; Somogyi, G.; Dajko, D.

    1980-01-01

    Recent results on the mechanism of the formation of tracks obtained by the dyed tracks technique are given and the thermal annealing of the detectors is used to demonstrate their ability to retain tracks under more severe conditions than by the etching technique. Electrochemical etching of polycarbonate and polyethylene terephthalate detectors is investigated both from the background and sensitivity points of view. The polyethylene terephthalate detector is shown to be well suited for low neutron flux measurements. (author)

  11. Etching of fission tracks in silicate glasses by means of deionized water

    International Nuclear Information System (INIS)

    Dran, J.C.; Petit, J.C.

    1985-09-01

    Fission tracks have been revealed in silicate glasses with deionized water. Their sharp conical shape implies a marked enhancement of the dissolution rate along their core and consequently a cone angle and an etching efficiency (close to 100%) much higher than previously reported for glasses. We show that etching of fission tracks in natural environments has generally very limited geochemical implications except in specific cases such as that found in the Oklo uranium ores

  12. Coating and functionalization of high density ion track structures by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mättö, Laura [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Szilágyi, Imre M., E-mail: imre.szilagyi@mail.bme.hu [Department of Inorganic and Analytical Chemistry, Budapest University of Technology and Economics, Szent Gellért tér 4, Budapest H-1111 (Hungary); MTA-BME Technical Analytical Research Group, Szent Gellért tér 4, Budapest H-1111 (Hungary); Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Laitinen, Mikko [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland); Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, Helsinki FI-00014 (Finland); Sajavaara, Timo [Department of Physics, University of Jyväskylä, P.O. Box 35 (YFL), FI-40014 (Finland)

    2016-10-01

    In this study flexible TiO{sub 2} coated porous Kapton membranes are presented having electron multiplication properties. 800 nm crossing pores were fabricated into 50 μm thick Kapton membranes using ion track technology and chemical etching. Consecutively, 50 nm TiO{sub 2} films were deposited into the pores of the Kapton membranes by atomic layer deposition using Ti({sup i}OPr){sub 4} and water as precursors at 250 °C. The TiO{sub 2} films and coated membranes were studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and X-ray reflectometry (XRR). Au metal electrode fabrication onto both sides of the coated foils was achieved by electron beam evaporation. The electron multipliers were obtained by joining two coated membranes separated by a conductive spacer. The results show that electron multiplication can be achieved using ALD-coated flexible ion track polymer foils. - Highlights: • Porous Kapton membranes were obtained by ion track technology and chemical etching. • TiO{sub 2} films were deposited by ALD into the pores of the Kapton membranes. • TiO{sub 2} nanotube array was prepared by removing the polymer core. • MCP structures were obtained from the coated membranes. • Electron multiplication was achieved using the ALD-coated Kapton foils.

  13. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  14. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  15. Measurement of track opening contours of oblique incident 4He and 7Li-ions in CR-39: Relevance for calculation of track formation parameters

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Reichelt, U.

    2010-01-01

    Solid State Nuclear Track Detectors (SSNTD) irradiated in realistic radiation fields exhibits after chemical etching very complex track images resulting from different species of particles and their energy spectra and randomly distributed angles of incidence or emission. Reading out such an etched detector surface with a light microscope, quite different track opening contours are observed. Beside the number of tracks, typically their major and minor axes are measured. In this work following problems arising from such experimental situations will be investigated: ·the measurement of track contour parameters for oblique incident 4 He and 7 Li-ions of different energies and angles in CR-39 detectors ·the theoretical description of the angular variation of both axes. ·the possibility to extract physical and spectroscopic information from major and minor track axes. This analysis is based on an intensive experimental program and the comprehensive study of theoretical models available for description of track revealing processes in CR-39.

  16. Manufacture of anti-bogus label by track-etching technique

    International Nuclear Information System (INIS)

    He Xiangming; Wan Chunrong

    2006-01-01

    Anti-bogus label is manufactured by the track-etching technique. The apparent pattern on the label consists of track-etched pores on the membrane. The manufacture of the label depends on the intricate technology and the state strictly controls the sensitive nuclear facilities, ensuring that the label is not copied. The pattern on the label is specially characterized by permeability of liquid in order to distinguish it from a forged one. A genuine label can be distinguished from a sham one by a transparent liquid (e.g. water) or a colorful pen. Nowadays, the products of more than 100 famous brands (trade mark) have been protected from forgery by this technology in the market of China. This is a new method for the utilization of a research reactor

  17. Laser radiation effect on radiation-induced defects in heavy ion tracks in dielectrics

    International Nuclear Information System (INIS)

    Egorov, A.N.; Zhiryakov, B.M.; Kushin, V.V.; Lyapidevskij, V.K.; Khokhlov, N.B.

    1988-01-01

    Possibility of laser radiation resonance effect on radiation-induced defects in heavy ion tracks in dielectric materials is investigated. Absorption spectra in infrared, visible and ultraviolet ranges for cellulose nitrate samples irradiated by 6 MeV/nucleon 58 Ni ions and reactor gamma radiation are measured. Absorption spectra for irradiated and reference samples are presented. Two absorption bands λ 1 =0.33 μm (E 1 =3.9 eV) and λ 2 =0.72 μm (E 2 =1.7 eV) are detected. Etching rate decrease in a track under laser radiation effect is noticed. 3 refs.; 1 fig

  18. Etching Properties of Poly ethyleneterephthalate (PET) Melinex-E Nuclear Track Detectors (NTDs)

    International Nuclear Information System (INIS)

    Ghanim, E.H.; Hussein, A.; El-samman, H.M.; Tretyakova, S.P.

    2009-01-01

    One of the main parameters that control track formation is the bulk etch rate, VB. The dependence of VB on etchant concentrations and temperatures was extensively carried out. It is found that, VB of the PET Melinex-E (C 10 H 8 O 4 ) depends upon the etchant temperature T through an Arrhenius equation. While, the dependence of VB on the etchant concentration; C followed the relation VB = A C n. The activation energy of etching, Eb, for the studied Melinex-E detector was calculated. An average value of Eb = 0.83 ± 0.03 eV was extracted. The variation of, VB, of PET with etching duration was studied and compared with that of CR-39 plastic at certain etching temperature; T e =60 degree C and at different etchant concentrations. The irradiation facilities were performed with the 252 Cf fission fragments and 129 Xe +8 (θi =π/2). Results of these studies were discussed in the frame work of nuclear track formation and etching theories

  19. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  20. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  1. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  2. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  3. Diffusion kinetics of the glucose/glucose oxidase system in swift heavy ion track-based biosensors

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Hernandez, G. M.; Arrelano, H. G.; Alfonta, L.; Kiv, A.

    2017-01-01

    Roč. 398, MAY (2017), s. 21-26 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : etched ion tracks * track radius * polymer * enzyme * diffusion * biosensors Subject RIV: EI - Biotechnology ; Bionics OBOR OECD: Bioremediation, diagnostic biotechnologies (DNA chips and biosensing devices) in environmental management Impact factor: 1.109, year: 2016

  4. Nuclear tracks in CR-39 produced by carbon, oxygen, aluminium and titanium ions.

    Science.gov (United States)

    Rickards, J; Romo, V; Golzarri, J I; Espinosa, G

    2002-01-01

    This work describes the response of CR-39 (allyl diglycol polycarbonate) to different ions (C, O, Al and Ti) produced by the Instituto de Fisica 3 MV 9SDH-2 Pelletron accelerator and backscattered from a thin Au film on a C support. The ion energies were chosen in series such that the ranges of the different ions in the detector were 2, 3, 4, 5, 6, 7 and 8 microm respectively for each series. Once exposed, the detectors were etched with a solution of 6.25 M KOH at 60 degrees C, and the reading was carried out using a digital image analysis system. An analysis of the measured track diameters of all the types of ions indicates that, for a given range, track kinetics are independent of type of ion, energy and stopping power.

  5. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  6. ATMS software: Fuzzy Hough Transform in a hybrid algorithm for counting the overlapped etched tracks and orientation recognition

    International Nuclear Information System (INIS)

    Khayat, O.; Ghergherehchi, M.; Afarideh, H.; Durrani, S.A.; Pouyan, Ali A.; Kim, Y.S.

    2013-01-01

    A computer program named ATMS written in MATLAB and running with a friendly interface has been developed for recognition and parametric measurements of etched tracks in images captured from the surface of Solid State Nuclear Track Detectors. The program, using image analysis tools, counts the number of etched tracks and depending on the current working mode classifies them according to their radii (small object removal) or their axis (non-perpendicular or non-circular etched tracks), their mean intensity value and their orientation through the minor and major axes. Images of the detectors' surfaces are input to the code, which generates text and figure files as output, including the number of counted etched tracks with the associated track parameters, histograms and a figure showing edge and center of detected etched tracks. ATMS code is running hierarchically as calibration, testing and measurement modes to demonstrate the reliability, repeatability and adaptability. Fuzzy Hough Transform is used for the estimation of the number of etched tracks and their parameters, providing results even in cases that overlapping and orientation occur. ATMS code is finally converted to a standalone file which makes it able to run out of MATLAB environment. - Highlights: ► Presenting a novel code named ATMS for nuclear track measurements. ► Execution in three modes for generality, adaptability and reliability. ► Using Fuzzy Hough Transform for overlapping detection and orientation recognition. ► Using DFT as a filter for noise removal process in track images. ► Processing the noisy track images and demonstration of the presented code

  7. Modification of track membranes structure by gas discharge etching method

    International Nuclear Information System (INIS)

    Dmitriev, S.N.; Kravets, L.I.

    1996-01-01

    An investigation of the properties of polyethyleneterephthalate track membranes (PET TM) treated with the plasma RF-discharge in air has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes, namely pore size and pore shape, porosity and mechanical strength has been studied. It was arranged that the effect of air plasma on the PET TM results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by the gas discharge etching method. Depending on the choice of discharge parameters, it is possible to make etching either in a part of the channel or along the whole length of the pore channels. In both cases the membranes with an asymmetric pore shape are formed which possess higher porosity and flow rate. The use of the membranes of such a type allows one to increase drastically the efficiency of the filtration processes. 12 refs., 5 figs., 1 tab

  8. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  9. Personnel neutron dosimetry applications of track-size distributions on electrochemically etched CR-39 foils

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1988-01-01

    The track-size distribution on electrochemically etched CR-39 foils can be used to obtain some limited information on the incident neutron spectra. Track-size distributions on CR-39 foils can also be used to determine if the tracks were caused by neutrons or if they are merely background tracks (which have a significantly different track-size distribution). Identifying and discarding the high-background foils reduces the number of foils that must be etched. This also lowers the detection limit of the dosimetry system. We have developed an image analyzer program that can more efficiently determine the track density and track-size distribution, as well as read the laser-cut identification numbers on each foil. This new image analyzer makes the routine application of track-size distributions on CR-39 foils feasible. 2 refs., 3 figs

  10. Ion track membranes providing heat pipe surfaces with capillary structures

    International Nuclear Information System (INIS)

    Akapiev, G.N.; Dmitriev, S.N.; Erler, B.; Shirkova, V.V.; Schulz, A.; Pietsch, H.

    2003-01-01

    The microgalvanic method for metal filling of etched ion tracks in organic foils is of particular interest for the fabrication of microsized structures. Microstructures like copper whiskers with a high aspect ratio produced in ion track membranes are suitable for the generation of high-performance heat transfer surfaces. A surface with good heat transfer characteristics is defined as a surface on which a small temperature difference causes a large heat transfer from the surface material to the liquid. It is well-known that a porous surface layer transfers to an evaporating liquid a given quantity of heat at a smaller temperature difference than does a usual smooth surface. Copper whiskers with high aspect ratio and a density 10 5 per cm 2 form such a porous structure, which produces strong capillary forces and therefore a maximum of heat transfer coefficients

  11. Electrical Transport Through Micro Porous Track Etch Membranes of same Porosity

    Science.gov (United States)

    Garg, Ravish; Kumar, Vijay; Kumar, Dinesh; Chakarvarti, S. K.

    2012-12-01

    Porosity, pore size and thickness of membrane are vital factors to influence the transport phenomena through micro porous track etch membranes (TEMs) and affect the various applications like separations, drug release, flow control, bio-sensing and cell size detection etc. based on transport process. Therefore, a better understanding of transport mechanism through TEMs is required for new applications in various thrust areas like biomedical devices and packaging of foods and drugs. Transport studies of electrolytic solutions of potassium chloride, through porous polycarbonate TEMS having cylindrical pores of size 0.2 μm and 0.4 μm with same porosity of 15%, have been carried out using an electrochemical cell. In this technique, the etched filter is sandwiched between two compartments of cell in such a way that the TEM acts as a membrane separating the cell into two chambers. The two chambers are then filled with electrolyte solution (KCl in distilled water). The current voltage characteristics have been drawn by stepping the voltage ranging 0 to 10 V using Keithley 2400 Series Source Measurement Unit. The results indicate that rate of ion transport through cylindrical pores although is independent of pore size of TEMs of same porosity but there seems to be effect of TEM aperture size exposed to the electrolyte used in conducting cell on ion transport magnitude. From the experimental studies, a large deviation in the conduction through TEMs was observed when compared with theoretical consideration which led to the need for modification in the applicability of simple Ohm's law to the conduction through TEMs. It is found that ion transport increases with increase in area of aperture of TEM but much lower than the expected theoretically value.

  12. Track etch parameters and annealing kinetics assessment of protons of low energy in CR-39 detector

    International Nuclear Information System (INIS)

    Jain, R.K.; Kumar, Ashok; Singh, B.K.

    2012-01-01

    Highlights: ► We calibrate CR-39 detector with very low energy protons. ► We establish linear relationship between track diameter and time/energy up to 200 keV. ► We determine activation energy of annealing using different models. ► We justify concept of single annealing activation energy in CR-39. - Abstract: In this paper threshold of the registration sensitivity of very low energy proton in CR-39 is investigated. Irradiation of CR-39 (poly-allyl-diglycol carbonate) was carried out with very low energy mono energetic protons of 20–60 keV from a mini proton accelerator. Nearly 10 4 /cm 2 fluence of protons was used. The variation of track diameter with etching time as well as proton energy response curve was carefully calibrated. The bulk and track etch rates were measured by using proton track diameters. Bulk etch rate was also measured by the thickness of removed surface layer. The thermal annealing of proton track at temperatures ranging from 100 to 200 °C in CR-39 was studied by several models. Activation energy of annealed CR-39 detectors was calculated by slope of track etch rate and temperature plot. The data of proton tracks of 200, 250 and 300 keV from 400 kV Van-de-Graaff accelerator was also used and compared with the track diameters of different energies of proton.

  13. Interaction mean free path measurements for relativistic heavy ion fragments using CR39 plastic track detectors

    International Nuclear Information System (INIS)

    Drechsel, H.; Brechtmann, C.; Dreute, J.; Sonntag, S.; Trakowski, W.; Beer, J.; Heinrich, W.

    1984-01-01

    This paper describes an experiment measuring the interaction mean free paths for charge changing nuclear collisions of relativistic heavy ion fragments. We use a stack of CR39 plastic nuclear track detectors that was irradiated with 1.8 GeV/nucleon 40 Ar ions at the Berkeley Bevalac. About 1.5 x 10 7 etch cones were measured in this experiment using an automatic measuring system. By tracing the etch cones over successive plastic foils the particle trajectories in the stack were reconstructed. For 14185 trajectories with 6444 nuclear collisions of fragments with charge 9-15 the interaction mean free path in the plastic was determined. (orig.)

  14. Investigation of optical properties of Cu/Ni multilayer nanowires embedded in etched ion-track template

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Lu [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Yao, Huijun, E-mail: Yaohuijun@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Duan, Jinglai; Chen, Yonghui [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Lyu, Shuangbao [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100049 (China); Maaz, Khan [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Nanomaterials Research Group, Physics Division, PINSTECH, Nilore 45650, Islamabad (Pakistan); Mo, Dan [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Liu, Jie, E-mail: J.Liu@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Sun, Youmei; Hou, Mingdong [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-01

    Graphical abstract: The schematic diagram of measurement of extinction spectra of Cu/Ni multilayer nanowire arrays embedded in the template after removing the gold/copper substrate. - Highlights: • The optical properties of Cu/Ni multilayer nanowire arrays were first investigated by UV/Vis/NIR spectrometer and it was confirmed that the extinction peaks strongly related to the periodicity of the multilayer nanowire. • The Ni segment was thought as a kind of impurity which can change the surface electron distribution and thereby the extinction peaks of nanowire. • Current work supplied the clear layer thickness information of Cu and Ni in Cu/Ni multilayer nanowire with TEM and EDS line-scan profile analysis. - Abstract: For understanding the interaction between light and noble/magnetism multilayer nanowires, Cu/Ni multilayer nanowires are fabricated by a multi-potential step deposition technique in etched ion-track polycarbonate template. The component and the corresponding layer thickness of multilayer nanowire are confirmed by TEM and EDS line-scan analysis. By tailoring the nanowire diameter, the Cu layer thickness and the periodicity of the nanowire, the extinction spectral of nanowire arrays exhibit an extra sensitivity to the change of structural parameters. The resonance wavelength caused by surface plasmon resonance increases obviously with increasing the nanowire diameter, the Cu layer thickness and the periodicity. The observations in our work can be explained by the “impurity effect” and coupled effect and can also be optimized for developing optical devices based on multilayer nanowires.

  15. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  16. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  17. Track-etch dosemeter response to neutrons up to 300 MeV

    International Nuclear Information System (INIS)

    Devine, R.T.; Walker, S.; Staples, P.; Duran, M.; Mundis, R.; Miller, J.

    1996-01-01

    Electro-chemical and chemical track-etch dosemeters were obtained from commercial suppliers and exposed to neutrons produced at the LANTF WNR white neutron source at 15 degree with no shielding and filtered by polyethylene blocks of 2.5, 5.1, 10.2, 20.3 and 40.6 cin thickness. The neutron spectrum was determined using calculations. Mean energies from 28 to 300 MeV were produced. Dose was calculated from the NCRP-38 flux-to-dose conversion. The results are compared with NTA film which was exposed in the same configuration. The response of track etch dosimeters was found to reach a minimum and then rise as the average neutron energy increased. The response of the NTA film increased as the neutron energy increased

  18. Production parameters for the formation of metallic nanotubules in etched tracks

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Rao, V.; Wilhelm, M.; Demyanov, S.; Szimkowiak, P.; Behar, M.; Alegaonkar, P.S.; Chadderton, L.T.

    2003-01-01

    The formation of conducting nanotubules in etched tracks is reported in literature since about a decade. However, up to now precise production recipes are scarce. For this sake we present here a systematic study on some important factors that influence the formation of metallic nanotubules. In the case of chemical deposition, the first question to be answered is the choice of the activation technique to produce the required activation centers. Both the time of activation and the time of subsequent chemical deposition are crucial parameters in this connection. Finally, the maximum temperature is determined up to which thermal stability of the etched tracks and of the tubules therein is given. This study should allow one to predict better the efficiency of conducting nanotubule formation

  19. Electrochemical synthesis of metallic microstructures using etched ion tracks in nuclear track filters

    International Nuclear Information System (INIS)

    Sanjeev Kumar; Shyam Kumar; Rajesh Kumar; Chakravarti, K.

    2004-01-01

    Interest in nano/microstructures results from their numerous potential applications in various areas such as materials and biomedical sciences, electronics, optics, magnetism, energy storage and electrochemistry. Materials with micro/nanoscopic dimensions not only have potential technological applications in areas such as device technology and drug delivery, but also are of fundamental interest in that the properties of a material can change in this regime of transition between the bulk and molecular scales. Electrodeposition is a versatile technique combining low processing cost with ambient conditions that can be used to prepare metallic, polymeric and semiconducting microstructures. In the present work ion track membranes of Makrofol (KG) have been used as templates for synthesis of metallic microstructures using the technique of electrodeposition. (author)

  20. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  1. Polypropylene Track Membranes for Mikro and Ultrafiltration of Chemically Aggressive Agents

    CERN Document Server

    Kravets, L I; Apel, P Yu

    2000-01-01

    A production process for track membranes on the basis of chemically resistant polymer polypropylene has been developed. Research in all stages of the formation of the polypropylene track membranes has been conducted: the main principles of the process of chemical etching of polypropylene irradiated with accelerated ions have been investigated, the most effective structure of the etchant for a selective etching of the heavy ion tracks has been selected, the parameters of etching have been optimized. A method for sensibilization of latent tracks in polypropylene by effect of solvents has been developed. It helps to reach a significant increase in etching selectivity. A method for destruction of an absorbed chromocontaining layer on the surface of polypropylene track membranes formed during etching has been elaborated. Experimental samples of the membranes for micro and ultrafiltration have been obtained and their properties have been studied in course of their exploitation in chemically aggressive agents. For t...

  2. Formation of biaxial texture in metal films by selective ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Park, S.J. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States); Norton, D.P. [Department of Materials Science and Engineering, University of Florida, 106 Rhines Hall, P.O. Box 116400, Gainesville, FL 32611 (United States)]. E-mail: dnort@mse.ufl.edu; Selvamanickam, Venkat [IGC-SuperPower, LLC, 450 Duane Avenue, Schenectady, NY 12304 (United States)

    2006-05-15

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature.

  3. Formation of biaxial texture in metal films by selective ion beam etching

    International Nuclear Information System (INIS)

    Park, S.J.; Norton, D.P.; Selvamanickam, Venkat

    2006-01-01

    The formation of in-plane texture via ion bombardment of uniaxially textured metal films was investigated. In particular, selective grain Ar ion beam etching of uniaxially textured (0 0 1) Ni was used to achieve in-plane aligned Ni grains. Unlike conventional ion beam assisted deposition, the ion beam irradiates the uniaxially textured film surface with no impinging deposition flux. The initial uniaxial texture is established via surface energy minimization with no ion irradiation. Within this sequential texturing method, in-plane grain alignment is driven by selective etching and grain overgrowth. Biaxial texture was achieved for ion beam irradiation at elevated temperature

  4. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  5. Influence of the particle discriminator for producing the microporous nuclear track etched membrane

    International Nuclear Information System (INIS)

    Thongphud, Apaporn; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2005-10-01

    The particle discriminator was used to focus the fission fragments from nuclear fission reaction between thermal neutron from the Thai Research Reactor and U-235 in uranium screen to strike almost normally to the polycarbonate (PC) film. The latent tracks in the thin 15 mm PC film were revealed after etching in 6N NaOH solution at 70 o C for 60 min. It was found that the tracks were porous. The porosity was more discrete and the pore shape was more circular as well. The track diameter was measured 3.73 +- 0.32 mm. It was also found that using particle discriminators with increasing thickness during exposure gives fewer pores in the PC film, after chemical etching under the same condition as above

  6. Breakthrough in fake prevention. Nuclear track-etching

    International Nuclear Information System (INIS)

    Yan Yushun; He Xiangming; Zhang Quanrong

    1999-01-01

    Nuclear particle track-etched anti-counterfeit marking is a new weapon against fake products. The marks is manufactured by intricate high technology in state-controlled sensitive nuclear facilities which ensures that the mark can not be copied. The pattern of the mark is characterized by its permeability, and can be distinguished from fakes by using a transparent liquid (e.g. water), colored pen or chemical reagent. The technique has passed the of facial health safety examination and poses no danger of nuclear irradiation

  7. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  8. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  9. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  10. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons

    NARCIS (Netherlands)

    Nanda, G.; Hlawacek, Gregor; Goswami, S.; Watanabe, Kenji; Taniguchi, Takashi; Alkemade, P.F.A.

    2017-01-01

    We report the etching of and electronic transport in nanoribbons of graphene sandwiched between atomically flat hexagonal boron nitride (h-BN). The etching of ribbons of varying width was achieved with a focused beam of 30 keV He+ ions. Using in-situ electrical measurements, we

  11. LET spectrometry with track etch detectors-Use in high-energy radiation fields

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2008-01-01

    For assessing the risk from ionizing radiation it is necessary to know not only the absorbed dose but also the quality of the radiation; radiation quality is connected with the physical quantity linear energy transfer (LET). One of the methods of determination of LET is based on chemically etched track detectors. This contribution concerns with a spectrometer of LET based on the track detectors and discusses some results obtained at: ·high-energy radiation reference field created at the SPS accelerator at CERN; and ·onboard of International Space Station where track-etch based LET spectrometer has been exposed 273 days during 'Matrjoshka - R' experiment. Results obtained are compared with the results of studies at some lower-energy neutron sources; some conclusions on the registrability of neutrons and the ability of this spectrometer to determine dose equivalent in high-energy radiation fields are formulated

  12. Comparative catalytic activity of PET track-etched membranes with embedded silver and gold nanotubes

    Science.gov (United States)

    Mashentseva, Anastassiya; Borgekov, Daryn; Kislitsin, Sergey; Zdorovets, Maxim; Migunova, Anastassiya

    2015-12-01

    Irradiated by heavy ions nanoporous polyethylene terephthalate track-etched membranes (PET TeMs) after +15Kr84 ions bombardment (1.75 MeV/nucl with the ion fluency of 1 × 109 cm-2) and sequential etching was applied in this research as a template for development of composites with catalytically enriched properties. A highly ordered silver and gold nanotubes arrays were embedded in 100 nm pores of PET TeMs via electroless deposition technique at 4 °C during 1 h. All "as-prepared" composites were examined for catalytic activity using reduction of 4-nitrophenol (4-NP) to 4-aminophenol (4-AP) by sodium borohydride as a common reaction to test metallic nanostructures catalysts. The effect of temperature on the catalytic activity was investigated in range of 292-313 K and activation energy were calculated. Kapp of Ag/PET composites linearly increase with an increase of the temperature thus normal Arrhenius behavior have been seen and the activation energy was calculated to be 42.13 kJ/mol. Au/PET composites exhibit not only more powerful catalytic activity but also non-linear dependence of rate constant from temperature. Kapp increased with increasing temperature throughout the 292-308 K temperature range; the reaction had an activation energy 65.32 kJ/mol. In range 311-313 K rate constant dramatically decreased and the apparent activation energy at this temperature rang was -91.44 kJ/mol due some structural changes, i.e. agglomeration of Au nanoparticles on the surface of composite.

  13. Electron cyclotron resonance ion stream etching of tantalum for x-ray mask absorber

    International Nuclear Information System (INIS)

    Oda, Masatoshi; Ozawa, Akira; Yoshihara, Hideo

    1993-01-01

    Electron cyclotron resonance ion stream etching of Ta film was investigated for preparing x-ray mask absorber patterns. Ta is etched by the system at a high rate and with high selectivity. Using Cl 2 as etching gas, the etch rate decreases rapidly with decreasing pattern width below 0.5 μm and large undercutting is observed. The problems are reduced by adding Ar or O 2 gas to the Cl 2 . Etching with a mixture of Cl 2 and O 2 produces highly accurate Ta absorber patterns for x-ray masks. The pattern width dependence of the etch rate and the undercutting were simulated with a model that takes account of the angular distribution of active species incident on the sample. The experimental results agree well with those calculated assuming that the incidence angles are distributed between -36 degrees and 36 degrees. The addition of O 2 or Ar enhances ion assisted etching. 16 refs., 16 figs

  14. Radon Measurements in Egypt using passive etched track detectors. A Review

    Energy Technology Data Exchange (ETDEWEB)

    Gomaa, M A [National Network of Radiation Physics. Atomic Energy Authority (Egypt); Hussein, A S [Radiation Protection Department, Nuclear Power Plants Authority, (Egypt); El-Arabi, A M [Physics Department, Faculty of Science, South Valley University, Qena, (Egypt)

    2005-04-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water.

  15. Radon Measurements in Egypt using passive etched track detectors. A Review

    International Nuclear Information System (INIS)

    Gomaa, M.A.; Hussein, A.S.; El-Arabi, A.M.

    2005-01-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water

  16. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  17. Development of Functional Surfaces on High-Density Polyethylene (HDPE) via Gas-Assisted Etching (GAE) Using Focused Ion Beams.

    Science.gov (United States)

    Sezen, Meltem; Bakan, Feray

    2015-12-01

    Irradiation damage, caused by the use of beams in electron and ion microscopes, leads to undesired physical/chemical material property changes or uncontrollable modification of structures. Particularly, soft matter such as polymers or biological materials is highly susceptible and very much prone to react on electron/ion beam irradiation. Nevertheless, it is possible to turn degradation-dependent physical/chemical changes from negative to positive use when materials are intentionally exposed to beams. Especially, controllable surface modification allows tuning of surface properties for targeted purposes and thus provides the use of ultimate materials and their systems at the micro/nanoscale for creating functional surfaces. In this work, XeF2 and I2 gases were used in the focused ion beam scanning electron microscope instrument in combination with gallium ion etching of high-density polyethylene surfaces with different beam currents and accordingly different gas exposure times resulting at the same ion dose to optimize and develop new polymer surface properties and to create functional polymer surfaces. Alterations in the surface morphologies and surface chemistry due to gas-assisted etching-based nanostructuring with various processing parameters were tracked using high-resolution SEM imaging, complementary energy-dispersive spectroscopic analyses, and atomic force microscopic investigations.

  18. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    Energy Technology Data Exchange (ETDEWEB)

    Groetz, J.E.; Chambaudet, A. [Universite de Franche-Comte, Besancon (France). Lab. de Microanalyses Nucleaires; Lacourt, A. [Laboratoire d`Optique P.M. Duffieux, UMR 6603 CNRS, Universite de Franche-Comte, 16 route de Gray, 25030 Besancon Cedex (France)

    1998-08-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet`s principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.) 14 refs.

  19. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    International Nuclear Information System (INIS)

    Groetz, J.E.; Chambaudet, A.

    1998-01-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet's principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.)

  20. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  1. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  2. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  3. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  4. Effect of various etching conditions on the response of Cr-39 plastic track detector applied for radon dosimetry in environment

    International Nuclear Information System (INIS)

    Maged, A.F.; Ashraf, F.A.

    1997-01-01

    A solid state nuclear track detector Cr-39 has been used for measuring the radon concentration in the soil air and indoor concentration. The bulk etch rate, C B of Cr-39 has been measured in various concentrations of NaOH in the range (6-8 mole) at temperature 70 degree C. In addition, the track etch rate, V T , and the ratio V = V T /V B , of alpha particles emitted from radon gas exists in nature have been measured in a similar range of etching conditions. This study shows that 8 M NaOH at 70 degree C represent the optimum etching conditions for Cr-39, with the range of the present study. The equilibrium factor and gamma-dose equivalent were calculated by using the track densities of open and filtered solid state nuclear track detectors

  5. Measurement of radon and thoron present in the environment using nuclear track etch detector technique

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Lalit, B.Y.; Mishra, U.C.

    1986-01-01

    The use of solid state nuclear track detectors (SSNTD) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess the radon and thoron concentrations in some high background areas of South India and underground non-uranium mines in Bihar State. Exposed SSNTD films are chemically etched in an alkali solution and the alpha tracks are evaluated under an optical microscope. The detailed procedure for this study and the calibration of the etched films for conversion of alpha track density to radon and thoron concentrations in pCi l -1 are given in this paper. It was found that 1.9 tracks cm -2 day -1 and 6.2 tracks cm -2 day -1 were produced by exposing the LR-115 foils to 1 pCi l -1 of thoron and radon respectively. (author)

  6. Effect of argon ion etching on the magnetic properties of FeCoB films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Junwei; Zhou, Kan; Yang, Yi; Tang, Dongming; Zhang, Baoshan, E-mail: malab@nju.edu.cn; Lu, Mu; Lu, Huaixian

    2015-01-15

    In this paper, a new method to modify Ta underlayers by an argon ion etching technology is introduced. Surface roughness of Ta underlayers, as well as soft magnetic properties of post-deposited FeCoB films can be improved by applying a proper ion etching process. The reduction of magnetic coercivity of FeCoB films deposited on the modified Ta underlayers is attributed to the improvement of interfacial roughness, which can reduce magnetic ripples in magnetic films. The microwave damping linewidth of magnetic films is also found to be related to the interfacial roughness. Ta underlayers modified by the ion etching can reduce the influence of two-magnon scattering effect, and thus tune microwave properties of magnetic films. All the results prove that argon ion etching is an effective way to tailor magnetic properties of magnetic films. - Highlights: • We believe that our method to tune the magnetic film properties will be interesting for general readers of Journal of Magnetism and Magnetic Materials. • In the paper, argon ion etching is applied to the Ta underlayer before the FeCoB film is deposited on it. • The modified interface roughness has effectively improved the magnetic properties, including the static magnetic and microwave performance. • The method is valuable for other underlayer/magnetic film systems.

  7. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  8. Guided transmission of 3 keV Ne sup 7 sup + ions through nanocapillaries etched in a PET polymer

    CERN Document Server

    Stolterfoht, N; Hellhammer, R; Pesic, Z D; Fink, D; Petrov, A; Sulik, B

    2003-01-01

    We measured the transmission of 3 keV Ne sup 7 sup + ions through capillaries of 100 nm diameter and 10 mu m length produced by etching ion tracks in a polyethylene terephthalate polymer foil. The foils were tilted up to +-25 deg. for which the incident ions are forced to interact with the capillary surface. The majority of Ne sup 7 sup + ions were found to survive the transmission in their initial charge state. For tilted foils the angular distributions of the transmitted particles indicate propagation of the Ne sup 7 sup + ions parallel to the capillary axis. This capillary guiding of the Ne sup 7 sup + ion provides evidence that part of the ions deposit charges within the capillaries in a self-organizing process so that a considerable fraction of the ions is transmitted through the capillaries. A non-linear model is introduced to describe the essential features of the capillary guiding.

  9. Fabrication of nanoporous nuclear track membranes

    International Nuclear Information System (INIS)

    Peng Liangqiang; Wang Shicheng; Ju Xin; Masaru Yoshida; Yasunari Maekawa

    2001-01-01

    Polyethylene terephthalate (PET) and polycarbonate (PC) films were irradiated by S, Kr and Xe ions and were illuminated with ultraviolet light. The normalized track etch rate for PET and PC films etched in different conditions were measured by conductometric experiments. It is shown that normalized track etch rate can be over 1000 for PET films, 2000 for PC films under optimized condition. TEM photographs of copper nanowires electroplated into nanoporous nuclear track membranes show that the narrowest wire diameter of copper nanowires is 20 nm and that the pore diameter calculated by conductometric experiments is in agreement with the wire diameter measured by TEM when the pore diameter is over 30 nm

  10. Study of the pore-opening process of latent tracks of light low-energetic ions in CR-39 by means of electrolytic etching

    International Nuclear Information System (INIS)

    Oganesyan, V.R.

    2005-01-01

    In this thesis the irradiation of 10-μm thick CR-39 foils with 5.5-7 MeV protons and of 22-400 μm thick CR-39 foils with 4.85-28 MeV α-particles with subsequent determination of the etching velocities is described. By means of scanning electron microscopy (SEM) the pore shape after irradiation was determined. From the analysis of the track profiles by means of SEM the track-nucleus diameter for 28 MeV α particles was determined to 1.4 nm. (HSI)

  11. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  12. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    In the present work, attempts have been made to investigate the modification in particle track etching response of polyallyl diglycol carbonate (PADC) due to impact of 2 MeV electrons. PADC samples pre-irradiated to 1, 10, 20, 40, 60, 80 and 100 Mrad doses of 2 MeV electrons were further exposed to 140 MeV 28Si beam ...

  13. Precise small-angle X-ray scattering evaluation of the pore structures in track-etched membranes: Comparison with other convenient evaluation methods

    Energy Technology Data Exchange (ETDEWEB)

    Miyazaki, Tsukasa, E-mail: t_miyazaki@cross.or.jp [Neutron Science and Technology Center, Comprehensive Research Organization for Science and Society, 162-1, Shirakata, Tokai-mura, Naka-gun, Ibaraki 319-1106 (Japan); Takenaka, Mikihito [Department of Polymer Chemistry, Gradual School of Engineering, Kyoto University, Kyotodaigaku-katsura, Kyoto 615-8510 (Japan)

    2017-03-01

    Poly(ethylene terephthalate) (PET)-based track-etched membranes (TMs) with pore sizes ranging from few nanometers to approximately 1 μm are used in various applications in the biological field, and their pore structures are determined by small-angle X-ray scattering (SAXS). These TMs with the nanometer-sized cylindrical pores aligned parallel to the film thickness direction are produced by chemical etching of the track in the PET films irradiated by heavy ions with the sodium hydroxide aqueous solution. It is well known that SAXS allows us to precisely and statistically estimate the pore size and the pore size distribution in the TMs by using the form factor of a cylinder with the extremely long pore length relative to the pore diameter. The results obtained were compared with those estimated with scanning electron microscopy and gas permeability measurements. The result showed that the gas permeability measurement is convenient to evaluate the pore size of TMs within a wide length scale, and the SEM observation is also suited to estimate the pore size, although SEM observation is usually limited above approximately 30 nm.

  14. Diode-like single-ion track membrane prepared by electro-stopping

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Korchev, Yu.E.; Siwy, Z.; Spohr, R.; Yoshida, M.

    2001-01-01

    The preparation of an asymmetric membrane in poly(ethylene terephthalate) (PET) is described, using a combination of chemical and electro-stopping. For this purpose, a single-ion-irradiated PET film is inserted into an electrolytic cell and etched from one side in 9 M sodium hydroxide while bathing the other side in a mixture of 2 M KCl and 2 M HCOOH (1:1 by volume), electrically retracting the OH - ions from the tip of the etch pit during pore break-through. When a preset current has been reached, the etch process is interrupted by replacing the etching solution with acidic 1 M potassium chloride solution. After etching, the current-voltage (I-V) characteristic is determined under symmetric bathing conditions, immersing both sides of the membrane in KCl solutions of identical concentration (0.01-1 M) and pH (3-8). The I-V characteristic is strongly non-linear, comparable to that of an electrical diode. If the polarity during etching is reversed, pushing the OH - ions into the tip of the etch pit, the resulting pores are larger and the degree of asymmetry smaller. The importance of electro-stopping is compared with chemical stopping

  15. Coupled chemical reactions in dynamic nanometric confinement: VII. Biosensors based on swift heavy ion tracks with membranes

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Munoz, G. H.; García Arellano, H.; Alfonta, L.; Vacík, Jiří; Kiv, A.; Hnatowicz, Vladimír

    2017-01-01

    Roč. 172, 1-2 (2017), s. 159-173 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : biotechnology * tracks * swift heavy ions * polymers * etching Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders OBOR OECD: Nano-materials (production and properties) Impact factor: 0.443, year: 2016

  16. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  17. Nuclear particle track-etched anti-bogus mark

    International Nuclear Information System (INIS)

    He Xiangming; Yan Yushun; Zhang Quanrong

    2003-01-01

    Nuclear particle track-etched anti-bogus mark is a new type of forgery-proof product after engraving gravure printing, thermocolour, fluorescence, laser hologram and metal concealed anti-bogus mark. The mark is manufactured by intricate high technology and the state strictly controlled sensitive nuclear facilities to ensure the mark not to be copied. The pattern of the mark is specially characterized by permeability of liquid to be discriminated from forgery. The genuine mark can be distinguished from sham one by transparent liquid (e.g. water), colorful pen and chemical reagent. The mark has passed the official examination of health safety. It is no danger of nuclear irradiation. (author)

  18. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  19. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    Science.gov (United States)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  20. New approach of modeling charged particles track development in CR-39 detectors

    International Nuclear Information System (INIS)

    Azooz, A.A.; Hermsdorf, D.; Al-Jubbori, M.A.

    2013-01-01

    In this work, previous modeling of protons and alpha particles track length development in CR-39 solid state nuclear track detectors SSNTD is modified and further extended. The extension involved the accommodation of heavier ions into the model. These ions include deuteron, lithium, boron, carbon, nitrogen and oxygen ions. The new modeling does not contain any case sensitive free fitting parameters. Model calculation results are found to be in good agreement with both experimental data and SRIM software range energy dependence predictions. The access to a single unified and differentiable track length development equation results in the ability to obtain direct results for track etching rates. - Highlights: • New modeling of ions track length evolution measured by different authors. • Ions considered are p, d, α, Li, B, C, N, O. • Equations obtained to describe L(t) and etch rate for all ions at wide energy range. • Equations obtained do not involve any free fitting parameters. • Ions range values obtained compare well with results of SRIM software

  1. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  2. Track etch detectors with air gap for measurements of radon in soil

    Energy Technology Data Exchange (ETDEWEB)

    Turek, K; Bednar, J [Czech Academy of Sciences, 18086 Prague (Czech Republic). Nuclear Research Inst., Dept. of Radiation Dosimetry; Neznal, M [Radon Corp., 28922 Lysa nad Labem (Czech Republic)

    1996-12-31

    The main aim of this study was to develop a method of radon concentration measurements in soil using track etch detectors without cups. Our approach enables to minimize the detector dimensions resulting into smaller diameter of drilled holes, more rigid construction, easier handling and mailing, lower consumption of material and consequently in lower costs. The parallel arrangement of two track etch detectors in the open metallic holder seems to be promising as the complementary method to the commonly used cup-technique for radon measurement. The firmness, simple and compact construction, small size as well as low costs could be successfully utilized mainly in field measurement. The possibility of a variable sensitivity by the distance between the detectors makes the system versatile for many applications, 2 detectors with different h can practically exclude of under- or overexposure. The more precise calibrations including exposures in radon-chamber and study of an eventual influence of humidity are supposed to be done in the nearest future. (J.K) 2 tabs.

  3. Interpretation of Mason's equation in terms of measurable electrochemical-etching parameters governing the dielectric breakdown phenomenon

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Durrani, S.A.

    1986-01-01

    A new interpretation of Mason's equation, as applicable to the electrochemical etching (ECE) of tracks in polymers, is presented in terms of physically meaningful track-etching parameters. The values of these parameters have been experimentally obtained by irradiating CR-39 foils with heavy ions ( 238 U and 129 Xe) and α-particles of various energies, and applying chemical pre-etching (CPE), followed by ECE in steps, with increasing electric field until the 'treeing' breakdown is initiated. A value of 1.19 MV cm -1 is obtained for the intrinsic electrical breakdown stress of CR-39. It is found that the track radius r used in Mason's equation is related to the radius rsub(Θ) of a sphere, inscribed within the etch cone, whose lowest point is at a fixed distance lsub(o) above the cone tip, with lsub(o) ≅ 1.75 μm for α-particle tracks of energies 2-5.5 MeV, such that r = 0.43 + rsub(Θ) (in μm). (author)

  4. Bulk-compositional changes of Ni2Al3 and NiAl3 during ion etching

    International Nuclear Information System (INIS)

    Chen Houwen; Wang Rong

    2008-01-01

    Bulk-compositional changes of Ni 2 Al 3 and NiAl 3 in a Ni-50 wt% Al alloy during ion etching have been investigated by transmission electron microscopy and energy dispersive X-ray spectroscopic analyses. After etching with 7, 5 and 3 keV Ar + ions for 15, 24 and 100 h nickel contents in both Ni 2 Al 3 and NiAl 3 exceeded greatly those in the initial compounds and increased with the decrement of the sputtering energy. After 100 h etching with 3 keV Ar + ions the compositions of these two compounds reached a similar value, about Ni 80-83 Al 12-15 Fe 3-4 Cr 1-2 (at%). A synergistic action of preferential sputtering, radiation-induced segregation and radiation-enhanced diffusion enables the altered-layers at the top and bottom of the film extend through the whole film. The bulk-compositional changes are proposed to occur in the unsteady-state sputtering regime of ion etching and caused by an insufficient supply of matter in a thin film

  5. Radiation induced deposition of copper nanoparticles inside the nanochannels of poly(acrylic acid)-grafted poly(ethylene terephthalate) track-etched membranes

    Science.gov (United States)

    Korolkov, Ilya V.; Güven, Olgun; Mashentseva, Anastassiya A.; Atıcı, Ayse Bakar; Gorin, Yevgeniy G.; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2017-01-01

    Poly(ethylene terephthalate) PET, track-etched membranes (TeMs) with 400 nm average pore size were UV-grafted with poly(acrylic acid) (PAA) after oxidation of inner surfaces by H2O2/UV system. Carboxylate groups of grafted PAA chains were easily complexed with Cu2+ ions in aqueous solutions. These ions were converted into metallic copper nanoparticles (NPs) by radiation-induced reduction of copper ions in aqueous-alcohol solution by gamma rays in the dose range of 46-250 kGy. Copper ions chelating with -COOH groups of PAA chains grafted on PET TeMs form polymer-metal ion complex that prevent the formation of agglomerates during reduction of copper ions to metallic nanoparticles. The detailed analysis by X-Ray diffraction technique (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDX) confirmed the deposition of copper nanoparticles with the average size of 70 nm on the inner surface of nanochannels of PET TeMs. Samples were also investigated by FTIR, ESR spectroscopies to follow copper ion reduction.

  6. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  7. Symplectic Tracking of Multi-Isotopic Heavy-Ion Beams in SixTrack

    CERN Document Server

    Hermes, Pascal; De Maria, Riccardo

    2016-01-01

    The software SixTrack provides symplectic proton tracking over a large number of turns. The code is used for the tracking of beam halo particles and the simulation of their interaction with the collimators to study the efficiency of the LHC collimation system. Tracking simulations for heavy-ion beams require taking into account the mass to charge ratio of each particle because heavy ions can be subject to fragmentation at their passage through the collimators. In this paper we present the derivation of a Hamiltonian for multi-isotopic heavy-ion beams and symplectic tracking maps derived from it. The resulting tracking maps were implemented in the tracking software SixTrack. With this modification, SixTrack can be used to natively track heavy-ion beams of multiple isotopes through a magnetic accelerator lattice.

  8. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  9. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  10. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  11. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    International Nuclear Information System (INIS)

    Roozeboom, F; Kniknie, B; Lankhorst, A M; Winands, G; Knaapen, R; Smets, M; Poodt, P; Dingemans, G; Keuning, W; Kessels, W M M

    2012-01-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF 6 to form gaseous SiF x etch products, and 2) passivation with C 4 F 8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ∼20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C 4 F 8 passivation steps by ALD-based oxide (e.g. SiO 2 ) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  12. Computer image analysis of etched tracks from ionizing radiation

    Science.gov (United States)

    Blanford, George E.

    1994-01-01

    I proposed to continue a cooperative research project with Dr. David S. McKay concerning image analysis of tracks. Last summer we showed that we could measure track densities using the Oxford Instruments eXL computer and software that is attached to an ISI scanning electron microscope (SEM) located in building 31 at JSC. To reduce the dependence on JSC equipment, we proposed to transfer the SEM images to UHCL for analysis. Last summer we developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains. Tracks were formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. As part of a consortium effort to better understand the maturation of lunar soil and its relation to its infrared reflectance properties, we worked on lunar samples 67701,205 and 61221,134. These samples were etched for a shorter time (6 hours) than last summer's sample and this difference has presented problems for establishing the correct analysis conditions. We used computer counting and measurement of area to obtain preliminary track densities and a track density distribution that we could interpret for sample 67701,205. This sample is a submature soil consisting of approximately 85 percent mature soil mixed with approximately 15 percent immature, but not pristine, soil.

  13. Analysis of etchants behavior on the electrochemical etching amplification of fast-neutron-induced recoil particle tracks in polycarbonate

    International Nuclear Information System (INIS)

    Masnadi Shirazi Nezhad, K.

    1979-08-01

    The composition, concentration, and temperature of etchant are important parameters controlling electrochemical etching (ECE) amplification of charged particle tracks in polymers. These parameters were further studied for sohralir polycarbonate neutron dosimeter (Sohrabi 1974), using potassium hydroxide (KOH) and sodium hydroxide (NaOH) solutions, and a mixture of potassium hydroxide, ethanol, and water (PEW solution), at different concentrations applying a field strength of 32KV/cm at 2KHz frequency using 250 μ thick polycarbonate exposed to fast neutrons. The recoal track density per rad of neutrons, in general, was found to increase by increasing the etchant concentration reaching a semi-platean after which it increases again. This increase is up to a concentration at which a track removing process occurs and no tracks have been amplified anymore. This track removing process occurred at about 11 normality in both KOH (50% by weight) and NaOH (30% by weight) solution at 25degC. The mean track diameter, in general, passed through a cyclic variation having a maximun and a minimum. For NaOH solution, the track removing process occurred at the minimum point. In the three regions of the track diameter curve the tracks appear in different shapes especially in KOH solution. The PEW solution at its optimum conditions was more effective in terms of both sensitivity, track diameter and a shorter period of etching. The chemical mechanism of etching process may be explained to be a ''saponification'' process. These studies further support the adequacy of Sohrabi dosimeter for routing health physics and radiation research applications. The above parameters are further discussed and the results as well as the advantages and disadvantages of the above etchants are given. (author)

  14. Characterization of graphite etched with potassium hydroxide and its application in fast-rechargeable lithium ion batteries

    Science.gov (United States)

    Shim, Jae-Hyun; Lee, Sanghun

    2016-08-01

    Surface-modified graphite for application as an anode material in lithium ion batteries was obtained by etching with KOH under mild conditions without high-temperature annealing. The surface of the etched graphite is covered with many nano-sized pores that act as entrances for lithium ions during the charging process. As compared with pristine graphite and other references such as pitch-coated or etched graphite samples with annealing, our non-annealed etched graphite exhibits excellent electrochemical properties, particularly at fast charging rates of over 2.5 C. While avoidance of the trade-off between increase of irreversible capacity and good rate capability has previously been a main concern in highly porous carbonaceous materials, we show that the slightly larger surface area created by the etching does not induce a significant increase of irreversible capacity. This study shows that it is important to limit the size of pores to the nanometer scale for excellent battery performance, which is possible by etching under relatively mild conditions.

  15. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  16. Electrical, structural, and bonding changes induced in silicon by H, Ar, and Kr ion-beam etching

    International Nuclear Information System (INIS)

    Singh, R.; Fonash, S.J.; Ashok, S.; Caplan, P.; Shappirio, J.; Hage-Ali, M.; Ponpon, J.

    1983-01-01

    A study to elucidate the role of processing-induced changes in Si, subjected to ion-beam etching has been made. It is shown that these changes can be related to the primary ion beam used in ion-beam etching. Using ESR, trivalently bonded Si has been shown to be present. Fe and Cr have been found to be the main contaminants. An annealing study revealed that the damage can be annealed out at relatively high temperatures

  17. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  18. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  19. Dependence of yield of nuclear track-biosensors on track radius and analyte concentration

    Science.gov (United States)

    García-Arellano, H.; Muñoz H., G.; Fink, D.; Vacik, J.; Hnatowicz, V.; Alfonta, L.; Kiv, A.

    2018-04-01

    In swift heavy ion track-based polymeric biosensor foils with incorporated enzymes one exploits the correlation between the analyte concentration and the sensor current, via the enrichment of charged enzymatic reaction products in the track's confinement. Here we study the influence of the etched track radius on the biosensor's efficiency. These sensors are analyte-specific only if both the track radii and the analyte concentration exceed certain threshold values of ∼15 nm and ∼10-6 M (for glucose sensing), respectively. Below these limits the sensor signal stems un-specifically from any charge carrier. In its proper working regime, the inner track walls are smoothly covered by enzymes and the efficiency is practically radius independent. Theory shows that the measured current should be slightly sub-proportional to the analyte concentration; the measurements roughly reconfirm this. Narrower tracks (∼5-15 nm radius) with reduced enzyme coverage lead to decreasing efficiency. Tiny signals visible when the tracks are etched to effective radii between 0 and ∼5 nm are tentatively ascribed to enzymes bonded to surface-near nano-cracks in the polymer foil, resulting from its degradation due to aging, rather than to the tracks. Precondition for this study was the accurate determination of the etched track radii, which is possible only by a nanofluidic approach. This holds to some extent even for enzyme-covered tracks, though in this case most of the wall charges are compensated by enzyme bonding.

  20. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  1. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Turek, Karel

    2004-01-01

    Roč. 109, č. 4 (2004), s. 375-381 ISSN 0144-8420 R&D Projects: GA AV ČR KSK4055109 Grant - others:EC project(XE) FIGM-CT2000-00068 Institutional research plan: CEZ:AV0Z1048901 Keywords : track-etched detectors * cosmic rays * aircraft Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.617, year: 2003

  2. Enhanced electrochemical etching of ion irradiated silicon by localized amorphization

    Energy Technology Data Exchange (ETDEWEB)

    Dang, Z. Y.; Breese, M. B. H. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore Singapore 117542 (Singapore); Lin, Y.; Tok, E. S. [Department of Physics, National University of Singapore, 2 Science Drive 3, Singapore 117542 (Singapore); Vittone, E. [Physics Department, NIS Excellence Centre and CNISM, University of Torino, via Pietro Giuria 1, 10125 Torino (Italy)

    2014-05-12

    A tailored distribution of ion induced defects in p-type silicon allows subsequent electrochemical anodization to be modified in various ways. Here we describe how a low level of lattice amorphization induced by ion irradiation influences anodization. First, it superposes a chemical etching effect, which is observable at high fluences as a reduced height of a micromachined component. Second, at lower fluences, it greatly enhances electrochemical anodization by allowing a hole diffusion current to flow to the exposed surface. We present an anodization model, which explains all observed effects produced by light ions such as helium and heavy ions such as cesium over a wide range of fluences and irradiation geometries.

  3. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  4. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  5. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  6. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  7. Effect of [gamma]-irradiation on latent tracks of polyethylene terephthalate (PET) film

    Science.gov (United States)

    Hiroki, A.; Asano, M.; Yamaki, T.; Yoshida, M.

    2005-04-01

    The pre-treatment effect of γ-irradiation on latent tracks of polyethylene terephthalate (PET) films bombarded with swift heavy ions was investigated by electric conductometry and scanning electron microscope (SEM) observation. The Xe-ion bombarded PET films were etched for 6 h in 0.2 M NaOH aqueous solution at 70 °C to prepare track-etched membranes. As γ-irradiation doses increased in the range of 0-160 kGy, the surface pore diameter obtained by SEM observation decreased while that obtained by conductometry became large. This inconsistent result between the two methods was due to an increase in the crosslinked region in the latent tracks caused by γ-irradiation.

  8. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  9. Electrodeposited Ni nanowires-track etched P.E.T. composites as selective solar absorbers

    Science.gov (United States)

    Lukhwa, R.; Sone, B.; Kotsedi, L.; Madjoe, R.; Maaza, M.

    2018-05-01

    This contribution reports on the structural, optical and morphological properties of nanostructured flexible solar-thermal selective absorber composites for low temperature applications. The candidate material in the system is consisting of electrodeposited nickel nano-cylinders embedded in track-etched polyethylene terephthalate (PET) host membrane of pore sizes ranging between 0.3-0.8µm supported by conductive nickel thin film of about 0.5µm. PET were irradiated with 11MeV/u high charged xenon (Xe) ions at normal incidence. The tubular and metallic structure of the nickel nano-cylinders within the insulator polymeric host forms a typical ceramic-metal nano-composite "Cermet". The produced material was characterized by the following techniques: X-ray diffraction (XRD) for structural characterization to determine preferred crystallographic structure, and grain size of the materials; Scanning electron microscopy (SEM) to determine surface morphology, particle size, and visual imaging of distribution of structures on the surface of the substrate; Atomic force microscopy (AFM) to characterize surface roughness, surface morphology, and film thickness, and UV-Vis-NIR spectrophotometer to measure the reflectance, then to determine solar absorption

  10. New ways of polymeric ion track characterization

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.; Ghosh, S.; Dwivedi, K.K.; Vacik, J.; Hnatowicz, V.; Cervena, J.; Kobayashi, Y.; Hirata, K.

    1999-01-01

    New ways have been applied for characterization of ion tracks in polymers in the last few years, which are essentially related to depth profile determinations of ions, molecules, or positrons penetrating into these tracks. In combination with tomography, the first three-dimensional results have been obtained. Extensive diffusion simulations accompanying the measurements have enabled us to obtain a better understanding of the transport processes going on in ion tracks. This paper gives an overview about the range of new possibilities accessible by these techniques, and summarizes the presently obtained understanding of ion tracks in polymers

  11. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  12. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  13. Nuclear Track-Etched Pore Membrane Production Using OAEP's Research Reactor

    International Nuclear Information System (INIS)

    Chittrakarn, Thawat; Bhongsuwan, Tripob; Wanichapichart, Pikul; Nuanuin, Paiboon; Chongkum, Somporn; Khonduangkaew, Areerat; Bordeepong, Sunaree

    2003-10-01

    Result of this study shows that the OAEP's nuclear research reactor is a good source of both fast and thermal neutrons for pore piercing process on polycarbonate thin film. With our experimental design, the fast neutron provides better results in pore piercing comparing with thermal neutron bombardment. This can be explained that most of the latent tracks that occur by thermal neutron bombardment do not piercing through the thin film. Chemical etching process using NaOH solution with an appropriated time, concentration and temperature was employed to enlarge the latent tracks in the bombarded film by fast neutrons. Fast neutron bombardment with 5, 10 and 20 minutes bombarding time successfully produces the nuclear track membrane. Pore size and pore density of the produced membranes examined by SEM were 0.24-1.01 μm and 4.67 - 245 x 10 6 pore/cm 2 , respectively. Bubble point test showed the maximum pore diameter of the produced membrane ranged between 1.18 - 3.25 μm. Water permeability was studied and compared between the produced and commercial membranes

  14. Nuclear track-based biosensing: an overview

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Hernandez, G. M.; Arellano, H. G.; Vacík, Jiří; Havránek, Vladimír; Hnatowicz, Vladimír; Kiv, A.; Alfonta, L.

    2016-01-01

    Roč. 171, 1-2 (2016), s. 173-185 ISSN 1042-0150 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk LM2015056 Institutional support: RVO:61389005 Keywords : swift heavy ions * polymers * irradiation * ion tracks * etching * nanopores * enzymes * biosensors * biotechnology Subject RIV: EI - Biotechnology ; Bionics Impact factor: 0.443, year: 2016

  15. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  16. Selective capillary diffusion of equimolar H2/D2 gas mixtures through etched ion track membranes prepared from polyethylene terephthalate and polyimide

    International Nuclear Information System (INIS)

    Schmidt, K.; Angert, N.; Trautmann, C.

    1996-01-01

    The selective capillary diffusion of equimolar H 2 /D 2 gas mixtures through ion track membranes prepared from polyethylene terephthalate and polyimide was investigated at a temperature of 293 K, a primary pressure of 0.15 MPa and a secondary pressure of 10 -4 MPa. Different values of the separation factor Z(H 2 /D 2 ) between experiment and computer simulation exists in the case of polyethylene terephthalate ion track membranes because of multiple pores. Membranes for which multiple pores were reduced by varying the irradiation angle showed an increased separation factor. The separation factor is a function of the pore diameter. This is shown for polyimide ion track membranes with a pore size in the range of 0.17 and 0.5 μm. After grafting with styrene the separation factor increased, indicating grafting within the pores. (orig.)

  17. Method to improve the evaluation of a combination track-etch dosimeter/spectrometer

    International Nuclear Information System (INIS)

    Brackenbush, L.W.; Parkhurst, M.A.; Hadlock, D.E.; Faust, L.G.

    1983-09-01

    A paper is summarized which describes a method of determining the neutron energy spectrum through spectrum unfolding techniques to more accurately assess the dose equivalent from track-etch dosimeters. A mathematical technique is described which can be used in conjunction with the neutron detectors to more accurately estimate neutron dose equivalent. The technique is based upon solutions to a system of Fredholm integral equations of the first type

  18. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  19. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  20. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  1. Influence of etching conditions on the efficiency and critical angle of plastic detector Makrofol-N

    International Nuclear Information System (INIS)

    Bhatia, R.K.; Singh, R.C.; Virk, H.S.

    1989-01-01

    The effect of concentration and temperature of the etching agent on Makrofol-N is studied using 54 129 Xe ion beam (7.5 MeV/n) at normal as well as oblique incidence. The critical angle for track revelation in Makrofol-N and the efficiency of track registration is estimated using standard formulation proposed by Somogyi and Hunyadi (1979). (author). 8 r efs

  2. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  3. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  4. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  5. Highly sensitive urea sensing with ion-irradiated polymer foils

    International Nuclear Information System (INIS)

    Fink, Dietmar; Muñoz Hernandez, Gerardo; Alfonta, Lital

    2012-01-01

    Recently we prepared urea-sensors by attaching urease to the inner walls of etched ion tracks within thin polymer foil. Here, alternative track-based sensor configurations are examined where the enzyme remained in solution. The conductivities of systems consisting of two parallel irradiated polymer foils and confining different urea/urease mixtures in between were examined. The correlations between conductivity and urea concentration differed strongly for foils with unetched and etched tracks, which points at different sensing mechanisms – tentatively attributed to the adsorption of enzymatic reaction products on the latent track entrances and to the enhanced conductivity of reaction product-filled etched tracks, respectively. All examined systems enable in principle, urea sensing. They point at the possibility of sensor cascade construction for more sensitive or selective sensor systems.

  6. Study of α-energy discrimination in CR-39 track etch detectors for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.; Al-Najjar, S.A.R.; Piesch, E.

    1988-01-01

    The properties of CR 39 nuclear track detectors were evaluated for their α-energy discrimination up to 8.77 MeV using a combination of chemical-electrochemical track revealing techniques. Using three field strengths, α-energy discrimination by ECE track diameter and track density as a function of chemical pre-etching time were studied. α-energy spectra using different irradiation geometries were then evaluated using the optimum conditions and then compared with those obtained form other techniques. (author)

  7. Reactive ion etching of polymer materials for an energy harvesting device

    DEFF Research Database (Denmark)

    Wang, Fei; Bertelsen, Christian Vinther; Skands, Gustav

    2012-01-01

    In this paper, we have demonstrated deep reactive ion etching (RIE) of two MEMS compatible polymer materials CYTOP and TOPAS, which may be useful for energy harvesting devices. The CYTOP polymer was patterned and used as the electret for the following corona charging while the TOPAS polymer...

  8. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  9. The shape of ion tracks in natural apatite

    Science.gov (United States)

    Schauries, D.; Afra, B.; Bierschenk, T.; Lang, M.; Rodriguez, M. D.; Trautmann, C.; Li, W.; Ewing, R. C.; Kluth, P.

    2014-05-01

    Small angle X-ray scattering measurements were performed on natural apatite of different thickness irradiated with 2.2 GeV Au swift heavy ions. The evolution of the track radius along the full ion track length was estimated by considering the electronic energy loss and the velocity of the ions. The shape of the track is nearly cylindrical, slightly widening with a maximum diameter approximately 30 μm before the ions come to rest, followed by a rapid narrowing towards the end within a cigar-like contour. Measurements of average ion track radii in samples of different thicknesses, i.e. containing different sections of the tracks are in good agreement with the shape estimate.

  10. The shape of ion tracks in natural apatite

    International Nuclear Information System (INIS)

    Schauries, D.; Afra, B.; Bierschenk, T.; Lang, M.; Rodriguez, M.D.; Trautmann, C.; Li, W.; Ewing, R.C.; Kluth, P.

    2014-01-01

    Small angle X-ray scattering measurements were performed on natural apatite of different thickness irradiated with 2.2 GeV Au swift heavy ions. The evolution of the track radius along the full ion track length was estimated by considering the electronic energy loss and the velocity of the ions. The shape of the track is nearly cylindrical, slightly widening with a maximum diameter approximately 30 μm before the ions come to rest, followed by a rapid narrowing towards the end within a cigar-like contour. Measurements of average ion track radii in samples of different thicknesses, i.e. containing different sections of the tracks are in good agreement with the shape estimate

  11. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  12. Electrochemical synthesis of gold nanorods in track-etched polycarbonate membrane using removable mercury cathode

    International Nuclear Information System (INIS)

    Sharma, Manoj K.; Ambolikar, Arvind S.; Aggarwal, Suresh K.

    2012-01-01

    The electrochemical template synthesis of gold nanorods within the cylindrical pores of track-etched polycarbonate (PC) membrane using a removable mercury cathode is reported. The novelty of this new approach is that it eliminates the requirement of coating an approximately 500 nm–1 μm-thick metallic layer, as conducting substrate, onto one surface of the insulating template membrane by the sputter deposition technique. A two-compartment electrochemical cell was designed and used for this work. The PC membrane was placed between the two compartments separating the aqueous solution of HAuCl 4 from mercury. Mercury, filled in one of the compartments, is in contact with one surface of the membrane (similar to sputter-deposited metallic layer) and serves as the conducting substrate/cathode for the electrochemical deposition of gold in the nanopores of track-etched PC membrane. Once the electrodeposition is completed, the mercury and the HAuCl 4 solution are removed from the compartments, and a malleable track-etched PC membrane embedded with free-standing gold nanorods is obtained. The ensemble of the metal nanorods grown in the template membrane is not attached to any conducting substrate, and gold nanorods can be freed from the template membrane after the dissolution. The Au-deposited PC membrane and free-standing Au nanorods were characterized by EDXRF, XRD, UV–Visible spectroscopy, AFM, and FEG-TEM. The EDXRF and XRD studies confirmed the deposition of the face-centered cubic phase of Au in the pores of the PC membrane. The TEM studies showed the formation of a cigar-shaped gold nanorod in the cylindrical pores of the PC membrane. The diameter of gold nanorods ranges from 100 to 200 nm. The new approach is simple, cost-effective, and saves time.

  13. Operational comparison of TLD albedo dosemeters and etched-track detectors in the PuO2-UO2 mixed oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Takada, C.; Yoshida, T.; Momose, T.

    2005-01-01

    Full text: The authors carried out an operational study that compared the use of TLD albedo dosemeters with etched-track detector in plutonium environments of Japan Nuclear Cycle Development Institute, Tokai Works. A selected group of workers engaged in the fabrication process of MOX (PuO 2 -UO 2 mixed oxide) fuel wore both TLD albedo dosemeters and etched-track detectors over a period from 1991 to 1993. The TLD albedo dosemeter is the Panasonic model UD-809P and the etched-track detector is the NEUTRAK (polyallyl diglycol carbonate + 1mm-t polyethylene radiator) commercially available from Nagase-Landauer Ltd. Both dosemeters were issued and read monthly. It was found that the TL readings were generally proportional to the counted etch-pits, and thus the dose equivalent results obtained from TLD albedo dosemeter agreed with those from etched-track detector within a factor of 1.5. This result indicates that, in the workplaces of the MOX plants, the neutron spectrum remained almost constant in terms of time and space, and the appropriate range of field-specific correction with spectrum variations could be small in albedo dosimetry. In addition, the calibrations of both dosemeters in the workplaces and in a bare and moderated 252 Cf calibration field were performed for quantitative validation for the results from the operational comparison. In the former experiments, locations were selected that were representative of typical neutron measurements according to the prior neutron spectra measurements with the multi-sphere spectrometer. In the latter experiments, the workplace environments were simulated by using a 252 Cf source surrounded with cylindrical steel/PMMA moderators. From both experiments, the relationship between TL readings and counted etch-pits with neutron spectrum variation was determined. As expected, the relationship obtained from the simulated workplace field calibration reproduced that from the operational comparison. (author)

  14. High throughput on-chip analysis of high-energy charged particle tracks using lensfree imaging

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Wei; Shabbir, Faizan; Gong, Chao; Gulec, Cagatay; Pigeon, Jeremy; Shaw, Jessica; Greenbaum, Alon; Tochitsky, Sergei; Joshi, Chandrashekhar [Electrical Engineering Department, University of California, Los Angeles, California 90095 (United States); Ozcan, Aydogan, E-mail: ozcan@ucla.edu [Electrical Engineering Department, University of California, Los Angeles, California 90095 (United States); Bioengineering Department, University of California, Los Angeles, California 90095 (United States); California NanoSystems Institute (CNSI), University of California, Los Angeles, California 90095 (United States)

    2015-04-13

    We demonstrate a high-throughput charged particle analysis platform, which is based on lensfree on-chip microscopy for rapid ion track analysis using allyl diglycol carbonate, i.e., CR-39 plastic polymer as the sensing medium. By adopting a wide-area opto-electronic image sensor together with a source-shifting based pixel super-resolution technique, a large CR-39 sample volume (i.e., 4 cm × 4 cm × 0.1 cm) can be imaged in less than 1 min using a compact lensfree on-chip microscope, which detects partially coherent in-line holograms of the ion tracks recorded within the CR-39 detector. After the image capture, using highly parallelized reconstruction and ion track analysis algorithms running on graphics processing units, we reconstruct and analyze the entire volume of a CR-39 detector within ∼1.5 min. This significant reduction in the entire imaging and ion track analysis time not only increases our throughput but also allows us to perform time-resolved analysis of the etching process to monitor and optimize the growth of ion tracks during etching. This computational lensfree imaging platform can provide a much higher throughput and more cost-effective alternative to traditional lens-based scanning optical microscopes for ion track analysis using CR-39 and other passive high energy particle detectors.

  15. Conductometric determination of single pores in polyethyleneterephthalate irradiated by heavy ions

    International Nuclear Information System (INIS)

    Oganesyan, V.R.; Trofimov, V.V.; Doerschel, B.; Hermsdorf, D.; Vetter, J.; Danziger, M.

    2002-01-01

    Most of the previous works devoted to the problem of track formation processes did not pay enough attention to direct measurement of the appearance of every individual pore in an array of many pores induced by the irradiation of polymer films with ions. Such measurements are not easy to carry out due to the extremely high electric resistance in the moment of pore opening. In this work the analysis of films irradiated with low particle fluences up to 3.7·10 3 ions/cm 2 is described. Polyethyleneterephthalate (PET) Hostaphan with a thickness of 20μm was used. The samples were irradiated with Bi ions of 11.4 MeV/amu energy. Using optimized etching conditions and computer aided data evaluation, we obtained results, which are in good agreement with theoretical predictions and model calculations. The measured increase of conductivity beginning from the breakthrough of a single track up to the next pore opening in dependence on the etching time and the number of opened pores confirm the assumed model. Thus, the developed 'track-by-track' method can be used effectively for description of the sequential appearance of individual pores in an electrolytic etching process

  16. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  17. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  18. Track etch and thermo luminescent detectors response to high energy charged particles

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Jadrníčková, Iva

    2008-01-01

    Roč. 43, Supp. 1 (2008), S169-S173 ISSN 1350-4487. [International Conference on Solids /23./. Beijing, 11.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0795 Grant - others:Evropské společenství(XE) ILSRA - 2004 - 248 Institutional research plan: CEZ:AV0Z10480505 Keywords : track etch detector * thermoluminescent detectors * LET spectrometry Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2008

  19. Range to cone length relations for light ions in CR-39

    International Nuclear Information System (INIS)

    Gil, L.R.; Marques, A.

    1988-01-01

    Curves ''range x cone lenght'' and ''diameter x cone lenght'' are calculated for tracks left by low energy light ions in CR-39. The calculations cover ions from helium to iron and are performed for 6.25 N NaOH at 70 0 C and a standard etching time but can be easily extended to other etching conditions. (author) [pt

  20. Synthesis of environmentally responsive organic materials by application of ion track holes in polymer films

    Energy Technology Data Exchange (ETDEWEB)

    Omichi, Hideki; Yoshida, Masaru; Asano, Masaharu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment; Katakai, Ryoichi; Spohr, R; Vetter, J

    1997-03-01

    Polymer films were irradiated by heavy ion beams and etched by a concentrated alkali solution to produce particle track membranes (PTMs). Then the PTMs were chemically modified by grafting such monomers as amino acid group containing methacryloyl and N-isopropylacrylamide the polymers of which are known as environmentally responsive hydrogels. The size of pores of the modified PTMs under different temperatures in water was followed by electron microscopy. The pore was controlled from an open state to a completely closed state by changing temperature. The conductivity through the membrane was measured by changing the temperature of the cell. (author)

  1. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  2. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  3. Dependence of yield of nuclear track-biosensors on track radius and analyte concentration

    Czech Academy of Sciences Publication Activity Database

    Garcia-Arellano, H.; Munoz, G. H.; Fink, Dietmar; Vacík, Jiří; Hnatowicz, Vladimír; Alfonta, L.; Kiv, A.

    2018-01-01

    Roč. 420, č. 4 (2018), s. 69-75 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:61389005 Keywords : biosensor * ion track * etching * enzyme * nanofluidics Subject RIV: BG - Nuclear, Atomic and Molecular Physics , Colliders OBOR OECD: Nuclear physics Impact factor: 1.109, year: 2016

  4. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  5. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  6. Strategies towards advanced ion track-based biosensors

    Czech Academy of Sciences Publication Activity Database

    Alfonta, L.; Bukelman, O.; Chandra, A.; Fahrner, W. R.; Fink, D.; Fuks, D.; Golovanov, V.; Hnatowicz, Vladimír; Hoppe, K.; Kiv, A.; Klinkovich, I.; Landau, M.; Morante, J.R.; Tkachenko, N.V.; Vacík, Jiří; Valden, M.

    2009-01-01

    Roč. 164, 7-8 (2009), s. 431-437 ISSN 1042-0150. [2nd International Meeting on Recent Developments in the Study of Radiation Effects in Matters. Fodele, 07.09.2008-11.09.2008] Institutional research plan: CEZ:AV0Z10480505 Keywords : biosensors * etched tracks * enzymes Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.550, year: 2009

  7. Observation of nuclear track in organic material by atomic force microscopy in real time during etching

    CERN Document Server

    Palmino, F; Labrune, J C

    1999-01-01

    The developments of Atomic Force Microscopy (AFM) allow to investigated solid surfaces with a nanometer scale. These techniques are useful methods allowing direct observation of surface morphologies. Particularly in the nuclear track fields, they offer a new tool to give many new informations on track formation. In this paper we present the preliminary results of a new use of this technique to characterize continuously the formation of the revealed track in a cellulose nitrate detector (LR115) after an alpha particle irradiation. For that, a specific cell has been used to observe, by nano-observations, the evolution of track shapes simultaneously with chemical treatment. Thus, the track shape evolution has been studied; visualizing the evolution of the tracks in real time, in situ during the chemical etching process.

  8. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  9. Solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Medeiros, J.A.; Carvalho, M.L.C.P. de

    1992-12-01

    Solid state nuclear track detectors (SSNTD) are dielectric materials, crystalline or vitreous, which registers tracks of charged nuclear particles, like alpha particles or fission fragments. Chemical etching of the detectors origin tracks that are visible at the optical microscope: track etching rate is higher along the latent track, where damage due to the charged particle increase the chemical potential, and etching rate giving rise to holes, the etched tracks. Fundamental principles are presented as well as some ideas of main applications. (author)

  10. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  11. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  12. Effect of neutron irradiation on etching, optical and structural properties of microscopic glass slide used as a solid state nuclear track detector

    International Nuclear Information System (INIS)

    Singh, Surinder; Kaur Sandhu, Amanpreet; Prasher, Sangeeta; Prakash Pandey, Om

    2007-01-01

    Microscopic glass slides are soda-lime glasses which are readily available and are easy to manufacture with low production cost. The application of these glasses as nuclear track detector will help us to make use of these glasses as solid-state nuclear track detector. The present paper describes the variation in the etching, optical and structural properties of the soda-lime microscopic glass slides due to neutron irradiation of different fluences. The color transformation and an increase in the optical absorption with neutron irradiation are observed. Both the bulk and track etch rates are found to increase with neutron fluence, thus showing a similar dependence on neutron fluence, but the sensitivity remains almost constant

  13. Mechanism of track formation by charged particles in inorganic and organic solid-state track detectors

    International Nuclear Information System (INIS)

    Doerschel, B.; Pretzsch, G.; Streubel, G.

    1979-01-01

    Knowledge of the individual phases of track formation mechanism is necessary in some applications of solid-state track detectors. The generation of latent tracks is described by energy transfer processes of the charged particles along their paths using several different models. Etchability of the latent tracks is discussed on the basis of some distinct criteria taking into account different fractions of energy release by the primary and secondary particles during track generation. If these etchability criteria for latent tracks are fulfilled, visual particle tracks can be produced by a chemical etching process. Etch pit formation depends on the etching conditions. The geometrical parameters of the etching pits are given on the basis of known etching rates. Evaluation of individual particle tracks or determination of track density yields results depending on both the properties of the particles and the etching conditions. Determination of particle energy and particle fluence is discussed as an example. (author)

  14. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  15. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  16. Visualization of boron in molybdenum by α-rays track etching method and tritium autoradiography

    International Nuclear Information System (INIS)

    Saito, Hideo; Morita, Fumio

    2003-01-01

    Molybdenum alloys addicted with < 0.02 ppm B to 160 ppm B were analyzed by α-rays track etching (ATE) method irradiated by thermal neutron for 12 hours using atomic reactor of Rikkyo University and Japan atomic reactor of JRR-4. It was found that boron was segregated along grain boundaries and in the matrix. We analyzed boron distribution in the vicinity of the triple junctions at grain boundaries and in the matrix by the statistical frequency of α-rays tracks. Also we studied tritium autoradiography by cathodic charging method. Visualization of boron distribution was confirmed along the grain boundary which seemed to be effective trapping sites of hydrogen. (author)

  17. Deep reactive ion etching of fused silica using a single-coated soft mask layer for bio-analytical applications

    International Nuclear Information System (INIS)

    Ray, Tathagata; Zhu, Haixin; Meldrum, Deirdre R

    2010-01-01

    In this note, we present our results from process development and characterization of reactive ion etching (RIE) of fused silica using a single-coated soft masking layer (KMPR® 1025, Microchem Corporation, Newton, MA). The effects of a number of fluorine-radical-based gaseous chemistries, the gas flow rate, RF power and chamber pressure on the etch rate and etching selectivity of fused silica were studied using factorial experimental designs. RF power and pressure were found to be the most important factors in determining the etch rate. The highest fused silica etch rate obtained was about 933 Å min −1 by using SF 6 -based gas chemistry, and the highest etching selectivity between the fused silica and KMPR® 1025 was up to 1.2 using a combination of CF 4 , CHF 3 and Ar. Up to 30 µm deep microstructures have been successfully fabricated using the developed processes. The average area roughness (R a ) of the etched surface was measured and results showed it is comparable to the roughness obtained using a wet etching technique. Additionally, near-vertical sidewalls (with a taper angle up to 85°) have been obtained for the etched microstructures. The processes developed here can be applied to any application requiring fabrication of deep microstructures in fused silica with near-vertical sidewalls. To our knowledge, this is the first note on deep RIE of fused silica using a single-coated KMPR® 1025 masking layer and a non-ICP-based reactive ion etcher. (technical note)

  18. Science and technology with nuclear tracks in solids

    CERN Document Server

    Buford-Price, P

    2005-01-01

    Fission track dating has greatly expanded its usefulness to geology over the last 40 years. It is central to thermochronology—the use of shortened fission tracks to decipher the thermal history, movement, and provenance of rocks. When combined with other indicators, such as zircon color and (U–Th)/He, a range of temperatures from C to C can be studied. Combining fission track analysis with cosmogenic nuclide decay rates, one can study landscape development and denudation of passive margins. Technological applications have expanded from biological filters, radon mapping, and dosimetry to the use of ion track microtechnology in microlithography, micromachining by ion track etching, microscopic field emission tips, magnetic nanowires as magnetoresistive sensors, microfluidic devices, physiology of ion channels in single cells, and so on. In nuclear and particle physics, relatively insensitive glass detectors have been almost single-handedly responsible for our knowledge of cluster radioactivity, and plastic ...

  19. Study on active faults in the Izu Peninsula using α track etch method

    International Nuclear Information System (INIS)

    Katoh, K.; Ikeda, K.; Takahashi, M.; Nagata, S.; Yanagihara, C.

    1981-01-01

    The α track etch method, which is one of the geochemical survey methods for the mapping and detection of active faults and the evaluation of their activities, has been applied to ten sites for the purpose of the earthquake prediction research program. The method conventionally measures relative radon concentration in the soil gas by counting the number of tracks per cm 2 .day on a small piece of plastic film (cellulose nitrate) which is sensitive to α-ray radiation. As the result of the track measurement on many survey lines crossing ten active faults including earthquake faults in the Izu Peninsula, the following was clarified: 1. The peak of track number appears mostly on fault lines but sometimes shifts from it. The line connecting peaks on the several survey lines corresponds to the strike of fault. 2. Relative position between the peak and the fault line on the surface suggests the type of fault, normal or reverse. 3. The track number observed on thin Quaternary strata is generally larger than that on thick Quaternary strata at an active fault concerned. This fact shows that the rising time of radon gas is controlled by the thickness of covering strata. (author)

  20. High temperature reactive ion etching of iridium thin films with aluminum mask in CF4/O2/Ar plasma

    Directory of Open Access Journals (Sweden)

    Chia-Pin Yeh

    2016-08-01

    Full Text Available Reactive ion etching (RIE technology for iridium with CF4/O2/Ar gas mixtures and aluminum mask at high temperatures up to 350 °C was developed. The influence of various process parameters such as gas mixing ratio and substrate temperature on the etch rate was studied in order to find optimal process conditions. The surface of the samples after etching was found to be clean under SEM inspection. It was also shown that the etch rate of iridium could be enhanced at higher process temperature and, at the same time, very high etching selectivity between aluminum etching mask and iridium could be achieved.

  1. Fabrication of antireflective nanostructures for crystalline silicon solar cells by reactive ion etching

    International Nuclear Information System (INIS)

    Lin, Hsin-Han; Chen, Wen-Hua; Wang, Chi-Jen; Hong, Franklin Chau-Nan

    2013-01-01

    In this study we have fabricated large-area (15 × 15 cm 2 ) subwavelength antireflection structure on poly-Si substrates to reduce their solar reflectivity. A reactive ion etching system was used to fabricate nanostructures on the poly-silicon surface. Reactive gases, composed of chlorine (Cl 2 ), sulfur hexafluoride (SF 6 ) and oxygen (O 2 ), were activated to fabricate nanoscale pyramids by RF plasma. The poly-Si substrates were etched in various gas compositions for 6–10 min to form nano-pyramids. The sizes of pyramids were about 200–300 nm in heights and about 100 nm in width. Besides the nanoscale features, the high pyramid density on the poly-Si surface is another important factor to reduce the reflectivity. Low-reflectivity surface was fabricated with reflectivity significantly reduced down to < 2% for photons in a wavelength range of 500–900 nm. - Highlights: ► Large-area (15 × 15 cm 2 ) antireflection structures fabricated on poly-Si substrates ► Si nano-pyramids produced by utilizing self-masked reactive ion etching process ► High density of nanoscale pyramids was formed on the entire substrate surface. ► Surface reflectivity below 2% was achieved in the wavelength range of 500–900 nm

  2. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  3. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  4. Piezoelectric evaluation of ion beam etched Pb(Zr,Ti)O3 thin films by piezoresponse force microscopy

    International Nuclear Information System (INIS)

    Legrand, C.; Da Costa, A.; Desfeux, R.; Soyer, C.; Remiens, D.

    2007-01-01

    The evolution of piezoelectric properties of Pb(Zr,Ti)O 3 (PZT) thin films after ion beam etching have been investigated at the nanoscale level by piezoelectric force microscopy. A comparison of the piezoelectric properties on etched and unetched films is realized. Piezoelectric contrasts imaging evidences a modification of the domain architecture at the film surface. Local piezoelectric hysteresis loops measurements on grains indicate that the coercive voltage for switching is much higher for the etched films (2.3 V) compared to the unetched ones (1.0 V) while the average piezoelectric activity is slightly lower. The results are explained in terms of grain-damaging during etching and domain-wall pinning

  5. Deposition of molecular probes in heavy ion tracks

    CERN Document Server

    Esser, M

    1999-01-01

    By using polarized fluorescence techniques the physical properties of heavy ion tracks such as the dielectric number, molecular alignment and track radius can be traced by molecular fluorescence probes. Foils of poly(ethylene terephthalate) (PET) were used as a matrix for the ion tracks wherein fluorescence probes such as aminostyryl-derivatives can be incorporated using a suitable solvent, e.g. N,N'-dimethylformamide (DMF) as transport medium. The high sensitivity of fluorescence methods allowed the comparison of the probe properties in ion tracks with the virgin material. From the fluorescence Stokes shift the dielectric constants could be calculated, describing the dielectric surroundings of the molecular probes. The lower dielectric constant in the tracks gives clear evidence that there is no higher accommodation of the highly polar solvent DMF in the tracks compared with the virgin material. Otherwise the dielectric constant in the tracks should be higher than in the virgin material. The orientation of t...

  6. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1977-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track-etching technique, mainly the etching situations related to detector geometry, and the relationship between registration sensitivity and the etching parameters are considered. Special attention is paid to the behaviour of track-revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization are discussed. (author)

  7. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1976-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track etching technique mainly the etching situations related to detector geometry and the relationship of registration sensitivity and the etching parameters are considered. A special attention is paid to the behaviour of track revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization is discussed. (orig.) [de

  8. Thermal spike analysis of highly charged ion tracks

    International Nuclear Information System (INIS)

    Karlušić, M.; Jakšić, M.

    2012-01-01

    The irradiation of material using swift heavy ion or highly charged ion causes excitation of the electron subsystem at nanometer scale along the ion trajectory. According to the thermal spike model, energy deposited into the electron subsystem leads to temperature increase due to electron–phonon coupling. If ion-induced excitation is sufficiently intensive, then melting of the material can occur, and permanent damage (i.e., ion track) can be formed upon rapid cooling. We present an extension of the analytical thermal spike model of Szenes for the analysis of surface ion track produced after the impact of highly charged ion. By applying the model to existing experimental data, more than 60% of the potential energy of the highly charged ion was shown to be retained in the material during the impact and transformed into the energy of the thermal spike. This value is much higher than 20–40% of the transferred energy into the thermal spike by swift heavy ion. Thresholds for formation of highly charged ion track in different materials show uniform behavior depending only on few material parameters.

  9. Effect of Pore Geometry on Resistive-Pulse Sensing of DNA Using Track-Etched PET Nanopore Membrane

    International Nuclear Information System (INIS)

    Kaya, Dila; Dinler, Ali; San, Nevim; Kececi, Kaan

    2016-01-01

    We have investigated the effect of nanopore geometry on translocation properties of poly(ethylene terephthalate) (PET) membranes. In order to vary the cone angles of the conically shaped nanopores, which were obtained by track-etch method, we have added different volume fractions of methanol to the alkali etching solution. We have confirmed through the characterization of PET membranes that methanol has a promoting effect on cone angle. Additionally, we have reported the positive influence of a higher cone angle for resistive pulse sensing of 50-bp DNA. We have also shown the change in electric field as a function of cone angle by using finite element simulations and confirmed a higher electric field with increasing cone angle.

  10. Submicroscopic pores grafted using the residual sites produced by swift heavy ions

    International Nuclear Information System (INIS)

    Mazzei, R.; Betz, N.; Bermudez, G. Garcia; Massa, G.; Smolko, E.

    2005-01-01

    To produce nuclear track membranes (NTM) with submicroscopic pores poly(vinylidene difluoride) (PVDF) foils were irradiated with Cl, Ag and Pb ions. Then they were chemically etched for different times and grafted with acrylic acid. The grafting yields were determined by weight measurements as a function of ion fluence, etching time and also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on the pore wall of the NTM using the active sites left by the ion beam

  11. Latent tracks in polymeric etched track detectors

    International Nuclear Information System (INIS)

    Yamauchi, Tomoya

    2013-01-01

    Track registration properties in polymeric track detectors, including Poly(allyl diglycol carbonate), Bispenol A polycarbonate, Poly(ethylen terephtarate), and Polyimide, have been investigated by means of Fourie transform Infararede FT-IR spectrometry. Chemical criterion on the track formation threshold has been proposes, in stead of the conventional physical track registration models. (author)

  12. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    International Nuclear Information System (INIS)

    Draghici, M.; Stamate, E.

    2010-01-01

    Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF 6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive and negative ions are evaluated on silicon substrate for different Ar/SF 6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.

  13. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    DEFF Research Database (Denmark)

    Draghici, Mihai; Stamate, Eugen

    2010-01-01

    of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive...... and negative ions are evaluated on silicon substrate for different Ar/SF6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.......Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio...

  14. Diallyl phthalate (DAP) solid state nuclear track detector

    CERN Document Server

    Koguchi, Y; Ashida, T; Tsuruta, T

    2003-01-01

    Diallyl phthalate (DAP) solid state nuclear track detector is suitable for detecting heavy ions such as fission fragments, because it is insensitive to right ions such as alpha particles and protons. Detection efficiency of fission tracks is about 100%, which is unaffected under conditions below 240degC lasting for 1h or below 1 MGy of gamma-ray irradiation. Optimum etching condition for the DAP detector for detection of fission fragments is 2-4 h using 30% KOH aqueous solution at 90degC or 8-15 min using PEW-65 solution at 60degC. DAP detector is useful in detecting induced fission tracks for dating of geology or measuring intense heavy ions induced by ultra laser plasma. The fabrication of copolymers of DAP and CR-39 makes it possible to control the discrimination level for detection threshold of heavy ions. (author)

  15. CR-39 track detector calibration for H, He, and C ions from 0.1-0.5 MeV up to 5 MeV for laser-induced nuclear fusion product identification.

    Science.gov (United States)

    Baccou, C; Yahia, V; Depierreux, S; Neuville, C; Goyon, C; Consoli, F; De Angelis, R; Ducret, J E; Boutoux, G; Rafelski, J; Labaune, C

    2015-08-01

    Laser-accelerated ion beams can be used in many applications and, especially, to initiate nuclear reactions out of thermal equilibrium. We have experimentally studied aneutronic fusion reactions induced by protons accelerated by the Target Normal Sheath Acceleration mechanism, colliding with a boron target. Such experiments require a rigorous method to identify the reaction products (alpha particles) collected in detectors among a few other ion species such as protons or carbon ions, for example. CR-39 track detectors are widely used because they are mostly sensitive to ions and their efficiency is near 100%. We present a complete calibration of CR-39 track detector for protons, alpha particles, and carbon ions. We give measurements of their track diameters for energy ranging from hundreds of keV to a few MeV and for etching times between 1 and 8 h. We used these results to identify alpha particles in our experiments on proton-boron fusion reactions initiated by laser-accelerated protons. We show that their number clearly increases when the boron fuel is preformed in a plasma state.

  16. Response of CR-39 to 0.9-2.5 MeV protons for KOH and NaOH etching solutions

    Science.gov (United States)

    Bahrami, F.; Mianji, F.; Faghihi, R.; Taheri, M.; Ansarinejad, A.

    2016-03-01

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94-2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  17. The effects of pre-etching time on the characteristic responses of electrochemically etched CR-39 neutron dosimeters

    International Nuclear Information System (INIS)

    Sohrabi, M.; Khoshnoodi, M.

    1986-01-01

    The effects of pre-etching time (PET) or duration of etching of fast-neutron-induced-recoil tracks in CR-39 in 6N KOH at 60 0 C on electrochemical etching neutron characteristic responses; i.e. sensitivity and mean recoil track diameter (MRTD) versus KOH normality up to 18N are investigated in this paper. Six sets of responses for PETs of 0, 1, 2, 3, 4, and 5 hours were obtained by using our new multi-chamber ECE (MCECE) system which reduced total operation time to about 6% of the time usually required when single-chamber ECE systems are used. The sensitivity response for zero PET showed a broad plateau and a high sensitivity low-LET peak around 16N. By increasing PET, another peak was also developed around 5N leading to 'double-humped' responses with two maximums around 5N and 16N, and a minimum around 11N. On the other hand, the MRTD responses for all PETs studied showed the same general trend with maximums around 11N. In this paper, shape of tracks under different conditions are also investigated, new optimum conditions such as KOH concentrations of 5, 11, and 15N at 25 0 C, with or without pre-etching, are recommended for tracks of lower-LET recoils including possibly protons, and alpha particle tracks over a broad energy range, and the efficiency of the MCECE system is also demonstrated. (author)

  18. Dosimetry and microdosimetry using LET spectrometer based on the track-etch detector: radiotherapy Bremsstrahlung beam, onboard aircraft radiation field

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2006-01-01

    The spectrometer of linear energy transfer (Let) based on the chemically etched poly-allyl-diglycol-carbonate (P.A.D.C.) track-etch detector was developed several years ago in our institute. This Let spectrometer enables determining Let of particles approximately from 10 to 700 keV/μm. From the Let spectra, dose characteristics can be calculated. The contribution presents the Let spectra and other dosimetric characteristics obtained onboard a commercial aircraft during more than 6 months long exposure and in the 18 MV radiotherapy Bremsstrahlung beam. (authors)

  19. Morphology and annealing kinetics of ion tracks in minerals

    Directory of Open Access Journals (Sweden)

    Ewing R. C.

    2012-10-01

    Full Text Available We have studied the morphology and annealing kinetics of ion tracks in Durango apatite using synchrotron small angle X-ray scattering. The non-destructive, artefact-free technique enables us to determine the track radii with a resolution of fractions of a nanometre. The tracks were generated using different heavy ions with energies between 185 MeV and 2.6 GeV. The track morphology is consistent with the formation of long cylindrical amorphous tracks. The annealing kinetics, measured by SAXS in combination with ex situ and in situ annealing experiments, suggests structural relaxation followed by recrystallisation of the damaged material. The measurement methodology shown here provides a new means for in-depth studies of ion-track formation in minerals under a wide variety of geological conditions.

  20. Multiparametric electronic devices based on nuclear tracks

    Energy Technology Data Exchange (ETDEWEB)

    Fink, D. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany)], E-mail: FINK@HMI.DE; Saad, A. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); Basic Science Department, Faculty of Science, Al Balqa University, Salt (Jordan); Dhamodaran, S. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); School of Physics, University of Hyderabad, Hyderabad 500 046 (India); Chandra, A. [HMI Berlin, Glienicker Str. 100, 14109 Berlin (Germany); Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India); Fahrner, W.R. [Chair of Electronic Devices, Institute of Electrotechnique, Fernuniversitaet, Hagen (Germany); Hoppe, K. [South Westfalia University of Applied Sciences, Hagen (Germany); Chadderton, L.T. [Institute of Advanced Studies, ANU Canberra, GPO Box 4, ACT (Australia)

    2008-08-15

    An overview is given on a family of novel electronic devices consisting of an insulating layer containing conducting or semiconducting nuclear tracks, deposited on a semiconducting substrate, and connected by at least one back and two surface contacts. Conducting and semiconducting latent tracks may emerge directly from swift heavy ion irradiation. Etched tracks in insulators can be filled with adequate materials to make them conducting or semiconducting. For this purpose metallic or semiconducting nanoclusters were deposited. We have denoted termed these devices made with latent tracks as 'tunable electronic anisotropic material on semiconductor' (TEAMS), if based on latent ion tracks, and as 'tunable electronic material in pores in oxide on semiconductor' (TEMPOS), if based on etched tracks. Depending on the band-to-band transition between tracks and substrate and on the ratio of surface to track conductivity, the current/voltage characteristics of TEAMS and TEMPOS structures can be modified in many different ways leading to tunable resistors, capacitors and diodes. Both devices show negative differential resistances. This should enable tunable tunneldiodes. TEAMS or TEMPOS structures can be controlled by various external physical and/or chemical parameters leading to sensors. It is even possible to combine different input currents and/or external parameters according to AND/OR logics. The currents through a clustered layer on a TEMPOS structure can be described by the Barbasi-Albert model of network theory enabling to calculate a 'radius of influence'r{sub ROI} around each surface contact, beyond which neighboring contacts do not influence each other. The radius of influence can be well below 1{mu}m leading to nanometric TEMPOS structures.

  1. Multiparametric electronic devices based on nuclear tracks

    International Nuclear Information System (INIS)

    Fink, D.; Saad, A.; Dhamodaran, S.; Chandra, A.; Fahrner, W.R.; Hoppe, K.; Chadderton, L.T.

    2008-01-01

    An overview is given on a family of novel electronic devices consisting of an insulating layer containing conducting or semiconducting nuclear tracks, deposited on a semiconducting substrate, and connected by at least one back and two surface contacts. Conducting and semiconducting latent tracks may emerge directly from swift heavy ion irradiation. Etched tracks in insulators can be filled with adequate materials to make them conducting or semiconducting. For this purpose metallic or semiconducting nanoclusters were deposited. We have denoted termed these devices made with latent tracks as 'tunable electronic anisotropic material on semiconductor' (TEAMS), if based on latent ion tracks, and as 'tunable electronic material in pores in oxide on semiconductor' (TEMPOS), if based on etched tracks. Depending on the band-to-band transition between tracks and substrate and on the ratio of surface to track conductivity, the current/voltage characteristics of TEAMS and TEMPOS structures can be modified in many different ways leading to tunable resistors, capacitors and diodes. Both devices show negative differential resistances. This should enable tunable tunneldiodes. TEAMS or TEMPOS structures can be controlled by various external physical and/or chemical parameters leading to sensors. It is even possible to combine different input currents and/or external parameters according to AND/OR logics. The currents through a clustered layer on a TEMPOS structure can be described by the Barbasi-Albert model of network theory enabling to calculate a 'radius of influence'r ROI around each surface contact, beyond which neighboring contacts do not influence each other. The radius of influence can be well below 1μm leading to nanometric TEMPOS structures

  2. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  3. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  4. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  5. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    International Nuclear Information System (INIS)

    Spurny, F.; Turek, K.

    2004-01-01

    Cosmic rays contribute to the exposure on the Earth's surface as well as in its surroundings. At the surface and/or at aviation altitudes, there are mostly secondary particles created through the cosmic rays interaction in the atmosphere, which contribute to this type of exposure. Onboard a spacecraft, the exposure comes mostly from primary cosmic rays. Track-etched detectors (TED) are able to characterise both these types of exposure. The contribution of neutrons, of cosmic origin, on the Earth's surface was studied at altitudes from few hundreds to 3000 m using TED in a moderator sphere. The results obtained are compared with other data on this type of natural radiation background. The results of studies performed onboard aircraft and/or spacecraft are presented afterwards. We used TED-based neutron dosemeter, as well as a spectrometer of linear energy transfer based on a chemically etched TED. The results of studies performed onboard aircraft, as well as spacecraft, are presented and discussed, including an attempt to estimate a neutron component onboard the spacecraft. It was found that they correlate with the results of other independent investigations. (authors)

  6. Tracking Lithium Ions via Widefield Fluorescence Microscopy for Battery Diagnostics.

    Science.gov (United States)

    Padilla, Nicolas A; Rea, Morgan T; Foy, Michael; Upadhyay, Sunil P; Desrochers, Kyle A; Derus, Tyler; Knapper, Kassandra A; Hunter, Nathanael H; Wood, Sharla; Hinton, Daniel A; Cavell, Andrew C; Masias, Alvaro G; Goldsmith, Randall H

    2017-07-28

    Direct tracking of lithium ions with time and spatial resolution can provide an important diagnostic tool for understanding mechanisms in lithium ion batteries. A fluorescent indicator of lithium ions, 2-(2-hydroxyphenyl)naphthoxazole, was synthesized and used for real-time tracking of lithium ions via widefield fluorescence microscopy. The fluorophore can be excited with visible light and was shown to enable quantitative determination of the lithium ion diffusion constant in a microfluidic model system for a plasticized polymer electrolyte lithium battery. The use of widefield fluorescence microscopy for in situ tracking of lithium ions in batteries is discussed.

  7. Direct fabrication of nano-gap electrodes by focused ion beam etching

    International Nuclear Information System (INIS)

    Nagase, Takashi; Gamo, Kenji; Kubota, Tohru; Mashiko, Shinro

    2006-01-01

    A simple approach to increase the reliability of nano-gap electrode fabrication techniques is presented. The method is based on maskless sputter etching of Au electrodes using a focused ion beam (FIB) and in-situ monitoring of the etching steps by measuring a current fed to the Au electrodes. The in-situ monitoring is crucial to form nano-gaps much narrower than a FIB spot size. By using this approach, gaps of ∼3-6 nm are fabricated with the high yield of ∼90%, and most of the fabricated nano-gap electrodes showed high resistances of 10 GΩ-1 TΩ. The controllability of the fabrication steps is significantly improved by using triple-layered films consisting of top Ti, Au, and bottom adhesion Ti layers. The applicability of the fabricated nano-gap electrodes to electron transport studies of nano-sized objects is demonstrated by electrical measurement of Au colloidal nano-particles

  8. Response of CR-39 to 0.9–2.5 MeV protons for KOH and NaOH etching solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bahrami, F. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Mianji, F., E-mail: fmianji@aeoi.org.ir [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of); Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Faghihi, R. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Taheri, M. [Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Ansarinejad, A. [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of)

    2016-03-21

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94–2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  9. Ion-beam enhanced etching for the 3D structuration of lithium niobate

    International Nuclear Information System (INIS)

    Gischkat, Thomas

    2010-01-01

    The present thesis deals with the usage of the ion-beam enhanced etching (IBEE) for the 3D structuration of lithium niobate (LiNbO 3 ).Hereby the approach of the enhancement of the wet-chemical etching rate due to the irradiation with energetic ions is pursued. This method is very success promising for the realization of micro- and nanostructures with perpendicular structural walls as well as small roughnesses. The aim of this thesis consisted therein to form the foundations for the realization of three-dimensional micro- and nanostructures (for instance: Layer systems and photonic crystals) in LiNbO 3 with high optical quality and to demonstrate on selected examples. Conditions for the success of the IBEE structuration technique is first of all the understanding of the defect formation under ion irradiation as well as the radiation-induced structure changes in the crystal and the change of the chemical resistance connected with this. For this the defect formation was studied in dependence on th ion mass, the ion energy, and the irradiation temperature. Thermally induced influences and effects on the radiation damage, as they can occur in intermediate steps in the complex processing, must be known and were studied by means of subsequent temperature treatment. The results from the defect studies were subsequently applied for the fabrication of micro- and nanostructures in LiNbO 3 . Shown is the realization of lateral structure with nearly perpendicular structure walls as well as the realization of thin membranes and slits. The subsequent combination of lateral structuration with the fabrication of thin membranes and slits allowed the three-dimensional structuration of LiNbO 3 . This is exemplarily shown for a microresonator and for a 2D photonic crystal with below lying air slit. [de

  10. Response of LET spectrometer based on track etching at some neutron sources

    International Nuclear Information System (INIS)

    Spurny, Frantisek; Brabcova, Katerina; Jadrnickova, Iva

    2008-01-01

    There is still need to develop upgrade, and test further methods able to characterise the external exposure to neutrons. This contribution presents further results obtained with the goal to enlarge and upgrade the possibility of neutron dosimetry and microdosimetry with a LET spectrometer based on the chemically etched track detectors (TED). As TED we have used several types of polyallyldiglycolcarbonates (PADC). The PADC detectors have been exposed in: high energy neutron beams at iThemba facility, Cape Town, South Africa, and in monoenergetic neutron beams at JRC Geel, Belgium. The studies have been performed in the frame of the ESA supported project DOBIES. (author)

  11. Electrochemical development of particle tracks in CR-39 polymer dosimeter

    International Nuclear Information System (INIS)

    Hadlock, D.E.; Parkhurst, M.A.; Yang, C.S.; Groeger, J.; Johnson, J.R.; Huang, S.J.

    1985-09-01

    Electrochemical etching of CR-39 polymeric track etch neutron detectors results in proton-recoil tracks can be distinguished from background tracks much better than tracks developed solely by chemical etching. A newly designed and constructed electrochemical etching apparatus allows large numbers of dosimeters to be processed simultaneously with consistent results. Many processing systems have been developed for chemical and electrochemical etching of the track etch dosimeters. Three systems specifically show great promise and are being studied extensively

  12. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    Czech Academy of Sciences Publication Activity Database

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurný, František; Yukihara, E.; Gaza, R.; McKeever, S.

    2006-01-01

    Roč. 120, 1- 4 (2006), s. 433-437 ISSN 0144-8420 R&D Projects: GA MŠk 1P05OC032 Institutional research plan: CEZ:AV0Z10480505 Keywords : bacteria l experiments * space flight * etched track detectors * thermoluminescent detectors Subject RIV: DN - Health Impact of the Environment Quality Impact factor: 0.446, year: 2006

  13. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  14. Elastic (stress-strain) halo associated with ion-induced nano-tracks in lithium niobate: role of crystal anisotropy

    International Nuclear Information System (INIS)

    Rivera, A; Garcia, G; Olivares, J; Crespillo, M L; Agulló-López, F

    2011-01-01

    The elastic strain/stress fields (halo) around a compressed amorphous nano-track (core) caused by a single high-energy ion impact on LiNbO 3 are calculated. A method is developed to approximately account for the effects of crystal anisotropy of LiNbO 3 (symmetry 3m) on the stress fields for tracks oriented along the crystal axes (X, Y or Z). It only considers the zero-order (axial) harmonic contribution to the displacement field in the perpendicular plane and uses effective Poisson moduli for each particular orientation. The anisotropy is relatively small; however, it accounts for some differential features obtained for irradiations along the crystallographic axes X, Y and Z. In particular, the irradiation-induced disorder (including halo) and the associated surface swelling appear to be higher for irradiations along the X- or Y-axis in comparison with those along the Z-axis. Other irradiation effects can be explained by the model, e.g. fracture patterns or the morphology of pores after chemical etching of tracks. Moreover, it offers interesting predictions on the effect of irradiation on lattice parameters.

  15. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  16. Controlling line-edge roughness and reactive ion etch lag in sub-150 nm features in borophosphosilicate glass

    International Nuclear Information System (INIS)

    Bhatnagar, Parijat; Panda, Siddhartha; Edleman, Nikki L.; Allen, Scott D.; Wise, Richard; Mahorowala, Arpan

    2007-01-01

    We have developed a reactive ion etch (RIE) process in borophosphosilicate glass (BPSG) for 150 nm line-and-space features, where line-edge roughness (LER) complemented with RIE lag becomes a major issue. Effect of flow rates and carbon-to-fluorine atomic ratio of fluorohydrocarbon gases was utilized to achieve acceptable process window allowing lower radio frequency powers therefore obtaining acceptable LER and RIE lag in the high-resolution features etched into BPSG

  17. Sources of error in etched-track radon measurements and a review of passive detectors using results from a series of radon intercomparisons

    International Nuclear Information System (INIS)

    Ibrahimi, Z.-F.; Howarth, C.B.; Miles, J.C.H.

    2009-01-01

    Etched-track passive radon detectors are a well established and apparently simple technology. As with any measurement system, there are multiple sources of uncertainty and potential for error. The authors discuss these as well as good quality assurance practices. Identification and assessment of sources of error is crucial to maintain high quality standards by a measurement laboratory. These sources can be found both within and outside the radon measurement laboratory itself. They can lead to changes in track characteristics and ultimately detector response to radon exposure. Changes don't just happen during etching, but can happen during the recording or counting of etched-tracks (for example ageing and fading effects on track sensitivity, or focus and image acquisition variables). Track overlap means the linearity of response of detectors will vary as exposure increases. The laboratory needs to correct the calibration curve due to this effect if it wishes to offer detectors that cover a range of exposures likely to be observed in the field. Extrapolation of results to estimate annual average concentrations also has uncertainty associated with it. Measurement systems need to be robust, reliable and stable. If a laboratory is not actively and constantly monitoring for anomalies via internal testing, the laboratory may not become aware of a problem until some form of external testing occurs, eg an accreditation process, performance test, interlaboratory comparison exercise or when a customer has cause to query results. Benchmark standards of accuracy and precision achievable with passive detectors are discussed drawing on trends from the series of intercomparison exercises for passive radon detectors which began in 1982, organised by the National Radiological Protection Board (NRPB), subsequently the Health Protection Agency (HPA).

  18. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  19. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  20. Lateral charge transport from heavy-ion tracks in integrated circuit chips

    Science.gov (United States)

    Zoutendyk, J. A.; Schwartz, H. R.; Nevill, L. R.

    1988-01-01

    A 256K DRAM has been used to study the lateral transport of charge (electron-hole pairs) induced by direct ionization from heavy-ion tracks in an IC. The qualitative charge transport has been simulated using a two-dimensional numerical code in cylindrical coordinates. The experimental bit-map data clearly show the manifestation of lateral charge transport in the creation of adjacent multiple-bit errors from a single heavy-ion track. The heavy-ion data further demonstrate the occurrence of multiple-bit errors from single ion tracks with sufficient stopping power. The qualitative numerical simulation results suggest that electric-field-funnel-aided (drift) collection accounts for single error generated by an ion passing through a charge-collecting junction, while multiple errors from a single ion track are due to lateral diffusion of ion-generated charge.

  1. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  2. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  3. Imaging the interphase of carbon fiber composites using transmission electron microscopy: Preparations by focused ion beam, ion beam etching, and ultramicrotomy

    Directory of Open Access Journals (Sweden)

    Wu Qing

    2015-10-01

    Full Text Available Three sample preparation techniques, focused ion beam (FIB, ion beam (IB etching, and ultramicrotomy (UM were used in comparison to analyze the interphase of carbon fiber/epoxy composites using transmission electron microscopy. An intact interphase with a relatively uniform thickness was obtained by FIB, and detailed chemical analysis of the interphase was investigated by electron energy loss spectroscopy. It shows that the interphase region is 200 nm wide with an increasing oxygen-to-carbon ratio from 10% to 19% and an almost constant nitrogen-to-carbon ratio of about 3%. However, gallium implantation of FIB tends to hinder fine structure analysis of the interphase. For IB etching, the interphase region is observed with transition morphology from amorphous resin to nano-crystalline carbon fiber, but the uneven sample thickness brings difficulty for quantitative chemical analysis. Moreover, UM tends to cause damage and/or deformation on the interphase. These results are meaningful for in-depth understanding on the interphase characteristic of carbon fiber composites.

  4. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  5. Study of gamma irradiation effects on the etching and optical properties of CR-39 solid state nuclear track detector and its application to uranium assay in soil samples

    International Nuclear Information System (INIS)

    Amol Mhatre; Kalsi, P.C.

    2011-01-01

    The gamma irradiation effects in the dose range of 2.5-43.0 Mrad on the etching and optical characteristics of CR-39 solid state nuclear track detector (SSNTD) have been studied by using etching and UV-Visible spectroscopic techniques. From the measured bulk etch rates at different temperatures, the activation energies for bulk etching at different doses have also been determined. It is seen that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. The optical band gaps of the unirradiated and the gamma -irradiated detectors determined from the UV-Visible spectra were found to decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation. The present studies can be used for the estimation of gamma dose in the range of 2.5-43.0 Mrad and can also be used for estimating track registration efficiency in the presence of gamma dose. The CR-39 detector has also been applied for the assay of uranium in some soil samples of Jammu city. (author)

  6. Structure of heavy-ion tracks in zircon

    International Nuclear Information System (INIS)

    Braunshausen, G.; Bursill, L.A.; Vetter, J.; Spohr, R.

    1990-01-01

    Gem quality zirconas (ZrSiO 4 ) were irradiated with 14MeV/u Pb ions. Observations of heavy-ion tracks confirmed that fission or heavy-ion irradiation damage is confined to a 50-100 Aangstroem core region, which has undergone a crystalline-glass phase transition. 3 refs., 3 figs

  7. Etching characteristics of nuclear tracks in CR-39 plastics

    International Nuclear Information System (INIS)

    Tsuruta, Takao; Isobe, Ginko.

    1984-01-01

    In using CR-39 plastics for individual neutron dosimeters, changes of etching efficiency cause significant error in dose estimation. Etching efficiency is subject to a number of parameters. In this study the influences of the parameters were examined by measuring the diameters of etch-pits formed by alpha-particles and enlarged by aqueous solutions of 25-35% KOH at 55-65 0 C for 4 hr. It has been observed that diameter changes at the rate of 8.3%/ 0 C in temperature, 8.2%/wt% in concentration and -0.60%/day in time after preparation of etchant. The diameter is unaffected by the supplying of up to 280ml/l, of distilled water for evaporation of etchant or by increase up to 5g/l of CR-39 dissolved in etchant. The magnitude of possible error has been estimated by parameter as well as in general, so as to obtain suggestions for improving etching treatment. (author)

  8. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  9. New Colloidal Lithographic Nanopatterns Fabricated by Combining Pre-Heating and Reactive Ion Etching

    Directory of Open Access Journals (Sweden)

    Cong Chunxiao

    2009-01-01

    Full Text Available Abstract We report a low-cost and simple method for fabrication of nonspherical colloidal lithographic nanopatterns with a long-range order by preheating and oxygen reactive ion etching of monolayer and double-layer polystyrene spheres. This strategy allows excellent control of size and morphology of the colloidal particles and expands the applications of the colloidal patterns as templates for preparing ordered functional nanostructure arrays. For the first time, various unique nanostructures with long-range order, including network structures with tunable neck length and width, hexagonal-shaped, and rectangular-shaped arrays as well as size tunable nanohole arrays, were fabricated by this route. Promising potentials of such unique periodic nanostructures in various fields, such as photonic crystals, catalysts, templates for deposition, and masks for etching, are naturally expected.

  10. Investigation of the various factors affecting the response of passive configuration track etch dosimeters to working level hour exposure in radon and radon daughter atmospheres. Final report

    International Nuclear Information System (INIS)

    Lovett, D.B.

    1976-01-01

    The work described in this report was directed toward identifying and devising methods to minimize the previously observed variability between working level hour exposures and the alpha particle track densities resulting on Track Etch films exposed to mine atmospheres containing radon and radon daughters. The disequilibrium between radon and its daughters was shown to directly affect the Track Etch film calibration factor. The wide range of disequilibrium states possible in mine situations amply explains the variability in the previous tests. A file of published mine atmosphere composition data was compiled and was used, along with atmospheric compositions derived from several theoretical models of the atmospheric behavior, to evaluate the variability of several dosimeter configurations and concepts

  11. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  12. Characteristics of latent damage trails due to high energy 208Pb and 238U ions in CR-39 and muscovite mica

    International Nuclear Information System (INIS)

    Chohan, A.S.; Akhtar, S.M.

    1988-01-01

    Experiments have been performed to study the characteristics of latent damage trails produced by (a) 17.14 MeV/nucleon- 208 Pb ions in mica and CR-39 track detectors and (b) 13.7 MeV/nucleon- 238 U in CR-39 track detectors. The parameters studied include (a) V t , average etching velocity along the track, (b) thermal stability of the latent damage trails, (c) the total etchable ranges, and (d) V g the general etching velocity of CR-39 track detectors previously annealed at different temperatures. It has been observed that the latent damage trails in CR-39 are greatly affected at temperatures around 200 0 C in CR-39 track detectors. The general etching velocity of a CR-39 detector has been found to increase with increasing annealing temperatures. (author)

  13. Development of Nanoporous Polymer Membranes by Swift Heavy Ion Irradiation

    Science.gov (United States)

    Dinesh, Divya; Predeep, P.

    2011-10-01

    This study reveals the preparation of conical pores in polyethylene terephthalate (PET) by track etching. The polymer membrane is etched from one side by keeping between the clamps of conductivity cell followed by irradiation with swift heavy ion of 197Au. Electrical stopping supports chemical stopping. During etching process current is measured as a function of time till a sharp increase -breakthrough-observed. After etching membranes are thoroughly washed with stopping solution and water. Resultant films are characterized using Optical microscope and field emission scanning electron microscopy. Polymer films with uniform pores can be a cheaper templating material in the fields of photonic crystals and micro- electronics.

  14. Determining of the track parameters in solid state nuclear track detectors Cr 39 due to alpha particles

    International Nuclear Information System (INIS)

    Kostic, D.; Nikezic, D.

    1997-01-01

    An equation of the etch pit wall is proposed to be used for simulation of the track growth and calculating the major and the minor axis of etch pit opening. Dependence on the following parameters is set up: distance along a track from the point where the particle entered the detector, ratio of the track etch wall to the bulk etch rate, integration constant determined from particle penetration depth and normal distance from the particle trajectory to the etch pit wall. The corresponding computer program was written. The input parameters of this program are: alpha particles energy, incidence angle and removed layer; the output gives track parameters. The results obtained by this method are compared to another approach given by Somogy and Szalay (1973) and a reasonably good agreement is found. (author)

  15. A study of commercially-available polyethylene terephthalate (PET) and polycarbonate as nuclear track detector materials

    Science.gov (United States)

    Espinosa, G.; Golzarri, J. I.; Vazquez-Lopez, C.; Trejo, R.; Lopez, K.; Rickards, J.

    2014-07-01

    In the study of the sensitivity of materials to be used as nuclear track detectors, it was found that commercial polyethylene terephthalate (PET) from Ciel® water bottles, commercial roof cover polycarbonate, and recycled packaging strips (recycled PET), can be used as nuclear track detectors. These three commercial materials present nuclear tracks when bombarded by 2.27 MeV nitrogen ions produced in a Pelletron particle accelerator, and by fission fragments from a 252Cf source (79.4 and 103.8 MeV), after a chemical etching with a 6.25M KOH solution, or with a 6.25M KOH solution with 20% methanol, both solutions at 60±1°C. As an example, the nitrogen ions deposit approximately 1 keV/nm in the form of ionization and excitation at the surface of PET, as calculated using the SRIM code. The fission fragments deposit up to 9 keV/nm at the surface, in both cases generating sufficient free radicals to initiate the track formation process. However, 5 MeV alpha particles, typical of radon (222Rn) emissions, deposit only 0.12 keV/nm, do not present tracks after the chemical etching process. This valuable information could be very useful for further studies of new materials in nuclear track methodology.

  16. Identification of charged particles by etching the solid state nuclear track detectors in successive intervals

    International Nuclear Information System (INIS)

    Randhawa, G.S.; Virk, H.S.

    1997-01-01

    The suitability of the method of charged particle identification by etching the samples in successive intervals developed by Grabez et al. has been checked in CR-39 exposed to heavy ions 238 U, 208 Pb, 197 Au and 132 Xe in the interval 11.0 to 17.0 MeV/u. A similar study has been made on soda glass detectors irradiated by 238 U, 132 Xe, 56 Fe and 48 Ti ions having energy 4.0 to 6.0 MeV/u. It is concluded that this method of particle identification can be used successfully in CR-39 and soda glass detectors. (author)

  17. Production of multi-, oligo- and single-pore membranes using a continuous ion beam

    Czech Academy of Sciences Publication Activity Database

    Apel, P. Yu.; Ivanov, O.; Lizunov, N. E.; Mamonova, T. I.; Nechaev, A. N.; Olejniczak, K.; Vacík, Jiří; Dmitriev, S. N.

    2015-01-01

    Roč. 365, DEC (2015), s. 641-645 ISSN 0168-583X R&D Projects: GA MŠk LG14004 Institutional support: RVO:61389005 Keywords : ion beam * irradiation * ion track * etching * single nanopore Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.389, year: 2015

  18. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  19. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  20. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  1. New method of transmission of substances through membranes with nuclear tracks

    International Nuclear Information System (INIS)

    Fernandez, M.A.; Gutierrez, M.C.; Magni, M.; Celma, G.; Mazzei, Ruben; Garcia Bermudez, Gerardo; Torres, A.

    2007-01-01

    In order to produce membranes with pores that react selectively to changes in the environment allowing the transmission of substances and continuing with a systematic study that include different polymers and monomers, the residual active sites produced by heavy ion beams, that remain after the etching process, were used to start the grafting process. To produce tracks, foils of polypropylene (PP) were irradiated with 208 Pb of 25.62 MeV/n. Then were etched and grafted with acrylic acid (AA) monomer. Experimental curves of grafting yield as a function of grafting time with the etching time as a parameter were measured. Also, the grafting yield as a function of the fluence and etching time was obtained. In addition transmission of solutions, with different pH, through PP grafted foils was measured. (author) [es

  2. Gamma dosimetry with CR-39 etch track detector

    International Nuclear Information System (INIS)

    Matiullah; Dogar, A.H.; Ahmad, N.; Amin, M.; Kudo, Katsuhisa

    1999-01-01

    To preserve and improve the safety of food for commercial purposes, it is exposed to high gamma-ray doses. The gamma-ray doses used for this purpose range from 0.15 kGy to 50 kGy. At such high doses, the etching characteristics of CR-39 are severely affected. This property, therefore, can be used to develop a CR-39-based gamma dosimeter. In this context, systematic studies were carried out and the bulk etching rate was determined as a function of gamma-ray dose using different methods. (author)

  3. Track structure for low energy ions including charge exchange processes

    International Nuclear Information System (INIS)

    Uehara, S.; Nikjoo, H.

    2002-01-01

    The model and development is described of a new generation of Monte Carlo track structure codes. The code LEAHIST simulates full slowing down of low-energy proton history tracks in the range 1 keV-1 MeV and the code LEAHIST simulates low-energy alpha particle history tracks in the range 1 keV-8 MeV in water. All primary ion interactions are followed down to 1 keV and all electrons to 1 eV. Tracks of secondary electrons ejected by ions were traced using the electron code KURBUC. Microdosimetric parameters derived by analysis of generated tracks are presented. (author)

  4. Pilot production of track etch membranes (TEMS) using heavy ion beam scanner

    International Nuclear Information System (INIS)

    Nair, J.P.; Surendran, P.; Sparrow, Hillary; Ninawe, N.G.; Bhagwat, P.V.; Acharya, N.; Kulshreshta, V.; Rajesh Kumar; Vijay, Y.K.; Kurup, M.B.

    2005-01-01

    Various methods for making TEMs were conducted at Pelletron Accelerator Facility. The technique for production using ion beam scattering was also established. This is an effort to make TEMs on pilot basis at BARC- TIFR Pelletron Accelerator using Heavy Ion Beam Scanner till large rolling mechanism is implemented

  5. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  6. Range measurements and track kinetics in Dielectric Nuclear Track Detectors (DNTDs)

    Energy Technology Data Exchange (ETDEWEB)

    Aframian, A

    1981-01-01

    Observations of nuclear track development profiles and the kinetics of etched tracks in sensitive dielectric nuclear track detectors indicate three separate phases: the inception phase or the cone phase, the transition phase and the sphere phase. Continued etching of the sphere phase to through-tracks yields accurate range data for particles of different masses and energies and minimum critical angles of registration for each particle. The present results show an energy resolution of 40 keV (fwhm) for 5.48 MeV alpha-particles emitted from Am-241.

  7. Radon and radon-daughter exposure measurements by through-etched track registration in cellulose nitrate

    International Nuclear Information System (INIS)

    Knoefell, T.M.J.; Silva Estrada, J.J. da; Tavares, O.A.P.; Martins, J.B.

    1981-01-01

    The use of cellulose nitrate films LR-115 type II (Kodak-Pathe) as a practical, exposure integrating device to measure the level of exposure to alpha particles in atmospheres which contain radon and radon-daughter products is investigated. The analysis of a number of cellulose nitrate films that have been exposed to calibrated radon test-chamber atmospheres has indicated good correlations between through-etched track density p and integrated alpha-particle exposure Σa (Working-Level-Hour). It is shown that the response of the cellulose nitrate detector to radon-daughter alpha-particle exposures is linear, and that reliable conservative estimations of the Working-Level-Hour can be obtained from Σa = 3.0(p-b), where p is expressed in tracks/mm 2 (b is the background level). These results recommend the use of the special red cellulose nitrate films as a convenient dosimeter for monitoring radioactive contaminants in mine atmospheres. (Author) [pt

  8. Registration of alpha particles in Makrofol-E nuclear track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Rammah, Y.S. [Physics Department, Faculty of Science, Menoufia University, Shebin El-Koom (Egypt); Abdalla, Ayman M., E-mail: aymanabdalla62@hotmail.com [Physics Department, Faculty of Sciences and Arts, Najran University, P. O. Box. 11001, Najran (Saudi Arabia); Promising Centre for Sensors and Electronic Devices, Faculty of Arts and Sciences, Najran University (Saudi Arabia); Ashraf, O., E-mail: osama.ashraf@edu.asu.edu.eg [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt); Ashry, A.H. [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt)

    2016-06-15

    Highlights: • Makrofol-E detectors have been irradiated with alpha particles and fission fragments. • Fast detection of alpha particles in Makrofol-E detectors. • Bulk etching rate was calculated from fission track diameters. - Abstract: Fast detection of alpha particles in the range from 1 to 5 MeV in Makrofol-E polycarbonate nuclear track detectors (PCTDs) using a new chemical etchant was investigated. {sup 252}Cf and {sup 241}Am-thin open sources were used for irradiating Makrofol-E detectors with fission fragments and alpha particles in air at normal pressure and temperature (NPT). A chain of experimental work has been carried out using new etchants to register alpha particle in short time in Makrofol-E polycarbonate detectors. The etching efficiency were exhibited a clear dependence on the amount of methanol in the etching solution and etching time. The optimized chemical condition obtained at this stage of development for 200 μm Makrofol-E detectors are (8 ml of 10 N NaOH + 2 ml CH{sub 3}OH) etching solutions at 60 °C for 3 h. In this study; it is possible to observe energy detection windows for Makrofol-E detectors according to applied etching duration. Makrofol-E introduced the characteristic Bragg peak, which indicates the advantages of this detector as alpha spectrometer. Consequently, the suggested new etchant can be developed for heavy ions detection and monitoring radon levels and its daughters.

  9. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  10. The spark counting of etched fission-fragment tracks in polycarbonate for a personal neutron dosimetry system

    International Nuclear Information System (INIS)

    Harrison, K.G.; Hancock, I.B.; Holt, P.D.; Wylie, J.W.

    1977-10-01

    A new type of personal neutron dosimeter, in which neutron-induced fissions in a thin 237 Np foil are detected by a polycarbonate track-detector, is under development at Harwell for use in a nuclear-fuel reprocessing plant. As part of the development programme, an experimental dosimeter, etching facility and spark counter have been used to study the spark-counting method for counting fission-fragment tracks in polycarbonate. Emphasis has been placed on developing operating procedures for the counter consistent with good overall reproducibility. Existing methods for the optimizing and testing of spark counters is briefly reviewed and a practical operational testing procedure is devised. The optimized system is found to be relatively foolproof in operation and gives good results in unskilled use as well as under carefully-controlled laboratory conditions. (author)

  11. Study of ion tracks by micro-probe ion energy loss spectroscopy

    Czech Academy of Sciences Publication Activity Database

    Vacík, Jiří; Havránek, Vladimír; Hnatowicz, Vladimír; Horák, Pavel; Fink, Dietmar; Apel, P. Yu.

    2014-01-01

    Roč. 332, AUG (2014), s. 308-311 ISSN 0168-583X. [21st International Conference on Ion Beam Analysis (IBA). Seattle, 23.06.2013-28.06.2013] R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(XE) LM2011019 Institutional support: RVO:61389005 Keywords : ion energy loss spectrometry * single ion track * microprobe * tomography Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.124, year: 2014

  12. Energy dependence of fast neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Morgan, K.Z.

    1978-01-01

    Registration of fast-neutron induced recoil tracks by the electrochemical etching technique as applied to sensitive Lexan polycarbonate foils provides a simple and inexpensive means of fast neutron personnel dosimetry. The sensitivity (tracks/neutron) of recoil particle registration is given as a function of neutron energy. Neutrons of 7 Li (p,n) 7 Be, 3 T (d,n) 4 He and 9 B, respectively. Results are compared with other studies using other neutron sources and conventional etching method

  13. Dose distribution around ion track in tissue equivalent material

    International Nuclear Information System (INIS)

    Zhang Wenzhong; Guo Yong; Luo Yisheng

    2007-01-01

    Objective: To study the energy deposition micro-specialty of ions in body-tissue or tissue equivalent material (TEM). Methods: The water vapor was determined as the tissue equivalent material, based on the analysis to the body-tissue, and Monte Carlo method was used to simulate the behavior of proton in the tissue equivalent material. Some features of the energy deposition micro-specialty of ion in tissue equivalent material were obtained through the analysis to the data from calculation. Results: The ion will give the energy by the way of excitation and ionization in material, then the secondary electrons will be generated in the progress of ionization, these electron will finished ions energy deposition progress. When ions deposited their energy, large amount energy will be in the core of tracks, and secondary electrons will devote its' energy around ion track, the ion dose distribution is then formed in TEM. Conclusions: To know biological effects of radiation , the research to dose distribution of ions is of importance(significance). (authors)

  14. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    Science.gov (United States)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  15. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  16. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  17. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  18. Radon measurements by etched track detectors applications in radiation protection, earth sciences and the environment

    CERN Document Server

    Durrani, Saeed A

    1997-01-01

    Exposure to radon gas, which is present in the environment naturally, constitutes over half the radiation dose received by the general public annually. At present, the most widely used method of measuring radon concentration levels throughout the world, both in dwellings and in the field, is by etched track detectors - also known as Solid State Nuclear Detectors (SSNTDs). Although this is not only the most widely used method but is also the simplest and the cheapest, yet there is at present no book available on the market globally, devoted exclusively or largely to the methodology of, and deal

  19. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  20. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  1. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  2. Development of a new approach to simulate a particle track under electrochemical etching in polymeric detectors

    International Nuclear Information System (INIS)

    Mostofizadeh, Ali; Huang, Yudong; Kardan, M. Reza; Babakhani, Asad; Sun Xiudong

    2012-01-01

    A numerical approach based on image processing was developed to simulate a particle track in a typical polymeric detector, e.g., polycarbonate, under electrochemical etching. The physical parameters such as applied voltage, detector thickness, track length, the radii of curvature at the tip of track, and the incidence angle of the particle were considered, and then the boundary condition of the problem was defined. A numerical method was developed to solve Laplace equation, and then the distribution of the applied voltage was obtained through the polymer volume. Subsequently, the electric field strengths in the detector elements were computed. In each step of the computation, an image processing technique was applied to convert the computed values to grayscale images. The results showed that a numerical solution to Laplace equation is dedicatedly an attractive approach to provide us the accurate values of electric field strength through the polymeric detector volume as well as the track area. According to the results, for a particular condition of the detector thickness equal to 445 μm, track length of 21 μm, the radii of 2.5 μm at track tip, the incidence angle of 90°, and the applied voltage of 2080 V, after computing Laplace equation for an extremely high population of 4000 × 4000 elements of detector, the average field strength at the tip of track was computed equal to 0.31 MV cm −1 which is in the range of dielectric strength for polymers. The results by our computation confirm Smythe’s model for estimating the ECE-tracks.

  3. Dry fabrication of microdevices by the combination of focused ion beam and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Tittonen, I; Grigoras, K; Sainiemi, L; Franssila, S; Peltonen, A

    2010-01-01

    In this paper, we demonstrate silicon microdevice fabrication by a combination of focused ion beam (FIB) and cryogenic deep reactive ion etching (DRIE). Applying FIB treatment only to a thin surface layer enables very high writing speed compared with FIB milling. The use of DRIE then defines the micro- and nanodevices utilizing the FIB-modified silicon as a mask. We demonstrate the ability to create patterns on highly 3D structures, which is extremely challenging by other nanofabrication methods. The alignment of optically made and FIB-defined patterns is also demonstrated. We also show that complete microelectromechanical systems (MEMS) can be fabricated by this method by presenting a double-ended tuning fork resonator as an example. Extremely short process time is achieved as the full fabrication cycle from mask design to electrical measurements can be completed during one working day.

  4. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  5. Conductometric Determination of Single Pores in Polyethyleneterephthalate Irradiated by Heavy Ions

    CERN Document Server

    Oganesyan, V R; Dörschel, B; Vetter, J E; Danziger, M; Hermsdorf, D

    2002-01-01

    Most of previous works devoted to the problem of track formation processes did not pay enough attention to direct measurement of the appearance of every individual pore in an array of many pores induced by the irradiation of polymer films with ions. Such measurements are not easy to carry out due to the extremely high electric resistance in the moment of pore opening. In this work the analysis of films irradiated with low particle fluences up to 3.7\\cdot 10^{3} ions/cm^2 is described. Polyethyleneterephthalate (PET) Hostaphan with a thickness of 20 m was used. The samples were irradiated with Bi ions of 11.4 MeV/amu energy. Using optimized etching conditions and computer aided data evaluation we obtained results, which are in good agreement with theoretical predictions and model calculations. The measured increase of conductivity beginning from the breakthrough of a single track up to the next pore opening in dependence on the etching time and the number of opened pores confirm the assumed model. Thus, the de...

  6. Conductometric determination of single pores in polyethyleneterephthalate irradiated by heavy ions

    CERN Document Server

    Oganesyan, V R; Dörschel, B; Hermsdorf, D; Trofimov, V V; Vetter, J

    2002-01-01

    Most of the previous works devoted to the problem of track formation processes did not pay enough attention to direct measurement of the appearance of every individual pore in an array of many pores induced by the irradiation of polymer films with ions. Such measurements are not easy to carry out due to the extremely high electric resistance in the moment of pore opening. In this work the analysis of films irradiated with low particle fluences up to 3.7 centre dot 10 sup 3 ions/cm sup 2 is described. Polyethyleneterephthalate (PET) Hostaphan with a thickness of 20 mu m was used. The samples were irradiated with Bi ions of 11.4 MeV/amu energy. Using optimized etching conditions and computer aided data evaluation, we obtained results, which are in good agreement with theoretical predictions and model calculations. The measured increase of conductivity beginning from the breakthrough of a single track up to the next pore opening in dependence on the etching time and the number of opened pores confirm the assumed...

  7. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  8. Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused Silica Optics during Reactive Ion Etching Process

    Directory of Open Access Journals (Sweden)

    Laixi Sun

    2018-04-01

    Full Text Available The reactive ion etching (RIE process of fused silica is often accompanied by surface contamination, which seriously degrades the ultraviolet laser damage performance of the optics. In this study, we find that the contamination behavior on the fused silica surface is very sensitive to the RIE process which can be significantly optimized by changing the plasma generating conditions such as discharge mode, etchant gas and electrode material. Additionally, an optimized RIE process is proposed to thoroughly remove polishing-introduced contamination and efficiently prevent the introduction of other contamination during the etching process. The research demonstrates the feasibility of improving the damage performance of fused silica optics by using the RIE technique.

  9. Laser etching as an alternative

    International Nuclear Information System (INIS)

    Dreyfus, R.W.; Kelly, R.

    1989-01-01

    Atoms and molecules are removed from surfaces by intense laser beams. This fact has been known almost since the discovery of the laser. Within the present overall area of interest, namely understanding ion-beam-induced sputtering, it is equally important both to contrast laser etching to ion sputtering and to understand the underlying physics taking place during laser etching. Beyond some initial broad observations, the specific discussion is limited to, and aimed at, two areas: (i) short wavelength, UV, laser-pulse effects and (ii) energy fluences sufficiently small that only monolayers (and not microns) of material are removed per pulse. 38 refs.; 13 figs.; 5 tabs

  10. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  11. Partially etched Ti3AlC2 as a promising high capacity Lithium-ion battery anode.

    Science.gov (United States)

    Chen, Xifan; Zhu, Yuanzhi; Zhu, Xiaoquan; Peng, Wenchao; Li, Yang; Zhang, Guoliang; Zhang, Fengbao; Fan, Xiaobin

    2018-06-25

    MXenes, a family of two-dimensional transition-metal carbide and nitride materials, are supposed to be the promising materials in energy storage because of the high electronic conductivity, hydrophilic surfaces and low diffusion barriers. MXenes are generally prepared by removing the "A" elements (A = Al, Si, Sn, etc.) from their corresponding MAX phases by using hydrofluoric acid (HF) and the other etching agents, despite the fact that these "A" elements usually have great volumetric and gravimetric capacities. Herein, we studied the etching progress of Ti3AlC2 and evaluated their anode performance in Lithium-ion batteries. We found that a partially etched sample (0.5h-peTi3C2Tx) showed much higher capacity (160 mA h g-1, 331.6 mA h cm-3 at 1C) when compared with the fully etched Ti3C2Tx (110 mA h g-1, 190.3 mA h cm-3 at 1C). Besides, a 99% capacity retention was observed even after 1000 cycles in the 0.5h-peTi3C2Tx anode. This interesting result can be explained, at least in part, by the alloying of the residue Al element during lithiation. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    International Nuclear Information System (INIS)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-01-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H 2 O 2 under UV irradiation (H 2 O 2 /UV) and Fenton system under visible light (Fenton/H 2 O 2 /Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H 2 O 2 /UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H 2 O 2 /Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  13. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Science.gov (United States)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A.; Güven, Olgun; Zdorovets, Maxim V.; Taltenov, Abzal A.

    2015-12-01

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H2O2 under UV irradiation (H2O2/UV) and Fenton system under visible light (Fenton/H2O2/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H2O2/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H2O2/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  14. An improved technique for fission track dating

    International Nuclear Information System (INIS)

    Zhao Yunlong; Wu Zhaohui; Xia Yuliang

    1996-01-01

    The necessity of improving the fission track dating (FTD) technique both at home and abroad is illustrated. The ways of making such improvement are also proposed. It is suggested to calibrate the constant b value of the uranium standard glass by using the method of fission products activity. The 3 kinds of uranium standard glass which have been calibrated are NBS SRM962a, UB 1 and UB 2 . An established new method σ·Φ ρ d /b, to measure neutron fluence, avoids the influence of the varying neutron spectrum on measuring neutron fluence. The improved etching technique for fission tracks in zircon adopted a two-step method which includes the molten alkali system etching using NaOH + KOH and the mixed acid system etching using HNO 3 + HF; this technique results in adequate track etching, increased track clarity and less interference. In this way the intensity of tracks is authentically reflected. Dividing angular zone in accordance with the angular distribution of spontaneous fission track on the crystal surface of minerals to count the tracks and using the improved etching technique to remove the non-uniform angular distribution of spontaneous fission tracks in zircon, ensure the accuracy of tracks count. The improved FTD techniques were used to finish Laboratory Standardized Calibration. The tests using international FTD age standards samples have proved that above mentioned techniques are reliable and practical in obtaining the accurate FTD data. (8 tabs.; 3 figs.)

  15. Comparison of neutron dose measured by Albedo TLD and etched tracks detector at PNC plutonium fuel facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Momose, T.; Shinohara, K.; Ishiguro, H.

    1996-01-01

    Power Reactor and Nuclear Fuel Development Corporation (PNC) has fabricated Plutonium and Uranium Mixed OXide (MOX) fuel for FBR MONJU at Tokai works. In this site, PNC/Panasonic albedo TLDs/1/ are used for personnel neutron monitoring. And a part of workers wore Etched Tracks Detector (ETD) combined with TLD in order to check the accuracy of the neutron dose estimated by albedo TLD. In this paper, the neutron dose measured by TLD and ETD in the routine monitoring is compared at PNC plutonium fuel facilities. (author)

  16. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  17. Ion-Exchange-Induced Selective Etching for the Synthesis of Amino-Functionalized Hollow Mesoporous Silica for Elevated-High-Temperature Fuel Cells.

    Science.gov (United States)

    Zhang, Jin; Liu, Jian; Lu, Shanfu; Zhu, Haijin; Aili, David; De Marco, Roland; Xiang, Yan; Forsyth, Maria; Li, Qingfeng; Jiang, San Ping

    2017-09-20

    As differentiated from conventional synthetic processes, amino-functionalized hollow mesoporous silica (NH 2 -HMS) has been synthesized using a new and facile strategy of ion-exchange-induced selective etching of amino-functionalized mesoporous silica (NH 2 -meso-silica) by an alkaline solution. Nuclear magnetic resonance (NMR) spectroscopy and in situ time-resolved small-angle X-ray scattering (SAXS) reveal that ion-exchange-induced selective etching arises from the gradient distribution of OH - in the NH 2 -meso-silica nanospheres. Moreover, the ion-exchange-induced selective etching mechanism is verified through a successful synthesis of hollow mesoporous silica. After infiltration with phosphotungstic acid (PWA), PWA-NH 2 -HMS nanoparticles are dispersed in the poly(ether sulfone)-polyvinylpyrrolidone (PES-PVP) matrix, forming a hybrid PWA-NH 2 -HMS/PES-PVP nanocomposite membrane. The resultant nanocomposite membrane with an optimum loading of 10 wt % of PWA-NH 2 -HMS showed an enhanced proton conductivity of 0.175 S cm -1 and peak power density of 420 mW cm -2 at 180 °C under anhydrous conditions. Excellent durability of the hybrid composite membrane fuel cell has been demonstrated at 200 °C. The results of this study demonstrated the potential of the facile synthetic strategy in the fabrication of NH 2 -HMS with controlled mesoporous structure for application in nanocomposite membranes as a technology platform for elevated-temperature proton exchange membrane fuel cells.

  18. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  19. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Barriga, J. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)]. E-mail: sbarriga@bessy.de; Lucas, M. [Technische Universitaet Berlin, Institut fuer Theoretische Physik, Hardenbergstr. 36, D-10623 Berlin (Germany); Rivero, G. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Marin, P. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain); Hernando, A. [Instituto de Magnetismo Aplicado (UCM-RENFE-CSIC), P.O. Box 155, 28230, Las Rozas, Madrid (Spain)

    2007-05-15

    Arrays of Cobalt nanowires with a controlled length of 6{mu}m have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition.

  20. Magnetoelectrolysis of Co nanowire arrays grown in a track-etched polycarbonate membrane

    International Nuclear Information System (INIS)

    Sanchez-Barriga, J.; Lucas, M.; Rivero, G.; Marin, P.; Hernando, A.

    2007-01-01

    Arrays of Cobalt nanowires with a controlled length of 6μm have been fabricated by electrochemical deposition into the pores of track-etched polycarbonate membranes with a nominal pore diameter of 30nm. The magnetic properties of Co-deposited nanowires and the effects of a magnetic field applied during electrodeposition of the arrays have been studied. An enhancement of the mass deposition rate due to the presence of a 50Oe magnetic field along the nanowire axis has been observed by measuring the experimental development of the current in the electrochemical cell during the fabrication process. X-ray diffraction measurements reveal a different polycrystalline degree for each deposition configuration, indicating that the crystalline structure of the deposited material has been substantially modified. Magnetic measurements show a clear dependence of the anisotropy directions on the orientation of the magnetic field applied during the electrodeposition

  1. A fast online hit verification method for the single ion hit system at GSI

    International Nuclear Information System (INIS)

    Du, G.; Fischer, B.; Barberet, P.; Heiss, M.

    2006-01-01

    For a single ion hit facility built to irradiate specific targets inside biological cells, it is necessary to prove that the ions hit the selected targets reliably because the ion hits usually cannot be seen. That ability is traditionally tested either indirectly by aiming at pre-etched tracks in a nuclear track detector or directly by making the ion tracks inside cells visible using a stain coupled to special proteins produced in response to ion hits. However, both methods are time consuming and hits can be verified only after the experiment. This means that targeting errors in the experiment cannot be corrected during the experiment. Therefore, we have developed a fast online hit verification method that measures the targeting accuracy electronically with a spatial resolution of ±1 μm before cell irradiation takes place. (authors)

  2. Incident-angle dependency found in track formation sensitivity of a plastic nuclear track detector (TD-1)

    International Nuclear Information System (INIS)

    Yasuda, Hiroshi

    1999-01-01

    The present study was done since data are hardly available on the incident-angle dependency of track formation sensitivity (S) of the plastic nuclear track detector. Chips of a TD-1 plate, an antioxidant-doped CR-39 (diethyleglycol-bis-allylcarbonate, HARZLAS, Fukuvi Chem. Ind.), were used as a high-LET radiation detector and were exposed to heavy ion beams of C, Ne, and Si under different incident angles in Heavy Ion Medical Accelerator in Chiba of National Institute of Radiological Sciences. After exposed and etched, the chips were observed with an optical microscope and a program for image analysis to calculate S. The S values calculated were found smaller for the beams having lower incident angles. Thus the estimated LET values from the S-LET relationship for vertical incident beams showed large reduction for low-angle particles. Those potential errors should be quantified and corrected in determination of LET spectra in space. (K.H.)

  3. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  4. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  5. Hardening and formation of dislocation structures in LiF crystals irradiated with MeV-GeV ions

    CERN Document Server

    Manika, I; Schwartz, K; Trautmann, C

    2002-01-01

    Material modifications of LiF crystals irradiated with Au, Pb and Bi ions of MeV to GeV energy are studied by means of microindentation measurements and dislocation etching. Above a critical irradiation fluence of 10 sup 9 ions/cm sup 2 , the microhardness can improve by a factor of 2 in the bulk and by more than 3 on the surface. Radiation-induced hardening follows the evolution of the energy loss along the ion path. Annealing experiments indicate that complex defect aggregates created in the tracks play a major role for the hardness change. Evidence for severe structural modifications is found when etching indentation impressions in highly irradiated crystals leading to similar pattern as in amorphous or micro-grained materials. Dislocation etching also reveals long-range stress fields extending far beyond the implantation zone deep into the nonirradiated crystal.

  6. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  7. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  8. Underlying role of mechanical rigidity and topological constraints in physical sputtering and reactive ion etching of amorphous materials

    Science.gov (United States)

    Bhattarai, Gyanendra; Dhungana, Shailesh; Nordell, Bradley J.; Caruso, Anthony N.; Paquette, Michelle M.; Lanford, William A.; King, Sean W.

    2018-05-01

    Analytical expressions describing ion-induced sputter or etch processes generally relate the sputter yield to the surface atomic binding energy (Usb) for the target material. While straightforward to measure for the crystalline elemental solids, Usb is more complicated to establish for amorphous and multielement materials due to composition-driven variations and incongruent sublimation. In this regard, we show that for amorphous multielement materials, the ion-driven yield can instead be better understood via a consideration of mechanical rigidity and network topology. We first demonstrate a direct relationship between Usb, bulk modulus, and ion sputter yield for the elements, and then subsequently prove our hypothesis for amorphous multielement compounds by demonstrating that the same relationships exist between the reactive ion etch (RIE) rate and nanoindentation Young's modulus for a series of a -Si Nx :H and a -Si OxCy :H thin films. The impact of network topology is further revealed via application of the Phillips-Thorpe theory of topological constraints, which directly relates the Young's modulus to the mean atomic coordination () for an amorphous solid. The combined analysis allows the trends and plateaus in the RIE rate to be ultimately reinterpreted in terms of the atomic structure of the target material through a consideration of . These findings establish the important underlying role of mechanical rigidity and network topology in ion-solid interactions and provide additional considerations for the design and optimization of radiation-hard materials in nuclear and outer space environments.

  9. Conducting swift heavy ion track networks

    Czech Academy of Sciences Publication Activity Database

    Fink, Dietmar; Kiv, A.; Fuks, D.; Vacík, Jiří; Hnatowicz, Vladimír; Chandra, A.; Saad, A.

    2010-01-01

    Roč. 165, č. 3 (2010), s. 227-244 ISSN 1042-0150 R&D Projects: GA AV ČR(CZ) KAN400480701 Institutional research plan: CEZ:AV0Z10480505 Keywords : ion tracks * negative differential resistance * neural networks Subject RIV: JJ - Other Materials Impact factor: 0.660, year: 2010

  10. Functionalized Nanoporous Track Etched {beta}-PVDF Membrane Electrodes for Lead (II) Determination by Square Wave Anodic Stripping Voltammetry

    Energy Technology Data Exchange (ETDEWEB)

    Bessbousse, H [Laboratoire des Solides Irradies, CEA-CNRS-Ecole Polytechnique, 91128 Palaiseau (France); Nadhakumar, I [School of Chemistry, University of Southampton, University Road, Southampton S017 1BJ (United Kingdom); Decker, M; Clochard, M -C; Wade, T L [Laboratoire des Solides Irradies, CEA-CNRS-Ecole Polytechnique, 91128 Palaiseau (France); Barsbay, M [Hacettepe University, Department of Chemistry, Polymer Chemistry Division, 06800 Beytepe Ankara (Turkey)

    2012-09-15

    Track etched functionalized nanoporous {beta}-PVDF membrane electrodes, or functionalized membrane electrodes (FME), are thin-layer cells made from poly(acrylic acid) (PAA) functionalized nanoporous {beta}-poly(vinylidene fluoride) ({beta}-PVDF) membranes with thin Au films sputtered on each side as electrodes. The Au film is thin enough that the pores of the membranes are not completely covered. The PAA functionalization is specifically localised in the walls of the nanoporous {beta}-PVDF membrane by grafting. The PAA is a cation exchange polymer that adsorbs metal ions, such as Pb{sup 2+}, from aqueous solutions concentrating the ions into the membrane. After a time the FME is transferred to an electrochemical cell for analysis. A negative potential is applied to the Au film of the FME for a set time to reduce the adsorbed ions onto the Au film working electrode. The other metalized side of the FME functions as a counter electrode. Finally, square-wave anodic stripping voltammetry (SW-ASV) is performed on the FME to determine the metal ion concentrations in the original solution. The calibration curve of charge versus log concentration has a Temkin isotherm form. The FME membranes are 9 {mu}m thick and have 40 nm diameter pores with a density of 10{sup 10} pores/cm{sup 2}. This high pore density provides a large capacity for ion adsorption. Au ingress in the pores during sputtering forms a random array of nanoelectrodes. Like surface modified electrodes for adsorptive stripping voltammetry, the pre-concentration step for the FME is performed at open circuit. The zero current intercept of the calibration for Pb{sup 2+} is 0.13 ppb ({mu}g/L) and a detection limit of 0.050 ppb based on 3S/N from blank measurements. Voltammetry (CV) and chronoapmerometry (CA) were used to characterize the system. The apparent diffusion coefficient (D) for Pb{sup 2+} in the PAA functionalized pores was determined to be 2.44 x 10{sup -7} cm{sup 2}/s and the partition coefficient (p

  11. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  12. Prediction of etching-shape anomaly due to distortion of ion sheath around a large-scale three-dimensional structure by means of on-wafer monitoring technique and computer simulation

    International Nuclear Information System (INIS)

    Kubota, Tomohiro; Ohtake, Hiroto; Araki, Ryosuke; Yanagisawa, Yuuki; Samukawa, Seiji; Iwasaki, Takuya; Ono, Kohei; Miwa, Kazuhiro

    2013-01-01

    A system for predicting distortion of a profile during plasma etching was developed. The system consists of a combination of measurement and simulation. An ‘on-wafer sheath-shape sensor’ for measuring the plasma-sheath parameters (sheath potential and thickness) on the stage of the plasma etcher was developed. The sensor has numerous small electrodes for measuring sheath potential and saturation ion-current density, from which sheath thickness can be calculated. The results of the measurement show reasonable dependence on source power, bias power and pressure. Based on self-consistent calculation of potential distribution and ion- and electron-density distributions, simulation of the sheath potential distribution around an arbitrary 3D structure and the trajectory of incident ions from the plasma to the structure was developed. To confirm the validity of the distortion prediction by comparing it with experimentally measured distortion, silicon trench etching under chlorine inductively coupled plasma (ICP) was performed using a sample with a vertical step. It was found that the etched trench was distorted when the distance from the step was several millimetres or less. The distortion angle was about 20° at maximum. Measurement was performed using the on-wafer sheath-shape sensor in the same plasma condition as the etching. The ion incident angle, calculated as a function of distance from the step, successfully reproduced the experimentally measured angle, indicating that the combination of measurement by the on-wafer sheath-shape sensor and simulation can predict distortion of an etched structure. This prediction system will be useful for designing devices with large-scale 3D structures (such as those in MEMS) and determining the optimum etching conditions to obtain the desired profiles. (paper)

  13. Fission tracks diameters in glasses

    International Nuclear Information System (INIS)

    Garzon Ruiperez, L.; Veiguela, J.

    1974-01-01

    Standard glass microscope slides have been irradiated with fission fragments from the uranium. The etching track conditions have been the same for the series, having changed the etching time only for each specimen. For each glass, a minimum of 250 measurements of the tracks diameters have been made, the distributions of which are the bimodal type. Diameters-etching dependence with time is roughly lineal. Energy determinations have been made with the help of the diameters-energy relations. The calculated values agree very well with the know ones. (author) [es

  14. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  15. Electrostatic force microscopy and electrical isolation of etched few-layer graphene nano-domains

    Energy Technology Data Exchange (ETDEWEB)

    Hunley, D. Patrick; Sundararajan, Abhishek; Boland, Mathias J.; Strachan, Douglas R., E-mail: doug.strachan@uky.edu [Department of Physics and Astronomy, University of Kentucky, Lexington, Kentucky 40506 (United States)

    2014-12-15

    Nanostructured bi-layer graphene samples formed through catalytic etching are investigated with electrostatic force microscopy. The measurements and supporting computations show a variation in the microscopy signal for different nano-domains that are indicative of changes in capacitive coupling related to their small sizes. Abrupt capacitance variations detected across etch tracks indicates that the nano-domains have strong electrical isolation between them. Comparison of the measurements to a resistor-capacitor model indicates that the resistance between two bi-layer graphene regions separated by an approximately 10 nm wide etch track is greater than about 1×10{sup 12} Ω with a corresponding gap resistivity greater than about 3×10{sup 14} Ω⋅nm. This extremely large gap resistivity suggests that catalytic etch tracks within few-layer graphene samples are sufficient for providing electrical isolation between separate nano-domains that could permit their use in constructing atomically thin nanogap electrodes, interconnects, and nanoribbons.

  16. Electrostatic force microscopy and electrical isolation of etched few-layer graphene nano-domains

    International Nuclear Information System (INIS)

    Hunley, D. Patrick; Sundararajan, Abhishek; Boland, Mathias J.; Strachan, Douglas R.

    2014-01-01

    Nanostructured bi-layer graphene samples formed through catalytic etching are investigated with electrostatic force microscopy. The measurements and supporting computations show a variation in the microscopy signal for different nano-domains that are indicative of changes in capacitive coupling related to their small sizes. Abrupt capacitance variations detected across etch tracks indicates that the nano-domains have strong electrical isolation between them. Comparison of the measurements to a resistor-capacitor model indicates that the resistance between two bi-layer graphene regions separated by an approximately 10 nm wide etch track is greater than about 1×10 12  Ω with a corresponding gap resistivity greater than about 3×10 14  Ω⋅nm. This extremely large gap resistivity suggests that catalytic etch tracks within few-layer graphene samples are sufficient for providing electrical isolation between separate nano-domains that could permit their use in constructing atomically thin nanogap electrodes, interconnects, and nanoribbons

  17. Ageing effects on polymeric track detectors: studies of etched tracks at nano size scale using atomic force microscope

    International Nuclear Information System (INIS)

    Espinosa, G.; Golzarri, J. I.; Fragoso, R.; Vazquez L, C.; Saad, A. F.; El-Namrouty, A. A.; Fujii, M.

    2012-01-01

    Among several different techniques to analyze material surface, the use of Atomic Force Microscope is one of the finest method. As we know, the sensitivity to detect energetic ions is extremely affected during the storage time and conditions of the polymeric material used as a nuclear track detector. On the basis of the surface analysis of several track detector materials, we examined the detection sensitivity of these detectors exposed to alpha particles. The preliminary results revealed that the ageing effect on its sensitivity is very strong, that need to be considered on the routine applications or research experiments. The results are consistent with the experimental data in the literature. (Author)

  18. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  19. Enhancing hydrophilicity and water permeability of PET track-etched membranes by advanced oxidation process

    Energy Technology Data Exchange (ETDEWEB)

    Korolkov, Ilya V.; Mashentseva, Anastassiya A. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Güven, Olgun [Department of Chemistry, Hacettepe University, 06800 Beytepe, Ankara (Turkey); Zdorovets, Maxim V. [Institute of Nuclear Physics, Ibrahimov Str., 1, 050032 Almaty (Kazakhstan); The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan); Taltenov, Abzal A. [The L.N. Gumilyov Eurasian National University, Satpaev Str., 5, 010008 Astana (Kazakhstan)

    2015-12-15

    In this study we present results on the application of advanced oxidation systems for effective and non-toxic oxidation of poly(ethylene terephthalate) track-etched membranes (PET TeMs) to improve their wettability and water transport properties. Two oxidizing systems: H{sub 2}O{sub 2} under UV irradiation (H{sub 2}O{sub 2}/UV) and Fenton system under visible light (Fenton/H{sub 2}O{sub 2}/Vis) were compared. The surface of functionalized PET TeMs was characterized by using colorimetric assay, contact angle measurements and X-ray photoelectron spectroscopy (XPS). Results clearly showed that water permeability of PET TeMs treated with H{sub 2}O{sub 2}/UV was improved by 28 ± 5% compared with etched-only membrane, the same parameter was found to increase by 13 ± 4% in the case of Fenton/H{sub 2}O{sub 2}/Vis treatment. The proposed oxidation technique is very simple, environment friendly and not requiring special equipment or expensive chemicals. The surface hydrophilicity of the membranes stored for 360 days in air between paper sheets was analyzed by contact angle test, colorimetric assay to measure concentration of carboxylic groups on the surface with toluidine blue and XPS analysis. The hydrophilic properties of oxidized PET TeMs were found to be stable for a long period of time.

  20. Comparison and limitations of three different bulk etch rate measurement methods used for gamma irradiated PM-355 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Fazal-ur-Rehman E-mail: fazalr@kfupm.edu.sa; Abu-Jarad, F.; Al-Jarallah, M.I.; Farhat, M

    2001-06-01

    Samples of Nuclear Track Detectors (PM-355) were exposed to high gamma doses from 1x10{sup 5} Gy (10 Mrad) up to 1.2x10{sup 6} Gy (120 Mrad) at an incremental dose of 1x10{sup 5} Gy (10 Mrad). The gamma source was a 9.03 PBq (244 kCi) Co-60 source used for sterilization of medical syringes. The bulk etch rate (V{sub b}) was measured for various high gamma doses by three different methods: 1--thickness change method; 2--mass change method; 3--fission track diametric method. The study gives a comparison and limitations of these three methods used for bulk etch rate measurements in the detectors as a function of high gamma doses. The track etch rate (V{sub t}) and the sensitivity (V) of the detector were also measured using the fission track diametric method. It was observed that V{sub b} increases with the increase of the gamma absorbed dose at a fixed etching time in each bulk etch measuring method. The bulk etch rate decreases exponentially with the etching time at a fixed gamma absorbed dose in all three methods. The thickness change and mass change methods have successfully been applied to measure V{sub b} at higher gamma doses up to 1.2x10{sup 6} Gy (120 Mrad). The bulk etch rate determined by the mass change and thickness change methods was almost the same at a certain gamma dose and etching time whereas it was quite low in the case of the fission track diametric method due to its limitations at higher doses. Also in this method it was not possible to measure the fission fragment track diameters at higher doses due to the quick disappearance of the fission tracks and therefore the V{sub b} could not be estimated at higher gamma doses.

  1. Applications of solid-state nuclear track detectors (SSNTDs) for fast ion and fusion reaction product measurements in TEXTOR experiments

    Energy Technology Data Exchange (ETDEWEB)

    Szydlowski, A.; Malinowski, K.; Malinowska, A. [Association EURTOM-IPPLM Warsaw, The Andrzej Soltan Institute for Nuclear Studies, 05-400 Otwock-Swierk (Poland); Wassenhove, G. Van [EURATOM-Belgium State Association, LPP, ERM/KMS, Trilateral Euregio Cluster, B-1000 Brussels (Belgium); Schweer, B. [Association EURATOM-FZJ, Institutte of Plasma Physicx, Juelich (Germany)

    2011-07-01

    Full text of publication follows: The paper reports on measurements of fusion reaction protons which were performed on TEXTOR facility in January 2009. The basic experimental scheme was similar to that applied in the previous measurements [1, 2]. The main experimental tool equipment was a small ion pinhole camera which was equipped with a PM-355 detector sample and was attached to a water cooled manipulator. The camera was placed below the plasma ring in the direction of ion drifts, at a distance of 4.4 cm from LCFS. However, in the described experiment it was aligned at an angle to the mayor TEXTOR radius (contrary to previous experiments), so that the input pinhole was oriented first at {gamma} = 45 degrees (shots 108799 - 108818) and then {gamma} = 600 (shots 108832 - 108847). The discharges were executed with one neutral beam of the total power 0.6 - 1.0 MW. In the first series (Nos 108799 - 108818) the plasma was additionally heated by ICRH of frequency 38 MHz. The irradiated detector samples were subjected to the same interrupted etching procedure as the samples used in the CR-39/PM-355 detector calibration measurements [1, 2]. After that, track density distributions and track diameter histograms were measured under an optical microscope. By the use of the calibration curves, it was possible to distinguish craters produced by protons from other craters and to convert the obtained histograms into proton energy spectra. The craters induced by lower energy ions appeared to be concentrated in narrower areas, whereas higher energy ions were registered in a more diffused detector fields. The paper shows again that the CR-39/PM-355 detector is an useful diagnostic tool for tokamak experiments, for measurement of charged ions. References: [1] A. Szydlowski, A. Malinowska, M. Jaskola, A. Korman, M.J. Sadowski, G. Van Wassenhove, B. Schweer and the TEXTOR team, A. Galkowski, 'Application of Solid State Nuclear Track Detectors in TEXTOR Experiment for Measurements

  2. Etching method employing radiation

    International Nuclear Information System (INIS)

    Chapman, B.N.; Winters, H.F.

    1982-01-01

    This invention provides a method for etching a silicon oxide, carbide, nitride, or oxynitride surface using an electron or ion beam in the presence of a xenon or krypton fluoride. No additional steps are required after exposure to radiation

  3. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  4. Effect of gamma irradiation on the etching properties of Lexan and Makrofol-DE polycarbonate plastics

    International Nuclear Information System (INIS)

    Ashok Kumar; Jain, R.K.; Praveen Yadav; Chakraborty, R.N.; Singh, B.K.; Nayak, B.K.

    2013-01-01

    It is observed that for Lexan and Makrofol-DE polycarbonate plastic detectors the mean diameters of fission fragments from a 252 Cf source increases as a result of gamma-ray exposure. We have studied the bulk etching rate and track etching rate before and after gamma-ray irradiation on Lexan and Makrofol-DE polycarbonate plastics. The mechanism of Lexan and Makrofol-DE polycarbonate plastic detectors can be understood with the help of this exposures. It is also noted that degree of ordering of Lexan and Makrofol-DE polycarbonate is dependent on the gamma ray dose due to degradation and cross-linking processes. The results show that bulk and track etch rate increases with gamma dose while activation energy associated with bulk and track etch rates at a particular temperature and sensitivity decreases with gamma dose. (author)

  5. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  6. Anisotropic plastic deformation by viscous flow in ion tracks

    NARCIS (Netherlands)

    van Dillen, T; Polman, A; Onck, PR; van der Giessen, E

    2005-01-01

    A model describing the origin of ion beam-induced anisotropic plastic deformation is derived and discussed. It is based on a viscoelastic thermal spike model for viscous flow in single ion tracks derived by Trinkaus and Ryazanov. Deviatoric (shear) stresses, brought about by the rapid thermal

  7. Background tracks in CR-39 SSNTD sheets: their control and prediction through an interplay of etching parameters

    International Nuclear Information System (INIS)

    Bhagwat, A.M.; Naik, G.R.; Thampan, S.; Rudran, K.; Joshi, V.B.; Iyer, M.R.

    1992-01-01

    High sensitivity of CR-39 film in turn leads to higher and variable background track-densities. A two-step etching process, each consisting of CE and ECE, is therefore suggested which permits not only partial freezing of the background but also allows to know its level. The procedure identifies bad pieces with scratches and determines the minimum detection limit (MDL) of each film individually. Activities as low as 0.2 mBq (∼ 5 x 10 -15 curies) can thus be measured with low background films for exposure periods of 7-10 days (exposure is carried out after first processing). (author)

  8. Observations of fission-tracks in zircons by atomic force microscope

    International Nuclear Information System (INIS)

    Ohishi, Shinnosuke; Hasebe, Noriko

    2012-01-01

    The fission-track (FT) method is a dating technique based on the observation of damage (tracks) by spontaneous fission of 238 U left in a mineral. The date is calculated from the track density and the uranium concentration in the mineral. This is possible because the number of tracks is a function of uranium concentration and time since the start of track accumulation. Usually, the number of tracks is counted under an optical microscope after etching (chemical expansion of a track). However, as FT density per unit area rises, it becomes difficult to count the number of tracks. This is due to the fact that FTs overlap one another and are unable to be readily distinguished. This research examines the potential of atomic force microscope (AFM) for FT dating using zircons, which are likely to show higher FT density than other minerals due to their high U concentrations. To obtain an AFM image for a sample prepared for FT dating, removing the static electricity of the sample is essential to avoid an unexpected movement of the cantilever. A grain should be wider than about 30 μm to bring the cantilever on the mineral surface. Polishing with a fine grained compound is very important. There is not much difference in sharpness between images by AC mode (scanning with vibrating cantilever at a constant cycle) and Contact mode (scanning with the cantilever always in close contact with the surface). To confirm how tracks can be identified with the AFM, an AFM image was compared with an image obtained with the optical microscope. When change in the number of tracks and their shapes were observed through stepwise etching, the track expanded as the etching time increased. In addition, the etching rate was slower for large tracks than those for small tracks. This implied that the AFM can be used to observe etching of zircons with different degrees of nuclear fission damage. A track that could not be seen with the optical microscope due to insufficient etching could be observed by

  9. Energy dependent track structure parametrizations for protons and carbon ions based on nano-metric simulations

    International Nuclear Information System (INIS)

    Frauke, A.; Wilkens, J.J.; Villagrasa, C.; Rabus, H.

    2015-01-01

    The BioQuaRT project within the European Metrology Research Programme aims at correlating ion track structure characteristics with the biological effects of radiation and develops measurement and simulation techniques for determining ion track structure on different length scales from about 2 nm to about 10 μm. Within this framework, we investigate methods to translate track-structure quantities derived on a nanometer scale to macroscopic dimensions. Input data sets were generated by simulations of ion tracks of protons and carbon ions in liquid water using the Geant-4 Monte Carlo tool-kit with the Geant-4-DNA processes. Based on the energy transfer points - recorded with nanometer resolution - we investigated parametrizations of overall properties of ion track structure. Three different track structure parametrizations have been developed using the distances to the 10 next neighbouring ionizations, the radial energy distribution and ionisation cluster size distributions. These parametrizations of nanometer-scale track structure build a basis for deriving biologically relevant mean values which are essential in the clinical situation where each voxel is exposed to a mixed radiation field. (authors)

  10. Capillaric penetration of etchant solution into swift heavy ion-irradiated silicone rubber

    International Nuclear Information System (INIS)

    Fink, D.; Mueller, M.

    2000-01-01

    There is growing evidence that etchants penetrate into latent ion tracks in polymers from the very beginning, i.e., even during the so-called 'incubation time' when no visible etchant attack is observed. The model of capillaric penetration of viscous liquids into sponge-like matter agrees with experimental values both in their parametric dependence as in the absolute values. Our experiments are based on LiOH etching of both pristine and swift heavy ion-irradiated silicone rubber foils. About five times more etchant penetrates into irradiated than into pristine silicone rubber. The overall etchant penetration is highest in tracks parallel to the surface normal, and decreases with increasing ion track tilt angle towards the surface normal. The etchant penetration into the tracks proceeds relatively slowly with an effective viscosity comparable to that of heavy machine oil. When swelling starts to predominate, the maximum etchant penetration depth comes to saturation, with the total etchant uptake even decreasing

  11. Investigation of reactive-ion-etch-induced damage of InP/InGaAs multiple quantum wells by photoluminescence

    DEFF Research Database (Denmark)

    Steffensen, O. M.; Birkedal, Dan; Hanberg, J.

    1995-01-01

    The effects of CH4/H2 reactive ion etching (RIE) on the optical properties of an InP/InGaAs multiple-quantum-well structure have been investigated by low-temperature photoluminescence (PL). The structure consisted of eight InGaAs quantum wells, lattice matched to InP, with nominal thicknesses of 0...

  12. Detection of coloured tracks of heavy ion particles using photographic colour film

    International Nuclear Information System (INIS)

    Kuge, K.; Yasuda, N.; Kumagai, H.; Nakazawa, K.; Kobayashi, T.; Aoki, N.; Hasegawa, A.

    2001-01-01

    A photographic colour film, which was exposed to heavy ions, reveals a coloured dye image of the ion tracks. Since the colour film consists of several layers and different colours appear on each layer, three-dimensional information on the tracks in the layers can be obtained by the colour image. Previously, we have reported the method for which the tracks in different colours represented differences of track depth and we also discussed the disadvantages of using commercial colour films. Here we present the procedure for a self-made photographic coating and the development formula which can overcome the disadvantages

  13. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  14. Solar Flare Track Exposure Ages in Regolith Particles: A Calibration for Transmission Electron Microscope Measurements

    Science.gov (United States)

    Berger, Eve L.; Keller, Lindsay P.

    2015-01-01

    Mineral grains in lunar and asteroidal regolith samples provide a unique record of their interaction with the space environment. Space weathering effects result from multiple processes including: exposure to the solar wind, which results in ion damage and implantation effects that are preserved in the rims of grains (typically the outermost 100 nm); cosmic ray and solar flare activity, which result in track formation; and impact processes that result in the accumulation of vapor-deposited elements, impact melts and adhering grains on particle surfaces. Determining the rate at which these effects accumulate in the grains during their space exposure is critical to studies of the surface evolution of airless bodies. Solar flare energetic particles (mainly Fe-group nuclei) have a penetration depth of a few millimeters and leave a trail of ionization damage in insulating materials that is readily observable by transmission electron microscope (TEM) imaging. The density of solar flare particle tracks is used to infer the length of time an object was at or near the regolith surface (i.e., its exposure age). Track measurements by TEM methods are routine, yet track production rate calibrations have only been determined using chemical etching techniques [e.g., 1, and references therein]. We used focused ion beam-scanning electron microscope (FIB-SEM) sample preparation techniques combined with TEM imaging to determine the track density/exposure age relations for lunar rock 64455. The 64455 sample was used earlier by [2] to determine a track production rate by chemical etching of tracks in anorthite. Here, we show that combined FIB/TEM techniques provide a more accurate determination of a track production rate and also allow us to extend the calibration to solar flare tracks in olivine.

  15. Thermal annealing of fission tracks in fluorapatite, chlorapatite, manganoanapatite, and Durango apatite: experimental results

    International Nuclear Information System (INIS)

    Ravenhurst, C.E.; Roden-Tice, M.K.; Miller, D.S.

    2003-01-01

    It is well known that the optically measured lengths of fission tracks in apatite crystals are a function of etching conditions, crystallographic orientation of the track, composition of the crystal, and the state of thermal annealing. In this study we standardize etching conditions and optimize track length measurability by etching until etch pits formed at the surface of each apatite crystal reached widths of about 0.74 μm. Etching times using 5M HNO 3 at 21 o C were 31 s for Otter Lake, Quebec, fluorapatite; 47 s for Durango, Mexico, apatite; 33 s for Portland, Connecticut, manganoanapatite; and 11 s for Bamle, Norway, chlorapatite. An etching experiment using two etchant strengths (5M and 1.6M HNO 3 ) revealed that, despite significant differences in etch pit shape, fission-track length anisotropy with respect to crystallographic orientation of the tracks is not a chemical etching effect. A series of 227 constant-temperature annealing experiments were carried out on nuclear reactor induced tracks in oriented slices of the apatites. After etching, crystallographic orientations of tracks were measured along with their lengths. The 200-300 track lengths measured for each slice were ellipse-fitted to give the major (c crystallographic direction) and minor (a crystallographic direction) semi-axes used to calculate equivalent isotropic lengths. The equivalent isotropic length is more useful than mean length for thermal history analysis because the variation caused by anisotropy has been removed. Using normalized etching procedures and equivalent isotropic length data, we found that the fluorapatite anneals most readily, followed by Durango apatite, manganoanapatite, and lastly chlorapatite. (author)

  16. Hybrid mask for deep etching

    KAUST Repository

    Ghoneim, Mohamed T.

    2017-01-01

    Deep reactive ion etching is essential for creating high aspect ratio micro-structures for microelectromechanical systems, sensors and actuators, and emerging flexible electronics. A novel hybrid dual soft/hard mask bilayer may be deposited during

  17. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  18. Swift heavy ion irradiation of CaF2 - from grooves to hillocks in a single ion track

    Science.gov (United States)

    Gruber, Elisabeth; Salou, Pierre; Bergen, Lorenz; El Kharrazi, Mourad; Lattouf, Elie; Grygiel, Clara; Wang, Yuyu; Benyagoub, Abdenacer; Levavasseur, Delphine; Rangama, Jimmy; Lebius, Henning; Ban-d'Etat, Brigitte; Schleberger, Marika; Aumayr, Friedrich

    2016-10-01

    A novel form of ion-tracks, namely nanogrooves and hillocks, are observed on CaF2 after irradiation with xenon and lead ions of about 100 MeV kinetic energy. The irradiation is performed under grazing incidence (0.3°-3°) which forces the track to a region in close vicinity to the surface. Atomic force microscopy imaging of the impact sites with high spatial resolution reveals that the surface track consists in fact of three distinct parts: each swift heavy ion impacting on the CaF2 surface first opens a several 100 nm long groove bordered by a series of nanohillocks on both sides. The end of the groove is marked by a huge single hillock and the further penetration of the swift projectile into deeper layers of the target is accompanied by a single protrusion of several 100 nm in length slowly fading until the track vanishes. By comparing experimental data for various impact angles with results of a simulation, based on a three-dimensional version of the two-temperature-model (TTM), we are able to link the crater and hillock formation to sublimation and melting processes of CaF2 due to the local energy deposition by swift heavy ions.

  19. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  20. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  1. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  2. An energy and direction independent fast neutron dosemeter based on electrochemically etched CR-39 nuclear track detectors

    International Nuclear Information System (INIS)

    James, K.; Matiullah; Durrani, S.A.

    1987-01-01

    A computer-based model is presented, which simulates the dose equivalent response of electrochemically etched CR-39 to fast neutrons of various energies and angles of incidence. Most previous calculations of the response of CR-39 have neglected the production of recoiling oxygen and carbon nuclei as well as α particles in the CR-39. We calculate that these 'heavy recoils' and α particles are the major source of electrochemically etchable tracks in bare CR-39 at neutron energies above approx. 2 MeV under typical etching conditions. Our calculations have been extended to predict the response of CR-39 used in conjunction with various combinations of polymeric front radiators and we have determined the radiator stack configuration with produces the most energy independent response. Again, the heavy recoils and α particles cannot be neglected and, for energies above approx. 2 MeV, these produce typically about 20% of the total response of our optimum stack. This type of fast neutron dosemeter is, however, strongly direction dependent. We have integrated the response over all appropriate angles to predict the dose equivalent response for two representative neutron fields, and we suggest a method for minimising the angular dependence. (author)

  3. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  4. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  5. Measurement of ion beam angular distribution at different helium gas pressures in a plasma focus device by large-area polycarbonate detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sohrabi, M.; Habibi, M., E-mail: mortezahabibi@gmail.com; Ramezani, V. [Amirkabir University of Technology, Energy Engineering and Physics Department (Iran, Islamic Republic of)

    2017-02-15

    The paper presents an experimental study and analysis of full helium ion density angular distributions in a 4-kJ plasma focus device (PFD) at pressures of 10, 15, 25, and 30 mbar using large-area polycarbonate track detectors (PCTDs) (15-cm etchable diameter) processed by 50-Hz-HV electrochemical etching (ECE). Helium ion track distributions at different pressures, in particular, at the main axis of the PFD are presented. Maximum ion track density of ~4.4 × 10{sup 4} tracks/cm{sup 2} was obtained in the PCTD placed 6 cm from the anode. The ion distributions for all pressures applied are ring-shaped, which is possibly due to the hollow cylindrical copper anode used. The large-area PCTD processed by ECE proves, at the present state-of-theart, a superior method for direct observation and analysis of ion distributions at a glance with minimum efforts and time. Some observations of the ion density distributions at different pressures are reported and discussed.

  6. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  7. Lignite and tin ores exploration in southern part of Thailand by using nuclear track-etch detectors

    International Nuclear Information System (INIS)

    Chittrakarn, T.; Boonnummar, R.; Pongsuwan, T.; Nuannin, P.; Kaew-On, C.

    1993-01-01

    Both lignite and tin mines in Southern of Thailand are associated with uranium ore. In lignite exploration, Bangpudum Lignite Mine at Krabi Province was chosen for this studied because we know the exact location and deposition of coal seam by using other geophysical technique and also confirm by borehole. The size 1x2 cm 2 of cellulose nitrate CN-85 films were used, each film was stuck at the inner bottom of a softdrink cup. Each cup was put up side down at the bottom of a borehole about 75 cm depth from the earth surface and laid about 10 m apart. All the cups were put in the hole along the line in order to cover about 280 metre in the cross sectional direction long of the known coal seam. After one month, all the film detectors were collected and etched with 6.25N NaOH at 60 o C about 25 minutes long in order to enlarge the latent alpha registration tracks. These alpha particles were emitted from radon gas (Rn-222) which was generated from uranium associated with lignite ore. The registration track density per area of each CN-85 film was studied by optical microscope at 400x magnifications. We found that the track densities of the films have high correlation with the depth of the known coal seam while high and low track densities will correspond to the shallow and deep coal seam respectively. Also, track density was significantly higher than background. A similar manner of experiment was designed for tin ore exploration at Ronpibul district, Nakorn Si Thammarat province. The result is in progress and will present at the conference. (Author)

  8. Colored tracks of heavy ion particles recorded on photographic color film

    International Nuclear Information System (INIS)

    Kuge, K.; Yasuda, N.; Kumagai, H.; Aoki, N.; Hasegawa, A.

    2002-01-01

    A new method to obtain the three-dimensional information on nuclear tracks was developed using color photography. Commercial color films were irradiated with ion beam and color-developed. The ion tracks were represented with color images in which different depths were indicated by different colors, and the three-dimensional information was obtained from color changes. Details of this method are reported, and advantages and limitations are discussed in comparison with a conventional method using a nuclear emulsion

  9. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  10. Effect of nuclear track on reflectivity for insulating material

    International Nuclear Information System (INIS)

    Liu Cunxiong; Ni Bangfa; Tian Weizhi; Hu Lian; Xiao Caijin; Wang Pingsheng; Zhang Guiying; Huang Donghui; Lu Peng; Yang Weitao

    2009-01-01

    Polyester and CR-39 samples were irradiated with sulphur ion from HI-13 tandem accelerator. Ultraviolet light with wavelength 360 nm was used to sensitize the polymer before chemical etching by NaOH solution with different temperatures and time duration. The latent track was then developed into nanometer to micrometer pore with certain depth. Samples were coated with thin layer of silver and magnesium fluoride using the vacuum evaporator. The reflectivity and transmission index were measured for all polymer samples, untreated and treated with above-mentioned procedure, within the wavelength of visible light. Solid state nuclear track and coating can reduce reflectivity of tested polymer materials greatly, and the reflectivity can be 1% or lower. (authors)

  11. Use of CR-39 foils for personnel neutron dosimetry: improved electrochemical etching chambers and procedures

    International Nuclear Information System (INIS)

    Hankins, D.E.; Homann, S.G.; Westermark, J.

    1986-01-01

    The electrochemical etching procedures for the new dosimetry system that uses foils of CR-39 plastic has been improved. During 1985, the etching chambers were modified to correct several problems and the changes to the etching procedures were studied, which gave a more uniform track density and size. The currently recommended etch parameters are given. A new generation of CR-39 material from the manufacturer proved to have a considerably lower background track density and a higher sensitivity; the new foils are also more uniform in thickness, which eliminates the need to numerically compensate for thickness variations. The energy dependence of the CR-39 using monoenergetic neutrons from accelerators at Battelle Northwest Laboratories and at Los Alamos National Laboratory was determined. Some variation was found in the energy dependence, but it is believed this was caused by changes in the etching procedures and by uncertainties in the fluences of the neutrons from the accelerators. A means by which the counting of CR-39 tracks may be automated is suggested; this would be very useful in adapting the CR-39 dosimetry system to large-scale use

  12. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  13. Formation of metal nanoparticles by short-distance sputter deposition in a reactive ion etching chamber

    International Nuclear Information System (INIS)

    Nie Min; Meng, Dennis Desheng; Sun Kai

    2009-01-01

    A new method is reported to form metal nanoparticles by sputter deposition inside a reactive ion etching chamber with a very short target-substrate distance. The distribution and morphology of nanoparticles are found to be affected by the distance, the ion concentration, and the sputtering time. Densely distributed nanoparticles of various compositions were fabricated on the substrates that were kept at a distance of 130 μm or smaller from the target. When the distance was increased to 510 μm, island structures were formed, indicating the tendency to form continuous thin film with longer distance. The observed trend for nanoparticle formation is opposite to the previously reported mechanism for the formation of nanoparticles by sputtering. A new mechanism based on the seeding effect of the substrate is proposed to interpret the experimental results.

  14. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  15. A study of the effect of natural radiation damage in a zircon crystal using thermoluminescence, fission track etching and X-ray diffraction

    International Nuclear Information System (INIS)

    Amin, Y.M.

    1989-01-01

    The natural radiation damage in zircon caused by the decay of uranium and thorium, present as impurities, is studied. The radiation damage is first gauged by etching the fission tracks. It is found that thermoluminescence (TL) sensitivity (defined as light output per unit test-dose) decreases as the radiation damage increases, suggesting a destruction of TL centers. The spacing d of the (112)-plane is also measured. It is also found that the d-value increases with radiation damage, suggesting the displacement of atoms from their normal lattice sites. However, as the track density increases beyond ≅ 3x10 6 tracks/cm 2 , the d-value remains at ≅ 2.52 A. By annealing the crystal, the displaced atoms are found to return to the original lattice sites, and this is followed by a reduction in d-value as well as the recovery of TL sensitivity. The fission track density also decreases and all the tracks disappear at the annealing temperature of ≅ 800 0 C. (orig.)

  16. Spectral artefacts post sputter-etching and how to cope with them - A case study of XPS on nitride-based coatings using monoatomic and cluster ion beams

    Science.gov (United States)

    Lewin, Erik; Counsell, Jonathan; Patscheider, Jörg

    2018-06-01

    The issue of artefacts due to sputter-etching has been investigated for a group of AlN-based thin film materials with varying thermodynamical stability. Stability of the materials was controlled by alloying AlN with the group 14 elements Si, Ge or Sn in two different concentrations. The coatings were sputter-etched with monoatomic Ar+ with energies between 0.2 and 4.0 keV to study the sensitivity of the materials for sputter damage. The use of Arn+ clusters to remove an oxidised surface layer was also evaluated for a selected sample. The spectra were compared to pristine spectra obtained after in-vacuo sample transfer from the synthesis chamber to the analysis instrument. It was found that the all samples were affected by high energy (4 keV) Ar+ ions to varying degrees. The determining factors for the amount of observed damage were found to be the materials' enthalpy of formation, where a threshold value seems to exist at approximately -1.25 eV/atom (∼-120 kJ/mol atoms). For each sample, the observed amount of damage was found to have a linear dependence to the energy deposited by the ion beam per volume removed material. Despite the occurrence of sputter-damage in all samples, etching settings that result in almost artefact-free spectral data were found; using either very low energy (i.e. 200 eV) monoatomic ions, or an appropriate combination of ion cluster size and energy. The present study underlines that analysis post sputter-etching must be carried out with an awareness of possible sputter-induced artefacts.

  17. Recent Developments in the Code RITRACKS (Relativistic Ion Tracks)

    Science.gov (United States)

    Plante, Ianik; Ponomarev, Artem L.; Blattnig, Steve R.

    2018-01-01

    The code RITRACKS (Relativistic Ion Tracks) was developed to simulate detailed stochastic radiation track structures of ions of different types and energies. Many new capabilities were added to the code during the recent years. Several options were added to specify the times at which the tracks appear in the irradiated volume, allowing the simulation of dose-rate effects. The code has been used to simulate energy deposition in several targets: spherical, ellipsoidal and cylindrical. More recently, density changes as well as a spherical shell were implemented for spherical targets, in order to simulate energy deposition in walled tissue equivalent proportional counters. RITRACKS is used as a part of the new program BDSTracks (Biological Damage by Stochastic Tracks) to simulate several types of chromosome aberrations in various irradiation conditions. The simulation of damage to various DNA structures (linear and chromatin fiber) by direct and indirect effects has been improved and is ongoing. Many improvements were also made to the graphic user interface (GUI), including the addition of several labels allowing changes of units. A new GUI has been added to display the electron ejection vectors. The parallel calculation capabilities, notably the pre- and post-simulation processing on Windows and Linux machines have been reviewed to make them more portable between different systems. The calculation part is currently maintained in an Atlassian Stash® repository for code tracking and possibly future collaboration.

  18. Use of fission track for deciphering the dissolution mechanism of silicates glasses

    International Nuclear Information System (INIS)

    Petit, J.C.; Brousse, C.

    1985-09-01

    Polished sections of silicate glasses containing latent or pre-etched fission tracks have been subjected to corrosion in deionized water or NaCl brines at 20, 50 and 100 0 C. The evolution of glass surface helps deciphering among reported dissolution models. We show that ion-exchange is dominant in simple glasses while in complex ones, dissolution involves several steps including an in-situ transformation of the pristine material and a reprecipitation of dissolved species

  19. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  20. Response of cellulose nitrate track detectors to electron doses

    CERN Document Server

    Segovia, N; Moreno, A; Vazquez-Polo, G; Santamaría, T; Aranda, P; Hernández, A

    1999-01-01

    In order to study alternative dose determination methods, the bulk etching velocity and the latent track annealing of LR 115 track detectors was studied during electron irradiation runs from a Pelletron accelerator. For this purpose alpha irradiated and blank detectors were exposed to increasing electron doses from 10.5 to 317.5 kGy. After the irradiation with electrons the detectors were etched under routine conditions, except for the etching time, that was varied for each electron dose in order to reach a fixed residual thickness. The variation of the bulk etching velocity as a function of each one of the electron doses supplied, was interpolated in order to obtain dosimetric response curves. The observed annealing effect on the latent tracks is discussed as a function of the total electron doses supplied and the temperature.

  1. CR-39 nuclear track detector application for the diagnostics of low energy high power ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Opekounov, M S; Pechenkin, S A; Remnev, G E [Nuclear Physics Institute, Tomsk (Russian Federation); Ivonin, I V [Siberian Physical-Technical Institute, Tomsk (Russian Federation)

    1997-12-31

    The results of investigation of the spectral composition of ion beams generated by the magneto-insulated ion diode of the MUK-M and TEMP accelerators. The energy and mass characteristics of the accelerated ion beam were determined by a Thomson spectrometer with a CR-39 plate detector (MOM - Atomki Nuclear Track Detector, Type MA-ND/p). The accelerated ion energy was from 40 to 240 keV. The ion current density range was from 1 to 10 A/cm{sup 2}. The mass composition contained hydrogen, nitrogen, carbon and aluminum ions. The individual track analysis showed the track form, depth and diameter in dependence on the ion mass and energy. (author). 2 figs., 5 refs.

  2. SAXS investigation of latent track structure in HDPE irradiated with high energy Fe ions

    Energy Technology Data Exchange (ETDEWEB)

    Hai, Yang; Huang, Can [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Ma, Mingwang [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Institute of Electronic Engineering, CAEP, Mianyang 621900 (China); Liu, Qi; Wang, Yuzhu; Liu, Yi; Tian, Feng; Lin, Jun [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China); Zhu, Zhiyong, E-mail: zhuzhiyong@sinap.ac.cn [Shanghai Institute of Applied Physics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2015-08-01

    Semi-crystalline high density polyethylene (HDPE) samples were irradiated with 1.157 GeV {sup 56}Fe ion beams to fluences ranging from 1 × 10{sup 11} to 6 × 10{sup 12} ions/cm{sup 2}. The radiation induced changes in nano/microstructure were investigated with small angle X-ray scattering (SAXS) technique. The scattering contributions from HDPE matrix and ion tracks are successfully separated and analyzed through tilted SAXS measurements with respect to the X-ray beam direction. Lorentz correction, one-dimensional correlation function calculation, fractal nature analysis of the isotropic scattering pattern reveal that HDPE long period polymeric structures are damaged and new materials, possibly clusters of carbon-rich materials, are formed inside the ion tracks. Least square curve fitting of the scattering contribution from the ion track reveals that the track is composed of a core of about 5.3 nm in radius, characterized by a significant density deficit compared to the virgin HDPE, surrounded by a shell of about 4.3 nm in thickness with less density reduction.

  3. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  4. Study of the characteristics of ionizing particles record of CR-39 track detectors

    International Nuclear Information System (INIS)

    Brandao, Luis Eduardo Barreira

    1983-01-01

    The bulk and track etching proprieties of a new Solid State Nuclear Track Detector CR-39 were investigated under different etching conditions. The discussion is based on results obtained using aqueous solutions of KOH with addition of alcoholic solvent to aqueous solutions. It was found that track registration sensitivity can be dramatically changed by using the proper chemical treatment. A method to enlarge and dye etch tracks to be viewed by simple projection on a screen is discussed. The applications of CR-39 in neutron fluence measurements are shown. Graphs are presented of the densities of the registered traces by the detector as a function of etch time both for samples with and without a polycarbonate radiator. (author)

  5. The oxidation of PET track-etched membranes by hydrogen peroxide as an effective method to increase efficiency of UV-induced graft polymerization

    OpenAIRE

    Il'ya Korolkov; Abzal Taltenov; Anastassiya Mashentseva; Olgun Guven

    2015-01-01

    In this article, we report on functionalization of track-etched membrane based on poly(ethylene terephthalate) (PET TeMs) oxidized by advanced oxidation systems and by grafting of acrylic acid using photochemical initiation technique for the purpose of increasing functionality thus expanding its practical application. Among advanced oxidation processes (H2O2/UV) system had been chosen to introduce maximum concentration of carboxylic acid groups. Benzophenone (BP) photo-initiator was first im...

  6. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  7. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  8. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  9. Diode-like properties of single- and multi-pore asymmetric track membranes

    Science.gov (United States)

    Zielinska, K.; Gapeeva, A. R.; Orelovich, O. L.; Apel, P. Yu.

    2014-05-01

    In this work, we investigated the ionic transport properties of asymmetric polyethylene terephthalate (PET) track membranes with the thickness of 5 μm. The samples containing single pores and arrays of many pores were fabricated by irradiation with accelerated ions and subsequent physicochemical treatment. The method of etching in the presence of a surface-active agent was used to prepare the pores with highly-tapered tip. The transport of monovalent inorganic ions through the nano-scale holes was studied in a conductivity cell. The effective pore radii, electrical conductance and rectification ratios of pores were measured. The geometric characteristics of nanopores were investigated using FESEM.

  10. Nuclear track detector kit for use in teaching

    Energy Technology Data Exchange (ETDEWEB)

    Medveczky, L.; Somogyi, G.; Nagy, M.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations.

  11. Study on the etching conditions of polycarbonate detectors for particle analysis of safeguards environmental samples

    International Nuclear Information System (INIS)

    Iguchi, K.; Esaka, K.T.; Lee, C.G.; Inagawa, J.; Esaka, F.; Onodera, T.; Fukuyama, H.; Suzuki, D.; Sakurai, S.; Watanabe, K.; Usuda, S.

    2005-01-01

    The fission track technique was applied to the particle analysis for safeguards environmental samples to obtain information about the isotope ratio of nuclear materials in individual particles. To detect the particles containing nuclear material with high detection efficiency and less particle loss, the influence of uranium enrichments on etching conditions of a fission track detector made of polycarbonate was investigated. It was shown that the increase in uranium enrichment shortened the suitable etching time both for particle detection and for less particle loss. From the results obtained, it was suggested that the screening of the uranium particles according to the enrichment is possible by controlling the etching time of the detector

  12. Track detection methods of radium measurements

    International Nuclear Information System (INIS)

    Somogyi, G.

    1986-06-01

    The principles of tack formation and processing including the description of etching and etch-track evaluation for the preferably used plastic track detectors are discussed. Measuring methods to determine 226 Ra activity based either on the mapping of alpha-decaying elements in the complete U-Ra series by alpha-radiography, or on the measurement of uranium alone by neutron induced fissionography, or on the alpha-decay measurement of 222 Rn, the first daughter element of radium, and finally on the measurement of alpha-tracks originating from radium itself, which is separated from its parent nuclides are described in detail. (V.N.)

  13. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  14. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  15. Kinetics and mechanism of the formation and etching of particle tracks in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Lueck, H.B.

    1982-05-01

    The physical and chemical processes initiated by a particle passing through a polymer are reviewed. Particular attention is devoted to the processes in PETP. The influence of the material parameters and environmental effects on the subsequent reactions in PETP is discussed. Models of the mechanism and kinetics of the alkaline degradation on the surface and in the etch channel are presented. The character and the effect of the relevant species has been taken into consideration. The mechanism of the photo-oxidative sensitivity enhancement is discussed. The models mentioned above are taken as a basis to interpret the empirical response function. It is shown, that the response function can be applied to bulk-irradiated polymers as well. Treeing in electrically stressed particle tracks assisted by an etchant can be attributed to the electrostatic pressure. However, the differences in the behaviour of the structures give evidence, that the formation of craze structures and bubbles in the presence of a nonetching electrolyte is the result of the electroosmotic pressure. (author)

  16. Electronic energy loss of the latent track in heavy ion-irradiated polyimide

    International Nuclear Information System (INIS)

    Sun Youmei; Liu Jie; Zhang Chonghong; Wang Zhiguang; Jin Yunfan; Duan Jinglai; Song Yin

    2005-01-01

    In the interaction process of a swift heavy ion (SHI) and polymer, a latent track with radius of several nanometers appears near the ion trajectory due to the dense ionization and excitation. To describe the role of electronic energy loss (dE/dX) e , multi-layer stacks (with different dE/dX) of polyimide (PI) films were irradiated by different SHIs (1.158 GeV Fe 56 and 1.755 GeV Xe 136 ) under vacuum at room temperature. Chemical changes of modified PI films were studied by Fourier Transform Infrared (FTIR) spectroscopy. The main feature of SHI irradiation is the degradation of the functional group and creation of alkyne. The chain disruption rate of PI was investigated in the fluence range from 1 x 10 11 to 6 x 10 12 ions/cm 2 and a wider energy stopping power range (2.2 to 5.2 keV/nm for Fe 56 ions and 8.6 to 11.3 keV/nm for Xe 136 ions). Alkyne formation was observed over the electronic energy loss range of interest. Assuming the saturated track model (the damage process only occur in a cylinder of area σ), the mean degradation and alkyne formation radii in tracks were deduced for Fe and Xe ion irradiation, respectively. The results were validated by the thermal spike model and the threshold electronic energy loss of track formation S et in PI was deduced. The analysis of the irradiated PI films shows that the predictions of the thermal spike model are in qualitative agreement with the curve shape of experimental results. (authors)

  17. Non-invasive monitoring of therapeutic carbon ion beams in a homogeneous phantom by tracking of secondary ions

    Science.gov (United States)

    Gwosch, K.; Hartmann, B.; Jakubek, J.; Granja, C.; Soukup, P.; Jäkel, O.; Martišíková, M.

    2013-06-01

    Radiotherapy with narrow scanned carbon ion beams enables a highly accurate treatment of tumours while sparing the surrounding healthy tissue. Changes in the patient’s geometry can alter the actual ion range in tissue and result in unfavourable changes in the dose distribution. Consequently, it is desired to verify the actual beam delivery within the patient. Real-time and non-invasive measurement methods are preferable. Currently, the only technically feasible method to monitor the delivered dose distribution within the patient is based on tissue activation measurements by means of positron emission tomography (PET). An alternative monitoring method based on tracking of prompt secondary ions leaving a patient irradiated with carbon ion beams has been previously suggested. It is expected to help in overcoming the limitations of the PET-based technique like physiological washout of the beam induced activity, low signal and to allow for real-time measurements. In this paper, measurements of secondary charged particle tracks around a head-sized homogeneous PMMA phantom irradiated with pencil-like carbon ion beams are presented. The investigated energies and beam widths are within the therapeutically used range. The aim of the study is to deduce properties of the primary beam from the distribution of the secondary charged particles. Experiments were performed at the Heidelberg Ion Beam Therapy Center, Germany. The directions of secondary charged particles emerging from the PMMA phantom were measured using an arrangement of two parallel pixelated silicon detectors (Timepix). The distribution of the registered particle tracks was analysed to deduce its dependence on clinically important beam parameters: beam range, width and position. Distinct dependencies of the secondary particle tracks on the properties of the primary carbon ion beam were observed. In the particular experimental set-up used, beam range differences of 1.3 mm were detectable. In addition, variations

  18. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  19. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  20. High energy charged particle registration in CR-39 polycarbonated detector

    International Nuclear Information System (INIS)

    Abdel-Wahab, M.S.; El Enany, N.; El Fiki, S.; Eissa, H.M.; El-Adl, E.H.; El-Feky, M.A.

    1991-01-01

    Track etch rate characteristics of CR-39 plastic detector exposed to 28 Si ions of 670 MeV energy have been investigated. Experimental results were obtained in terms of frequency distribution of the track diameter, track density and bulk etching rate. A dependence of the mean track diameter on energy was found. The application of the radiation effect of heavy ions on CR-39 in the field of radiation detection and dosimetry are discussed. Results indicated that it is possible to produce etchable tracks of 28 Si in this energy range in CR-39. We also report the etching characteristics of these tracks in the CR-39 detector. (orig.) [de

  1. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  2. Nuclear track detector kit for use in teaching

    International Nuclear Information System (INIS)

    Medveczky, L.; Somogyi, G.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations. (author)

  3. Radial dose distribution around an energetic heavy ion and an ion track structure model

    Energy Technology Data Exchange (ETDEWEB)

    Furukawa, Katsutoshi [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; Ohno, Shin-ichi; Namba, Hideki; Taguchi, Mitsumasa; Watanabe, Ritsuko

    1997-03-01

    Ionization currents produced in a small wall-less ionization chamber located at varying distance from the 200 MeV Ni{sup 12+} ion`path traversing Ar gas were measured and utilized to construct a track structure model. Using the LET value of 200 MeV Ni{sup 12+} and G(Fe{sup 3+}) in Fricke solutions (= 15.4) for fast electrons, we estimate G(Fe{sup 3+}) for this ion to be 5.0. (author)

  4. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  5. A parameterization of nuclear track profiles in CR-39 detector

    Science.gov (United States)

    Azooz, A. A.; Al-Nia'emi, S. H.; Al-Jubbori, M. A.

    2012-11-01

    In this work, the empirical parameterization describing the alpha particles’ track depth in CR-39 detectors is extended to describe longitudinal track profiles against etching time for protons and alpha particles. MATLAB based software is developed for this purpose. The software calculates and plots the depth, diameter, range, residual range, saturation time, and etch rate versus etching time. The software predictions are compared with other experimental data and with results of calculations using the original software, TRACK_TEST, developed for alpha track calculations. The software related to this work is freely downloadable and performs calculations for protons in addition to alpha particles. Program summary Program title: CR39 Catalog identifier: AENA_v1_0 Program summary URL:http://cpc.cs.qub.ac.uk/summaries/AENA_v1_0.html Program obtainable from: CPC Program Library, Queen’s University, Belfast, N. Ireland Licensing provisions: Copyright (c) 2011, Aasim Azooz Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met • Redistributions of source code must retain the above copyright, this list of conditions and the following disclaimer. • Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution This software is provided by the copyright holders and contributors “as is” and any express or implied warranties, including, but not limited to, the implied warranties of merchantability and fitness for a particular purpose are disclaimed. In no event shall the copyright owner or contributors be liable for any direct, indirect, incidental, special, exemplary, or consequential damages (including, but not limited to, procurement of substitute goods or services; loss of use, data, or profits; or business interruption) however caused and

  6. Impact of electron irradiation on particle track etching response in ...

    Indian Academy of Sciences (India)

    energy by an ionizing particle traversing a material medium. When the ... Their amorphous nature and radiation sensitivity further ... The samples were washed thoroughly in lukewarm soap solution to avoid non-uniformity in etching due to ...

  7. Proton tracks and formation of pores in poly[diethylene glycol bis-(allyl carbonate)

    International Nuclear Information System (INIS)

    Oganesyan, V.R.; Trofimov, V.V.; Orelovich, O.L.; Danziger, M.; Hermsdorf, D.

    2004-01-01

    Modern dosimetry needs effective detectors to register light ions, especially those having energies down to 10 MeV/a.m.a. That is why in the research in hand we pay attention to development of materials for such a task. In this work the most effective detector CR-39 irradiated with low-energy protons was applied. A full analysis from opening to final formation of a pore was made with the help of sensitive electrolytic etching and electron scanning microscopy. Successive process of track breakthroughs was observed. The shape of the pore and corresponding parameters of its formation provide simulation of the process. Etching rates and factor of selectivity were determined. The influence of energy losses on geometry was noted

  8. Relation between track structure and LET effect on free radical formation for ion beam-irradiated alanine dosimeter

    International Nuclear Information System (INIS)

    Krushev, V.V.; Koizumi, Hitoshi; Ichikawa, Tsuneki; Yoshida, Hiroshi; Shibata, Hiromi; Tagawa, Seiichi; Yoshida, Yoichi

    1994-01-01

    The yield and local concentration of free radicals generated from alanine (α-aminopropionic acid) by irradiation with 3 MeV H + and He + ions were examined by means of electron spin resonance (ESR) and ESR power saturation methods at room temperature. The G-value of the radical formation showed a marked dependence on linear energy transfer (LET) of the ions. The G-value for the H + ion (average LET: 28 eV/nm) was almost the same as that for γ-irradiation and it was smaller by a factor of 1/4.7 for the He + ion (average LET: 225eV/nm). Combining the local concentration of the free radicals along the ion tracks with the G-values and the reported ion range, the radius of a track filled with free radicals was estimated to be 4 ∼ 5 nm by assuming a simple rod-shaped track with a constant radius and homogeneous distribution of the free radicals in it. The track radius scarcely depends on the LET within the range examined. The radiation energy deposited in the core region of the ion track was concluded to spread over the rod to generate free radicals. (author)

  9. Development and application of the electrochemical etching technique

    International Nuclear Information System (INIS)

    Sanders, M.E.

    1984-07-01

    This report documents the advances achieved in the development and application of several etched damage track plastic dosimeters that can be used to measure dose-equivalent from neutrons with energies from thermal to 20 MeV. The project was initiated with the design of a rem-responding dosimeter that measured fast (> 1 MeV) neutron dose-equivalent as a function of the damage track density directly induced within the volume of polycarbonate foils amplified by electrochemical etching. Stillwagon (1978) adapted electrochemical etching of polycarbonate foils (ECEPF) to alpha dosimetry and used the technique to determine Pu-239 uptake in human bone. Su (1979) extended the usefulness of the ECEPF neutron dosimetry technique to encompass thermal neutron dose measurement. The thermal neutron dosimeter was composed of an external radiator tablet made of 7 LiF in contact with a polycarbonate foil and utilized the thermal neutron-induced 6 Li(n, α) 3 H reaction to give a dose-equivalent response as a function of alpha track density registered in the detector foil. An intermediate (1 eV-1 MeV) neutron dosimeter was developed and has been shown to give an approximately dose-equivalent response to neutrons with energies from 1 eV to 17 MeV. The intermediate neutron dosimeter consists of 6 LiF-Teflon/CR-39 Polymer foil assembly which is enclosed by a (Cd + In) neutron filter. The neutron dose-equivalent is measured as a variable function of the damage track density registered in the CR-39 detector foil due to alpha particles from the 1/v dependent 6 Li(n, α) 3 H reaction, recoil H, C, O nuclei from neutron-induced elastic scattering within the foil volume, and protons from the 6 Li(n, p) reaction for neutron energies above 2 MeV. 46 figs., 6 tabs

  10. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  11. New phenomenon of potassium permanganate treatment effect in polymer irradiated with heavy ions

    International Nuclear Information System (INIS)

    Zhou Mi; Liu Yibao; Wei Qianglin; Fu Yuanyong; Ju Wei; Chen Dongfeng; Wu Zhendong; Liang Haiying

    2014-01-01

    Background: Nuclear track membranes offer distinct advantages over conventional membranes due to their precisely determined structure. Their pore size, shape and density can be controlled intentionally so that a membrane with the required characteristics can be produced. The track etching technology plays an important role in the production of nuclear track membranes. Purpose: The effect of potassium permanganate solution pretreatment on the etching rate for polyethylene terephthalate film (PET) is studied in this work. Methods: The conductivity method is used in this research. Under different conditions, the PET films were pretreated for 1 h, 2 h, 3 h, 4 h, 5 h and 6 h by potassium permanganate solution. 5%, 15%, 25%, 35% of 2-mol·L -1 sulfuric acid solutions were added in 0.1 mol·L -1 potassium permanganate solution. Results: Track etching rate reached a peak at 2 h, Afterwards, with the pretreatment time increasing, the track etching rate declined, and the longer of the pretreatment time, the smaller of the bulk etching rate. Half cone angle either. Adding to sulfuric solution, the experimental results show that the effect on track etching rate is small, with the amount of sulfuric acid increasing, bulk etching rate becomes larger, the same change with half cone angle. In addition, the DC voltage used in the conductivity method also has impact on the track etching rate. Conclusion: The experiment has provided a method to improve the etching rate. (authors)

  12. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  13. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  14. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  15. Bystander effect studies using heavy-ion microbeam

    International Nuclear Information System (INIS)

    Kobayashi, Yasuhiko; Funayama, Tomoo; Sakashita, Tetsuya; Wada, Seiichi; Yokota, Yuichiro; Kakizaki, Takehiko; Hamada, Nobuyuki; Hara, Takamitsu; Fukamoto, Kana; Suzuki, Michiyo; Ni, M.; Furusawa, Yoshiya

    2007-01-01

    We have established a single cell irradiation system, which allows selected cells to be individually hit with defined number of heavy charged particles, using a collimated heavy-ion microbeam apparatus at JAEA-Takasaki. This system has been developed to study radiobiological processes in hit cells and bystander cells exposed to low dose and low dose-rate high-LET radiations, in ways that cannot be achieved using conventional broad-field exposures. Individual cultured cells grown in special dishes were irradiated in the atmosphere with a single or defined numbers of 18.3 MeV/amu 12 C, 13.0 or 17.5 MeV/amu 20 Ne, and 11.5 MeV/amu 40 Ar ions. Targeting and irradiation of the cells were performed automatically according to the positional data of the target cells microscopically obtained before irradiation. The actual number of particle tracks that pass through target cells was detected with prompt etching of the bottom of the cell dish made of ion track detector TNF-1 (modified CR-39). (author)

  16. Low-level radon measurements by nuclear track detectors

    International Nuclear Information System (INIS)

    Koksal, E. M.; Goksel, S. A.; Alkan, H.

    1985-01-01

    In the work to be described here we have developed a passive nuclear track dosimeter to measure the integrated value of indoor radon (Rn-222) over a long period of time. Passive radon dosimeter which we have developed in our laboratories makes use of two small pieces of CR-39 plastic (Allyl diglycol carbonate) as detectors for registering tracks of alpha particles emitted by radon. These CR-39 plastic detectors are fixed on the inside bottom of a cup-shaped polystrene enclosure which is closed at the top by a tissue permeable for gases only. CR-39 detectors exposed to radon gas in the indoor air for a period of six months then are removed and chemically etched to make the alpha particle tracks visible under the microscope. The counts of tracks are evaluated to determine the radon concentration in the air in comparison with the number of tracks produced by a known concentration of radon gas. By using the passive dosimeters developed and the chemical etching procedure descriped here, measurements of indoor radon concentrations were carried out in 45 houses in different districts of the city of Istanbul. In this pilot experiment mean radon concentrations between 0.7 and 3.5 pCi/l have been found in these houses. In order to improve the counting of alpha tracks produced on the detectors a prototype electrochemical etching system in addition to chemical etching, is being developed. (author)

  17. Studies on the characteristics of nuclear track spark counting for neutron monitoring

    International Nuclear Information System (INIS)

    Kawai, H.; Koga, T.; Morishima, H.; Niwa, T.; Nishiwaki, Y.

    1979-01-01

    The fission-track counting method using polycarbonate foil is known to be very effective for neutron monitoring. The detection system consists of a track detector foil placed in contact with a thin layer of fissile material. When the unit is irradiated with neutrons, fission fragments from the fissile material produce tracks in the foil. The foil is then etched chemically to enlarge the tracks to etch-pits, which are counted by an automatic spark counting method suggested by Cross and Tommasino. Spark counting characteristics of the above system using polycarbonate detector foils of different thicknesses and aluminized polyester sheets having different thicknesses of the aluminium which serves as an electrode, and etch-pit sizes in relation to spark counting have been studied. The results obtained are summarized as follows: 1. A growth in etch-pit sizes was observed for etching times of 10 to 50 minutes with Makrofol KG (10 μm thick) and Panlite foils (18 μm thick). As the etching time increased, the number of etch-pits which appeared as round pits increased and the number which appeared rod-like decreased; 2. Round etch-pits seemed to be easily spark-punched; 3. Major and minor diameters of etch-pits produced by fission fragments from the uranium fissile target were larger than those from the thorium target; 4. As the thickness of the Makrofol KG foil increased, the minor diameters of the etch-pits appeared to become smaller and major diameters larger; 5. The foils that appeared to be best suited for routine use were 10 μm thick Makrofol KG or 6 μm thick Lumirror foils. (author)

  18. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  19. Spin-resolved magnetic studies of focused ion beam etched nano-sized magnetic structures

    International Nuclear Information System (INIS)

    Li Jian; Rau, Carl

    2005-01-01

    Scanning ion microscopy with polarization analysis (SIMPA) is used to study the spin-resolved surface magnetic structure of nano-sized magnetic systems. SIMPA is utilized for in situ topographic and spin-resolved magnetic domain imaging as well as for focused ion beam (FIB) etching of desired structures in magnetic or non-magnetic systems. Ultra-thin Co films are deposited on surfaces of Si(1 0 0) substrates, and ultra-thin, tri-layered, bct Fe(1 0 0)/Mn/bct Fe(1 0 0) wedged magnetic structures are deposited on fcc Pd(1 0 0) substrates. SIMPA experiments clearly show that ion-induced electrons emitted from magnetic surfaces exhibit non-zero electron spin polarization (ESP), whereas electrons emitted from non-magnetic surfaces such as Si and Pd exhibit zero ESP, which can be used to calibrate sputtering rates in situ. We report on new, spin-resolved magnetic microstructures, such as magnetic 'C' states and magnetic vortices, found at surfaces of FIB patterned magnetic elements. It is found that FIB milling has a negligible effect on surface magnetic domain and domain wall structures. It is demonstrated that SIMPA can evolve into an important and efficient tool to study magnetic domain, domain wall and other structures as well as to perform magnetic depth profiling of magnetic nano-systems to be used in ultra-high density magnetic recording and in magnetic sensors

  20. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  1. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  2. Single charged-particle damage to living cells: a new method based on track-etch detectors

    International Nuclear Information System (INIS)

    Durante, M.; Grossi, G.F.; Pugliese, M.; Manti, L.; Nappo, M.; Gialanella, G.

    1994-01-01

    Biological effects of ionizing radiation are usually expressed as a function of the absorbed dose. Low doses of high-LET radiation correspond to one or few particle traversals through the cell. In order to study the biological effectiveness of single charged particles, we have developed a new method based on solid state nuclear track detectors. Cells are seeded on mylar and a LR-115 film is stuck below the mylar base. After irradiation, the LR-115 film is etched and cells observed at a phase contrast microscope connected to a video camera and an image analyzer. In this way, it is possible to measure the number of traversals through the cell nucleus or cytoplasm. Coordinates of each cell on the microscope bench are saved. After incubation for about one week, cells are fixed and stained and the colonies observed at the microscope. The fate of each irradiated cell is therefore correlated to the number of traversals. We have tested this method with two different rodent embryo fibroblast cell lines, C3H 10T1/2 and V79, exposed to 3.2 MeV accelerated α-particles (LET =124 keV/μm). The studied endpoint was cell killing. Preliminary biological results suggest that few α-particle tracks in V79 hamster cells are sufficient to reduce surviving fraction. ((orig.))

  3. Formation of dislocations and hardening of LiF under high-dose irradiation with 5-21 MeV {sup 12}C ions

    Energy Technology Data Exchange (ETDEWEB)

    Zabels, R.; Manika, I.; Maniks, J.; Grants, R. [Institute of Solid State Physics, University of Latvia, Riga (Latvia); Schwartz, K. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Dauletbekova, A.; Baizhumanov, M. [L.N. Gumilyov Eurasian National University, Astana (Kazakhstan); Zdorovets, M. [Institute of Nuclear Physics, Almaty (Kazakhstan)

    2017-05-15

    The emergence of dislocations and hardening of LiF crystals irradiated to high doses with {sup 12}C ions have been investigated using chemical etching, AFM, nanoindentation, and thermal annealing. At fluences ensuring the overlapping of tracks (Φ ≥6 x 10{sup 11} ions/cm{sup 2}), the formation of dislocation-rich structure and ion-induced hardening is observed. High-fluence (10{sup 15} ions/cm{sup 2}) irradiation with {sup 12}C ions causes accumulation of extended defects and induces hardening comparable to that reached by heavy ions despite of large differences in ion mass, energy, energy loss, and track morphology. The depth profiles of hardness indicate on a notable contribution of elastic collision mechanism (nuclear loss) in the damage production and hardening. The effect manifests at the end part of the ion range and becomes significant at high fluences (≥10{sup 14} ions/cm{sup 2}). (orig.)

  4. Surface Modifications of Polymers Induced by Heavy Ions Grafting

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R O; Lombardo, J; Camporotondi, D; Tadey, D; Bermudez, G G [National Atomic Energy Commission, Ezeiza Atomic Centre, Ezeiza (Argentina)

    2012-09-15

    Polymer surfaces are modified by the application of swift heavy ions etching and grafting procedures. The residual active sites produced by heavy ion beams, remaining after the etching process, were used to start the grafting process. In order to produce tracks on foils of poly(vinylidene fluoride) (PVDF) they were irradiated with {sup 208}Pb of 25.62 MeV/n or with 115 MeV Cl ions. Moreover, foils of polypropylene (PP) were irradiated with {sup 208}Pb of 25.62 MeV/n. Then, they were etched and grafted with N-isopropylacrylamide (NIPAAm) monomers or with acrylic acid (AAc) monomers, respectively. The replica method allowed the observation of the shape of the grafted tracks using transmission electron microscopy (TEM). In addition NIPAAm grafted foils were analyzed using Fourier transform infrared spectroscopy (FTIR). The sulfonation procedure (methodology previously described for perfluorated polymers) was applied on grafted PVDF. A new method is described to produce a thin layer of poly-acrylic-acid (membranes) that grows on the surface of PVDF foils implanted by an Ar{sup +} beam with energies between 30-150 keV. Different combinations of monomers in water solutions were used such as: acrylic acid (AAc); acrylic acid-glycidyl methacrylate (AAc-GMA); acrylic acid-styrene (AAc-S); acrylic acid-N-isopropyl acrylamide (AAc-NIPAAm) and acrylic acid-N-isopropyl acrylamide - glycidyl methacrylate (AAc-NIPAAm-GMA). The experimental results show that for particular values of: ion fluence and energy, AAc concentration, sulphuric acid and PVDF polymorphous (alpha or beta) a huge percentage of grafting was obtained. At certain point of the grafting process the development of the PolyAAc-Xmonomer produce a detachment from the irradiated substrate and continue its grafting outside it. This method produces a membrane that is an increased replica of the original implanted surface. Finally, PVDF films implanted by an Ar{sup +} beam with energies about 100 keV and a fluence of 10

  5. Ridge optical waveguide in an Er3+/Yb3+ co-doped phosphate glass produced by He+ ion implantation combined with Ar+ ion beam etching

    International Nuclear Information System (INIS)

    Tan Yang; Chen Feng; Hu Lili; Xing Pengfei; Chen Yanxue; Wang Xuelin; Wang Keming

    2007-01-01

    This paper reports on the fabrication and characterization of a ridge optical waveguide in an Er 3+ /Yb 3+ co-doped phosphate glass. The He + ion implantation (at energy of 2.8 MeV) is first applied onto the sample to produce a planar waveguide substrate, and then Ar + ion beam etching (at energy of 500 eV) is carried out to construct rib stripes on the sample surface that has been deposited by a specially designed photoresist mask. According to a reconstructed refractive index profile of the waveguide cross section, the modal distribution of the waveguide is simulated by applying a computer code based on the beam propagation method, which shows reasonable agreement with the experimentally observed waveguide mode by using the end-face coupling method. Simulation of the incident He ions at 2.8 MeV penetrating into the Er 3+ /Yb 3+ co-doped phosphate glass substrate is also performed to provide helpful information on waveguide formation

  6. LLL development of a combined etch track: albedo dosimeter

    International Nuclear Information System (INIS)

    Griffith, R.V.; Fisher, J.C.; Harder, C.A.

    1977-01-01

    The addition of polycarbonate sheet to albedo detectors for electrochemical etching provides a simple, inexpensive way to reduce the spectral sensitivity of the personnel dosimeter without losing the albedo features of sensitivity and ease of automation. The ECEP technique also provides the dosimetrist with the potential for identifying conditions of body orientation that might otherwise lead to significant error in dosimeter evaluation

  7. Visualization of complex DNA damage along accelerated ions tracks

    Science.gov (United States)

    Kulikova, Elena; Boreyko, Alla; Bulanova, Tatiana; Ježková, Lucie; Zadneprianetc, Mariia; Smirnova, Elena

    2018-04-01

    The most deleterious DNA lesions induced by ionizing radiation are clustered DNA double-strand breaks (DSB). Clustered or complex DNA damage is a combination of a few simple lesions (single-strand breaks, base damage etc.) within one or two DNA helix turns. It is known that yield of complex DNA lesions increases with increasing linear energy transfer (LET) of radiation. For investigation of the induction and repair of complex DNA lesions, human fibroblasts were irradiated with high-LET 15N ions (LET = 183.3 keV/μm, E = 13MeV/n) and low-LET 60Co γ-rays (LET ≈ 0.3 keV/μm) radiation. DNA DSBs (γH2AX and 53BP1) and base damage (OGG1) markers were visualized by immunofluorecence staining and high-resolution microscopy. The obtained results showed slower repair kinetics of induced DSBs in cells irradiated with accelerated ions compared to 60Co γ-rays, indicating induction of more complex DNA damage. Confirming previous assumptions, detailed 3D analysis of γH2AX/53BP1 foci in 15N ions tracks revealed more complicated structure of the foci in contrast to γ-rays. It was shown that proteins 53BP1 and OGG1 involved in repair of DNA DSBs and modified bases, respectively, were colocalized in tracks of 15N ions and thus represented clustered DNA DSBs.

  8. Conductivity enhancement of ion tracks in tetrahedral amorphous carbon by doping with N, B, Cu and Fe

    International Nuclear Information System (INIS)

    Krauser, J.; Nix, A.-K.; Gehrke, H.-G.; Hofsäss, H.; Trautmann, C.; Weidinger, A.

    2012-01-01

    Conducting ion tracks are formed when high-energy heavy ions (e.g. 1 GeV Au) pass through tetrahedral amorphous carbon (ta-C). These nanowires with a diameter of about 8 nm are embedded in the insulating ta-C matrix and of interest for various nanotechnological applications. Usually the overall conductivity of the tracks and the current/voltage characteristics (Ohmic or non-Ohmic) vary strongly from track to track, even when measured on the same sample, indicating that the track formation is neither complete nor homogeneous. To improve the track conductivity, doping of ta-C with N, B, Cu, or Fe is investigated. Beneficial changes in track conductivity after doping compete with a conductivity increase of the surrounding matrix material. Best results are achieved by incorporation of 1 at.% Cu, while for different reasons, the improvement of the tracks remains moderate for N, B, and Fe doping. Conductivity enhancement of the tracks is assumed to develop during the ion track formation process by an increased number of localized states which contribute to the current transport.

  9. Secondary particle tracks generated by ion beam irradiation

    Science.gov (United States)

    García, Gustavo

    2015-05-01

    The Low Energy Particle Track Simulation (LEPTS) procedure is a powerful complementary tool to include the effect of low energy electrons and positrons in medical applications of radiation. In particular, for ion-beam cancer treatments provides a detailed description of the role of the secondary electrons abundantly generated around the Bragg peak as well as the possibility of using transmuted positron emitters (C11, O15) as a complement for ion-beam dosimetry. In this study we present interaction probability data derived from IAM-SCAR corrective factors for liquid environments. Using these data, single electron and positron tracks in liquid water and pyrimidine have been simulated providing information about energy deposition as well as the number and type of interactions taking place in any selected ``nanovolume'' of the irradiated area. In collaboration with Francisco Blanco, Universidad Complutense de Madrid; Antonio Mu noz, Centro de Investigaciones Energéticas Medioambientales y Tecnológicas and Diogo Almeida, Filipe Ferreira da Silva, Paulo Lim ao-Vieira, Universidade Nova de Lisboa. Supported by the Spanish and Portuguese governments.

  10. Value of the Debris of Reduction Sculpture: Thiol Etching of Au Nanoclusters for Preparing Water-Soluble and Aggregation-Induced Emission-Active Au(I) Complexes as Phosphorescent Copper Ion Sensor.

    Science.gov (United States)

    Shu, Tong; Su, Lei; Wang, Jianxing; Lu, Xin; Liang, Feng; Li, Chenzhong; Zhang, Xueji

    2016-06-07

    Chemical etching of gold by thiols has been known to be capable of generating nonluminescent gold(I) complexes, e.g., in size-focusing synthesis of atomically precise gold nanoclusters (GNCs). These nonluminescent gold(I) complexes have usually been considered as useless or worthless byproducts. This study shows a promising potential of thiol etching of GNCs to prepare novel water-soluble and phosphorescent gold(I) materials for sensing application. First, cysteamine-induced etching of GNCs is used to produce nonluminescent oligomeric gold(I)-thiolate complexes. Then, cadmium ion induces the aggregation of these oligomeric complexes to produce highly water-soluble ultrasmall intra-aggregates. These intra-aggregates can phosphoresce both in dilute aqueous solutions and in the solid phase. Studies on the effect of pH on their phosphorescent emission reveal the importance of the interaction between the amino groups of the ligands and cadmium ion for their phosphorescent emission property. Furthermore, Cu(2+) ion is found to quickly quench the phosphorescent emission of the intra-aggregates and simultaneously cause a Cu(2+)-concentration-dependent peak wavelength shift, enabling the establishment of a novel colorimetric sensor for sensitive and selective visual sensing of Cu(2+).

  11. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  12. Calibration of Nuclear Track Detectors

    International Nuclear Information System (INIS)

    Vukovic, J.B; Antanasijevic, R.; Novakovic, V.; Tasic, M.

    1998-01-01

    In this work we compare some of our preliminary results relating to the calibration Nuclear Track Detectors (NTD) with corresponding results obtained from other participants at the First International Intercomparison of Image Analyzers (III 97/98). Thirteen laboratories from Algeria, China, Czech Rep., France. Germany, Greece, Hungary, India, Italy, Mexico, Saudi Arabia, Slovenia and Yugoslavia participated in the III A 97/98. The NTD was 'Tustrack', Bristol. This type of CR-39 detector was etched by the organizer (J.Paltarey of al, Atomic Energy Research Institute, HPD, Budapest, Hungary). Etching condition was: 6N NaOH, 70 0C . Seven series detectors were exposed with the sources: B(n,a)Li, Am-241, Pu-Be(n,p), Radon and Am-Cm-Pu. Following parameters of exposed detectors were measured: track density of different sorts of tracks (circular, elliptical, track overlapping, their diameters, major and minor axis and other). (authors)

  13. On the detection of low-energy 4He, 12C, 14N, 16O ions in PC foils and its use in nuclear reaction measurements

    International Nuclear Information System (INIS)

    Somogyi, G.; Hunyadi, I.; Koltay, E.; Zolnai, L.

    1977-01-01

    It is shown that by using a proper etching reagent the registration sensitivity of polycarbonate foils can be enhanced and they prove to be very suitable track recorders for alpha-particles emitted from nuclear reactions. At 6 MeV an energy resolution of 0.2 MeV can be achieved when using the track diameters as a measure of the particle energy. A theoretical way to calculate the track parameters important in nuclear reaction measurements involving alpha-particles recorded in polycarbonate foils is given. For this purpose the track etch rate vs residual range curve was determined by a parameter optimization procedure. The energy resolution of the track-diameter method as a function of the particle energy was predicted. In earlier studies the track-diameter method was mostly used in angular distribution measurements of (d, α) nuclear reactions. In this work it is shown that with polycarbonate foils it can be well applied to excitation function measurements, as well. Such studies are presented for the α 0 and α 1 groups of the 27 Al(p, α) 24 Mg reaction in an energy interval between 1540 and 1920 keV. Finally, preliminary results of the track etching properties of low-energy O + , N + , C + and He + ions accelerated with a 5 MV Van de Graaff generator are given. (Auth.)

  14. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  15. Tracks induced by swift heavy ions in semiconductors

    International Nuclear Information System (INIS)

    Szenes, G.; Horvath, Z.E.; Pecz, B.; Toth, L.; Paszti, F.

    2002-01-01

    InSb, GaSb, InP, InAs, and GaAs single crystals were irradiated with Pb ions in the range of 385-2170 MeV. The samples were studied by transmission and high-resolution electron microscopy and Rutherford backscattering in channeling geometry. The energetic ions induced isolated tracks in all crystals but GaAs. The thermal spike analysis revealed that the variation of the damage cross section with the ion energy is considerably weaker than in insulators. The widths of the thermal spike a(0) was estimated. The analysis was extended to recent C 60 experiments on Ge and Si. A quantitative relation was found between a(0) and the gap energy E g : a(0) is reduced with increasing E g , and its lowest value is close to that found in insulators

  16. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    Science.gov (United States)

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  17. Fast neutron dosimetry using CR-39 track detectors with polyethylene as radiator

    International Nuclear Information System (INIS)

    Castillo, F.; Espinosa, G.; Golzarri, J.I.; Osorio, D.; Rangel, J.; Reyes, P.G.; Herrera, J.J.E.

    2013-01-01

    The chemical etching parameters (etching time, temperature, normality of etchant, etc.) for the use of CR-39 (allyl diglycol carbonate – Lantrack ® ) as a fast neutron dosimeter have been optimized. The CR-39 chips, placed under a 1.5 mm polyethylene radiator, were exposed for calibration to an 241 Am-Be source at different time intervals for a given neutron fluence. After several chemical etching processes of the detectors with different conditions, the optimum characteristics for the chemical etching were found at 6N KOH solution, 60 ± 1 °C, for 12 h. An accurate relationship between the dose and fluence calculations was obtained as a function of the track density. - Highlights: ► Optimum etching time for fast neutron irradiated CR-39 track detectors is found. ► Relationship between dose and fluence obtained as a function of the track density. ► Results are consistent with those reported elsewhere, and extend the dose range

  18. Automatic spark counting of alpha-tracks in plastic foils

    International Nuclear Information System (INIS)

    Somogyi, G.; Medveczky, L.; Hunyadi, I.; Nyako, B.

    1976-01-01

    The possibility of alpha-track counting by jumping spark counter in cellulose acetate and polycarbonate nuclear track detectors was studied. A theoretical treatment is presented which predicts the optimum residual thickness of the etched foils in which completely through-etched tracks (i.e. holes) can be obtained for alpha-particles of various energies and angles of incidence. In agreement with the theoretical prediction it is shown that a successful spark counting of alpha-tracks can be performed even in polycarbonate foils. Some counting characteristics, such as counting efficiency vs particle energy at various etched foil thicknesses, surface spark density produced by electric breakdowns in unexposed foils vs foil thickness, etc. have been determined. Special attention was given to the spark counting of alpha-tracks entering thin detectors at right angle. The applicability of the spark counting technique is demonstrated in angular distribution measurements of the 27 Al(p,α 0 ) 24 Mg nuclear reaction at Ep = 1899 keV resonance energy. For this study 15 μm thick Makrofol-G foils and a jumping spark counter of improved construction were used. (orig.) [de

  19. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  20. Longitudinally Controlled Modification of Cylindrical and Conical Track-Etched Poly(ethylene terephthalate) Pores Using an Electrochemically Assisted Click Reaction

    International Nuclear Information System (INIS)

    Coceancigh, Herman; Tran-Ba, Khanh-Hoa; Columbia University, New York, NY; Siepser, Natasha; Baker, Lane A.; Ito, Takashi

    2017-01-01

    Here in this study, the longitudinally controlled modification of the inner surfaces of poly(ethylene terephthalate) (PET) track-etched pores was explored using an electrochemically assisted Cu(I)-catalyzed azide–alkyne cycloaddition (CuAAC) click reaction. Cylindrical or conical PET track-etched pores were first decorated with ethynyl groups via the amidation of surface -COOH groups, filled with a solution containing Cu(II) and azide-tagged fluorescent dye, and then sandwiched between comb-shaped and planar gold electrodes. Cu(I) was produced at the comb-shaped working electrode by the reduction of Cu(II); it diffused along the pores toward the other electrode and catalyzed CuAAC between an azide-tagged fluorescent dye and a pore-tethered ethynyl group. The modification efficiency of cylindrical pores (ca. 1 μm in diameter) was assessed from planar and cross-sectional fluorescence microscope images of modified membranes. Planar images showed that pore modification took place only above the teeth of the comb-shaped electrode with a higher reaction yield for longer Cu(II) reduction times. Cross-sectional images revealed micrometer-scale gradient modification along the pore axis, which reflected a Cu(I) concentration profile within the pores, as supported by finite-element computer simulations. The reported approach was applicable to the asymmetric modification of cylindrical pores with two different fluorescent dyes in the opposite directions and also for the selective visualization of the tip and base openings of conical pores (ca. 3.5 μm in base diameter and ca. 1 μm in tip diameter). Lastly, the method based on electrochemically assisted CuAAC provides a controlled means to fabricate asymmetrically modified nanoporous membranes and, in the future, will be applicable for chemical separations and the development of sequential catalytic reactors.

  1. Personal neutron dosimeter using solid-state track detector

    International Nuclear Information System (INIS)

    Mettripan, S.

    1980-01-01

    A cellulose nitrate film coated on both sides with lithium tetraborate was used as a neutron dosimeter for surveillance of personnel exposed to thermal and epithermal neutron. It was found that the optimum etching conditions used were 10% solution of sodium hydroxide, 60 degrees C and 20 minutes etching time and the alpha track densities from the (n,α) reaction on the films were proportional to thermal and epithermal neutron fluxes. The response of the film was found to be 1.068 x 10 -3 tracks per thermal neutron and 3.438 x 10 -4 tracks per epithermal neutron

  2. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  3. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  4. Charged particle spectroscopy with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Hunyadi, I.; Somogyi, G.

    1984-01-01

    Some of earlier and recent methods for differentiation of charged particles according to their energy, based on the use of polymeric etch-track detectors (CN, CA, PC and CR-39) are outlined. The principle of three track methods suitable for nuclear spectroscopy is discussed. These are based on the analysis of the diameter, surface size and shape of etch-track 'cones' produced by charged particles in polymers, after using shorter or longer chemical etching processes. Examples are presented from the results of the last decade in ATOMKI, Debrecen, Hungary, concerning the application of nuclear track spectroscopy to different low-energy nuclear reaction studies, angular distribution and excitation function measurements. These involve the study of (d,α) reaction on sup(14)N, sup(19)F and sup(27)Al nuclei, (sup(3)He,α) reactions on sup(15)N, (p,α) reaction on sup(27)Al and the process sup(12)C(sup(12)C, sup(8)Be)sup(16)O. (author)

  5. Radiation defects in lithium fluoride induced by heavy ions

    Energy Technology Data Exchange (ETDEWEB)

    Trautmann, C.; Schwartz, K.; Steckenreiter, T. [Gesellschaft fuer Schwerionenforschung mbH, Darmstadt (Germany); Costantini, J.M. [CEA Centre d`Etudes de Bruyeres-le-Chatel, 91 (France). DPTA/SPMC; Toulemonde, M. [Centre Interdisciplinaire de Recherches avec les Ions Lourds (CIRIL), 14 - Caen (France)

    1998-07-01

    Single crystals of lithium fluoride were irradiated with various species of heavy ions in the energy regime between 1 and 30 MeV/u. The induced radiation damage was studied with techniques such as optical absorption spectroscopy, small-angle x-ray scattering, chemical etching and profilometry, complemented by annealing experiments. Clear evidence is given for a complex track structure and defect morphology. Single defects such as F-centers are produced in a large halo of several tens of nanometers around the ion trajectory. The defect creation in this zone is similar to that under conventional radiation. For heavy ions above a critical energy loss of 10 keV/nm, new effects occur within a very small core region of 2-4 nm in diameter. The damage in this zone is responsible for chemical etching and for a characteristic anisotropic x-ray scattering. It is assumed that in this core, complex defect aggregates (e.g., cluster of color centers, molecular anions and vacancies) are created. Their formation is only slightly influenced by the irradiation temperature and takes place even at 15 K where diffusion processes of primary defects are frozen. Furthermore, irradiation with heavy ions leads to pronounced swelling effects which can be related to an intermediate zone of around 10 nm around the ion path. (orig.) 40 refs.

  6. Track sensitivity and the surface roughness measurements of CR-39 with atomic force microscope

    CERN Document Server

    Yasuda, N; Amemiya, K; Takahashi, H; Kyan, A; Ogura, K

    1999-01-01

    Atomic Force Microscope (AFM) has been applied to evaluate the surface roughness and the track sensitivity of CR-39 track detector. We experimentally confirmed the inverse correlation between the track sensitivity and the roughness of the detector surface after etching. The surface of CR-39 (CR-39 doped with antioxidant (HARZLAS (TD-1)) and copolymer of CR-39/NIPAAm (TNF-1)) with high sensitivity becomes rough by the etching, while the pure CR-39 (BARYOTRAK) with low sensitivity keeps its original surface clarity even for the long etching.

  7. Temperature annealing of tracks induced by ion irradiation of graphite

    International Nuclear Information System (INIS)

    Liu, J.; Yao, H.J.; Sun, Y.M.; Duan, J.L.; Hou, M.D.; Mo, D.; Wang, Z.G.; Jin, Y.F.; Abe, H.; Li, Z.C.; Sekimura, N.

    2006-01-01

    Highly oriented pyrolytic graphite (HOPG) samples were irradiated by Xe ions of initial kinetic energy of 3 MeV/u. The irradiations were performed at temperatures of 500 and 800 K. Scanning tunneling microscopy (STM) images show that the tracks occasionally have elongated structures under high-temperature irradiation. The track creation yield at 800 K is by three orders of magnitude smaller compared to that obtained during room-temperature irradiation. STM and Raman spectra show that amorphization occurs in graphite samples irradiated at 500 K to higher fluences, but not at 800 K. The obtained experimental results clearly reveal that the irradiation under high temperature causes track annealing

  8. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  9. Activation energy of etching for CR-39 as a function of linear energy transfer of the incident particles

    CERN Document Server

    Awad, E M

    1999-01-01

    In this work, we have studied the effect of the radiation damage caused by the incident particles on the activation energy of etching for CR-39 samples. The damage produced by the incident particle is expressed in terms of the linear energy transfer (LET). CR-39 samples from American Acrylic were irradiated to three different LET particles. These are N (LET sub 2 sub 0 sub 0 = 20 KeV/mu m) as a light particle, Fe (LET sub 2 sub 0 sub 0 = 110 KeV/mu m) as a medium particle and fission fragments (ff) from a sup 2 sup 5 sup 2 Cf source as heavy particles. In general the bulk etch rate was calculated using the weight difference method and the track etch rate was determined using the track geometry at various temperatures (50-90 deg. C) and concentrations (4-9 N) of the NaOH etchant. The average activation energy E sub b related to the bulk etch rate v sub b was calculated from 1n v sub b vs. 1/T. The average activation energy E sub t related to the track etch rate v sub t was estimated from 1n v sub t vs. 1/T. It...

  10. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  11. Deep reactive ion etching of auxetic structures: present capabilities and challenges

    International Nuclear Information System (INIS)

    Muslija, Alban; Díaz Lantada, Andrés

    2014-01-01

    Auxetic materials (or metamaterials) have negative Poisson ratios (NPR) and display the unexpected properties of lateral expansion when stretched, and equal and opposing densification when compressed. Such auxetic materials are being used more frequently in the development of novel products, especially in the fields of intelligent expandable actuators, shape-morphing structures and minimally invasive implantable devices. Although several micromanufacturing technologies have already been applied to the development of auxetic materials and devices, additional precision is needed to take full advantage of their special mechanical properties. In this study, we present a very promising approach for the development of auxetic materials and devices based on the use of deep reactive ion etching (DRIE). The process stands out for its precision and its potential applications to mass production. To our knowledge, it represents the first time this technology has been applied to the manufacture of auxetic materials with nanometric details. We take into account the present capabilities and challenges linked to the use of DRIE in the development of auxetic materials and auxetic-based devices. (technical note)

  12. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  13. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  14. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  15. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  16. Improved thrombogenicity on oxygen etched Ti6Al4V surfaces

    International Nuclear Information System (INIS)

    Riedel, Nicholas A.; Smith, Barbara S.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Thrombus formation on blood contacting biomaterials continues to be a key factor in initiating a critical mode of failure in implantable devices, requiring immediate attention. In the interest of evaluating a solution for one of the most widely used biomaterials, titanium and its alloys, this study focuses on the use of a novel surface oxidation treatment to improve the blood compatibility. This study examines the possibility of using oblique angle ion etching to produce a high quality oxide layer that enhances blood compatibility on medical grade titanium alloy Ti6Al4V. An X-ray photoelectron spectroscopy (XPS) analysis of these oxygen-rich surfaces confirmed the presence of TiO 2 peaks and also indicated increased surface oxidation as well as a reduction in surface defects. After 2 h of contact with whole human plasma, the oxygen etched substrates demonstrated a reduction in both platelet adhesion and activation as compared to bare titanium substrates. The whole blood clotting behavior was evaluated for up to 45 min, showing a significant decrease in clot formation on oxygen etched substrates. Finally, a bicinchoninic acid (BCA) total protein assay and XPS were used to evaluate the degree of key blood serum protein (fibrinogen, albumin, immunoglobulin G) adsorption on the substrates. The results showed similar protein levels for both the oxygen etched and control substrates. These results indicate that oblique angle oxygen etching may be a promising method to increase the thrombogenicity of Ti6Al4V. - Highlights: ►Oblique angle oxygen ion etching creates a high quality, uniform oxide surface. ►Oxygen etched substrates showed fewer adhered platelets. ►Platelet activation was reduced by the improved oxide surface. ►Oxygen etched substrates exhibited increased whole blood clotting times. ►Although clotting reductions were seen, protein adsorption remained similar.

  17. Latent track structure in polymers as observed by a highly sensitive electrolytical conductivity measurement

    International Nuclear Information System (INIS)

    Danziger, M.; Schulz, A.; Trofimov, V.V.; Prokert, K.

    1994-01-01

    First results of a new electrolytical conductivity cell are reported concerning the initial stage of the pore opening process during track etching in vitreous solids. On the basis of the soft mode (low energy excitation) model for track etching, a distribution function for the number of performed micropores as function of time is calculated. The results account for the radial etch rate as function of the effective pore radius. ((orig.))

  18. Oxygen etching mechanism in carbon-nitrogen (CNx) domelike nanostructures

    International Nuclear Information System (INIS)

    Acuna, J. J. S.; Figueroa, C. A.; Kleinke, M. U.; Alvarez, F.; Biggemann, D.

    2008-01-01

    We report a comprehensive study involving the ion beam oxygen etching purification mechanism of domelike carbon nanostructures containing nitrogen. The CN x nanodomes were prepared on Si substrate containing nanometric nickel islands catalyzed by ion beam sputtering of a carbon target and assisting the deposition by a second nitrogen ion gun. After preparation, the samples were irradiated in situ by a low energy ion beam oxygen source and its effects on the nanostructures were studied by x-ray photoelectron spectroscopy in an attached ultrahigh vacuum chamber, i.e., without atmospheric contamination. The influence of the etching process on the morphology of the samples and structures was studied by atomic force microscopy and field emission gun-secondary electron microscopy, respectively. Also, the nanodomes were observed by high resolution transmission electron microscopy. The oxygen atoms preferentially bond to carbon atoms by forming terminal carbonyl groups in the most reactive parts of the nanostructures. After the irradiation, the remaining nanostructures are grouped around two well-defined size distributions. Subsequent annealing eliminates volatile oxygen compounds retained at the surface. The oxygen ions mainly react with nitrogen atoms located in pyridinelike structures

  19. Modifications of radiation detection response of PADC track detectors by photons

    CERN Document Server

    Sinha, D

    1998-01-01

    Photon induced modifications in polyalyldiglycol carbonate (PADC) track detectors have been studied in the dose range of 10 sup 1 -10 sup 6 Gy. It was found that some of the properties like bulk-etch rate, track-etch rate got enhanced at the dose of 10 sup 6 Gy. Activation energy for bulk-etching has been determined for different gamma doses. In order to correlate the high etch rate with the chemical modifications, UV-Vis, IR and ESR studies were carried out. These studies clearly give the indication that radiation damage results into radical formation through bond cleavage. TGA study was performed for understanding the thermal resistance of this detector. The results are presented and discussed.

  20. Rational Synthesis of Hollow Prussian Blue Analogue Through Coordination Replication and Controlled-Etching for Cs-Ion Removal.

    Science.gov (United States)

    Xu, Jun; Bu, Fan-Xing; Guo, Yi-Fei; Zhang, Wei; Hu, Ming; Jiang, Ji-Sen

    2018-05-01

    Radioactive cesium pollution have received considerable attention due to the increasing risks in development of the nuclear power plants in the world. Although various functional porous materials are utilized to adsorb Cs+ ions in water, Prussian blue analogues (PBAs) are an impressive class of candidates because of their super affinity of Cs+ ions. The adsorption ability of the PBAs strongly relate to the mesostructure and interstitial sites. To design a hollow PBA with large number of interstitial sites, the traditional hollowing methods are not suitable owing to the difficulty in processing the specific PBAs with large number of interstitial sites. In this work, we empolyed a rational strategy which was to form a "metal oxide"@"PBA" core-shell structure via coordination replication at first, then utilized a mild etching to remove the metal oxide core, led to hollow PBA finally. The obtained hollow PBAs were of high crystallinity and large number of interstitial sites, showing a super adsorption performance for Cs+ ions (221.6 mg/g) within a short period (10 min).