WorldWideScience

Sample records for etch detector stack

  1. Model calculations for electrochemically etched neutron detectors

    International Nuclear Information System (INIS)

    Pitt, E.; Scharmann, A.; Werner, B.

    1988-01-01

    Electrochemical etching has been established as a common method for visualisation of nuclear tracks in solid state nuclear track detectors. Usually the Mason equation, which describes the amplification of the electrical field strength at the track tip, is used to explain the treeing effect of electrochemical etching. The yield of neutron-induced tracks from electrochemically etched CR-39 track detectors was investigated with respect to the electrical parameters. A linear dependence on the response from the macroscopic field strength was measured which could not be explained by the Mason equation. It was found that the reality of a recoil proton track in the detector does not fit the boundary conditions which are necessary when the Mason equation is used. An alternative model was introduced to describe the track and detector geometry in the case of a neutron track detector. The field strength at the track tip was estimated with this model and compared with the experimental data, yielding good agreement. (author)

  2. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  3. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  4. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  5. Long-term determination of airborne concentrations of unattached and attached radon progeny using stacked LR 115 detector with multi-step etching

    International Nuclear Information System (INIS)

    Nikezic, D.; Yu, K.N.

    2010-01-01

    We developed the theoretical basis for long-term determination of airborne concentrations of unattached and attached radon progeny. The work was separated into two parts. First, we showed that (stacked and multiply etched) LR 115 detectors could be used to determine airborne concentrations of the short-lived radon progeny, 218 Po and 214 Bi. The equilibrium factor F between radon and its progeny could then be determined through the use of the reduced equilibrium factor F red . The airborne concentrations of 214 Pb could then be determined. Second, we developed a method based on the airborne concentrations of 218 Po, 214 Pb and 214 Bi to determine the parameters of the Jacobi room model, viz., the ventilation rate λ v , aerosol attachment rate λ a , deposition rate of unattached progeny λ d u and the deposition rate of attached progeny λ d u . With these parameters, the unattached fraction f p of the potential alpha energy concentration could also be determined. Knowledge of f p , together with F, would enable more accurate determination of the effective dose in the human lung.

  6. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  7. Effect of track etch rate on geometric track characteristics for polymeric track detectors

    International Nuclear Information System (INIS)

    Abdel-Naby, A.A.; El-Akkad, F.A.

    2001-01-01

    Analysis of the variable track etch rate on geometric track characteristic for polymeric track detectors has been applied to the case of LR-155 II SSNTD. Spectrometric characteristics of low energy alpha particles response by the polymeric detector have been obtained. The track etching kinematics theory of development of minor diameter of the etched tracks has been applied. The calculations show that, for this type of detector, the energy dependence of the minor track diameter d is linear for small-etched removal layer h. The energy resolution gets better for higher etched removal layer

  8. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  9. CONTRIBUTION OF DIFFERENT PARTICLES MEASURED WITH TRACK ETCHED DETECTORS ONBOARD ISS.

    Science.gov (United States)

    Ambrožová, I; Davídková, M; Brabcová, K Pachnerová; Tolochek, R V; Shurshakov, V A

    2017-09-29

    Cosmic radiation consists of primary high-energy galactic and solar particles. When passing through spacecraft walls and astronauts' bodies, the spectrum becomes even more complex due to generating of secondary particles through fragmentation and nuclear interactions. Total radiation exposure is contributed by both these components. With an advantage, space research uses track etched detectors from the group of passive detectors visualizing the tracks of particles, in this case by etching. The detectors can discriminate between various components of cosmic radiation. A method is introduced for the separation of the different types of particles according to their range using track etched detectors. The method is demonstrated using detectors placed in Russian segment of the International Space Station in 2009. It is shown that the primary high-energy heavy ions with long range contribute up to 56% of the absorbed dose and up to 50% to the dose equivalent. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  10. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  11. Equipment for electrochemical etching of dielectric track detectors

    International Nuclear Information System (INIS)

    Turek, K.; Novak, M.

    1992-01-01

    The facility is designed for electrochemical etching of solid state track detectors, devised for thicknesses in excess of 200 μm as employed for direct detection of charged particles or neutrons. The device consists of a high-voltage a.c. supply for the electrodes and an assembly whose body consists of a flat tank, on the surface of which is formed a channel for feeding the heating and cooling media. The tank is covered by a gasket, an earthed metal plate for the detector, a mask with holes determining the shape of the etched area, and a pressure plate. The pressure plate is fitted with a system of holes for the etching solution. Needle-shaped high-voltage electrodes are accommodated in the holes of the mask. The underlying principle of the invention consists in the fact that a rubber pad with guide holes for the needle-shaped electrodes lies on the pressure plate. Each electrode is composed of a central tip and an outer jacket, separated by an insulating layer; connection is provided by a light emitting diode. (Z.S.). 2 figs

  12. Study of etching processes in the GEM detectors

    CERN Document Server

    Zavazieva, Darina

    2016-01-01

    Gaseous Electron Multiplier (GEM) detectors are known to operate stably at high gains and high particle fluxes. Though, at very high gains and fluxes it was observed that the insulating polyimide layer between the GEM electrodes gets etched, changing the original shape of the hole, and therefore varying the gain and the energy resolution of the detector. The idea of the project to observe degradation effect of the GEM foils during the Triple GEM detector operation in extreme conditions under X-ray radiation.

  13. Some aspects of the etching behavior of cellulose nitrate as track detector

    International Nuclear Information System (INIS)

    Hildebrand, D.; Reitz, G.; Buecker, H.

    1976-01-01

    Experimental results are presented to support the hypothesis that the etching velocity in cellulose nitrate detectors is dependent on the local water content of the foil. The consequence is drawn that high concentrations (> 4.7 n) of the etching solution should not be used for high precision track etching. The possibility to vary the Vsub(t)/Vsub(b) ratio is reported to have a useful application in biological experiments. Further more an influence of etch products at low NaOH concentrations was found. The cellulose nitrate detectors used in this investigation are 250 μ sheets made by Daicel, Nippon (plasticized) and 100 μ sheets made by Kodak, France (Type CA 80-15, plasticized). No qualitative differences in the etching behaviour of these two materials were obtained regarding the reported investigations, although the differences are partly large regarding other features. (orig.) [de

  14. Thermal stability of dyed tracks and electrochemical etching sensitivity of some polymeric detectors

    International Nuclear Information System (INIS)

    Monnin, M.; Gourcy, J.; Somogyi, G.; Dajko, D.

    1980-01-01

    Recent results on the mechanism of the formation of tracks obtained by the dyed tracks technique are given and the thermal annealing of the detectors is used to demonstrate their ability to retain tracks under more severe conditions than by the etching technique. Electrochemical etching of polycarbonate and polyethylene terephthalate detectors is investigated both from the background and sensitivity points of view. The polyethylene terephthalate detector is shown to be well suited for low neutron flux measurements. (author)

  15. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  16. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  17. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  18. Surface Passivation of CdZnTe Detector by Hydrogen Peroxide Solution Etching

    Science.gov (United States)

    Hayes, M.; Chen, H.; Chattopadhyay, K.; Burger, A.; James, R. B.

    1998-01-01

    The spectral resolution of room temperature nuclear radiation detectors such as CdZnTe is usually limited by the presence of conducting surface species that increase the surface leakage current. Studies have shown that the leakage current can be reduced by proper surface preparation. In this study, we try to optimize the performance of CdZnTe detector by etching the detector with hydrogen peroxide solution as function of concentration and etching time. The passivation effect that hydrogen peroxide introduces have been investigated by current-voltage (I-V) measurement on both parallel strips and metal-semiconductor-metal configurations. The improvements on the spectral response of Fe-55 and 241Am due to hydrogen peroxide treatment are presented and discussed.

  19. Radon Measurements in Egypt using passive etched track detectors. A Review

    Energy Technology Data Exchange (ETDEWEB)

    Gomaa, M A [National Network of Radiation Physics. Atomic Energy Authority (Egypt); Hussein, A S [Radiation Protection Department, Nuclear Power Plants Authority, (Egypt); El-Arabi, A M [Physics Department, Faculty of Science, South Valley University, Qena, (Egypt)

    2005-04-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water.

  20. Radon Measurements in Egypt using passive etched track detectors. A Review

    International Nuclear Information System (INIS)

    Gomaa, M.A.; Hussein, A.S.; El-Arabi, A.M.

    2005-01-01

    Radon and its progeny may cause serious radiation harm to human health such as lung cancer and other types. Radon measurements based on alpha particles etched track detectors (LR-115, CR-39) are very attractive for assessment of radon exposure. This is due to their high sensitivity, low cost, easy to handle and retain a permanent record of data. Also these detectors can incorporate the effects of seasonal and diurnal fluctuation of radon activity concentrations due to physical, geological and meteorological factors. The present review is based mainly on the topic of passive etched track detectors for the measurements of radon in Egypt in the recent years. Published papers includes the measurements of radon in dwellings, working places, Cairo Metro stations, ancient Pharaonic places and uranium exploration galleries as well as assessment of radon in drinking water

  1. Track etch detectors with air gap for measurements of radon in soil

    Energy Technology Data Exchange (ETDEWEB)

    Turek, K; Bednar, J [Czech Academy of Sciences, 18086 Prague (Czech Republic). Nuclear Research Inst., Dept. of Radiation Dosimetry; Neznal, M [Radon Corp., 28922 Lysa nad Labem (Czech Republic)

    1996-12-31

    The main aim of this study was to develop a method of radon concentration measurements in soil using track etch detectors without cups. Our approach enables to minimize the detector dimensions resulting into smaller diameter of drilled holes, more rigid construction, easier handling and mailing, lower consumption of material and consequently in lower costs. The parallel arrangement of two track etch detectors in the open metallic holder seems to be promising as the complementary method to the commonly used cup-technique for radon measurement. The firmness, simple and compact construction, small size as well as low costs could be successfully utilized mainly in field measurement. The possibility of a variable sensitivity by the distance between the detectors makes the system versatile for many applications, 2 detectors with different h can practically exclude of under- or overexposure. The more precise calibrations including exposures in radon-chamber and study of an eventual influence of humidity are supposed to be done in the nearest future. (J.K) 2 tabs.

  2. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  3. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    International Nuclear Information System (INIS)

    Csige, I.; Hunyadi, I.; Somogyi, G.

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author)

  4. Vacuum effect on the etch induction time and registration sensitivity of polymer track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Csige, I.; Hunyadi, I.; Somogyi, G. (Magyar Tudomanyos Akademia, Debrecen (Hungary). Atommag Kutato Intezete); Fujii, M. (Institute of Space and Astronautical Science, Sagamihara (Japan))

    1988-01-01

    The effect of a vacuum on etch induction time and track etch rate ratio of some polymer track detectors was studied systematically with alpha particles of different energies. It was found that the etch induction time increases, and the track etch rate ratio decreases, drastically when the detectors were irradiated in a vacuum and also kept in a vacuum for a few hours before and for a few minutes after the irradiation. These times proved to be characteristic for the outgassing of oxygen from the sheets and the stabilization of latent tracks, respectively. The role of oxygen in latent track formation is discussed. We have found that the vacuum effect is most significant near the surface. Its diminution with depth depends on the time of outgassing in accordance with the time variation of the dissolved oxygen concentration profile inside the sheets. (author).

  5. The performance of silicon detectors for the SiliPET project: A small animal PET scanner based on stacks of silicon detectors

    International Nuclear Information System (INIS)

    Auricchio, Natalia; Domenico, Giovanni di; Zavattini, Guido; Milano, Luciano; Malaguti, Roberto

    2011-01-01

    We propose a new scanner for small animal Positron Emission Tomography (PET) based on stacks of double sided silicon detectors. Each stack is made of 40 planar detectors with dimension 60x60x1 mm 3 and 128 orthogonal strips on both sides to read the two coordinates of interaction, the third being the detector number in the stack. Multiple interactions in a stack are discarded by an exclusive OR applied between each detector plane of a stack. In this way we achieve a precise determination of the interaction point of the two 511 keV photons. The reduced dimensions of the scanner also improve the solid angle coverage resulting in a high sensitivity. Preliminary results were obtained with MEGA prototype tracker (11 double sided Si detector layers), divided into two stacks 2 cm apart made of, respectively, 5 and 6 prototype layers, placing a small spherical 22 Na source in different positions. We report on the results, spatial resolution, imaging and timing performances obtained with double sided silicon detectors, manufactured by ITC-FBK, having an active area of 3x3 cm 2 , thickness of 1 mm and a strip pitch of 500μm. Two different strip widths of 300 and 200μm equipped with 64 orthogonal p and n strips on opposite sides were read out with the VATAGP2.5 ASIC, a 128-channel 'general purpose' charge sensitive amplifier.

  6. Study on the etching conditions of polycarbonate detectors for particle analysis of safeguards environmental samples

    International Nuclear Information System (INIS)

    Iguchi, K.; Esaka, K.T.; Lee, C.G.; Inagawa, J.; Esaka, F.; Onodera, T.; Fukuyama, H.; Suzuki, D.; Sakurai, S.; Watanabe, K.; Usuda, S.

    2005-01-01

    The fission track technique was applied to the particle analysis for safeguards environmental samples to obtain information about the isotope ratio of nuclear materials in individual particles. To detect the particles containing nuclear material with high detection efficiency and less particle loss, the influence of uranium enrichments on etching conditions of a fission track detector made of polycarbonate was investigated. It was shown that the increase in uranium enrichment shortened the suitable etching time both for particle detection and for less particle loss. From the results obtained, it was suggested that the screening of the uranium particles according to the enrichment is possible by controlling the etching time of the detector

  7. Prototype of the stacked CdZnTe semiconductor detector for 16N measurement

    International Nuclear Information System (INIS)

    Nishizawa, Hiroshi; Inujima, Hiroshi; Fujiwara, Hirotsugu; Nakamura, Hiroaki

    2001-01-01

    Prototype of the Stacked CdZnTe Semiconductor Detector for Measurement The prototype model of the stacked CdZnTe semiconductor detector, which is able to measure the 6.13 MeV γ-ray from 16 N, was fabricated. The prototype's response calculation was carried out by Monte-Carlo method. The result of the response calculation agreed with the experiment data of check sources of 137 Cs and 60 Co, and 16 N which was measured at vicinity of the primary cooling water pipe of the nuclear reactor. The source spectra were unfolded with detector's response function obtained by simulation, and it is indicated that the incident γ-ray energy and its intensity ratio was identified and that the energy of 6 MeV γ-ray could be measured by the prototype of the stacked detector. (author)

  8. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  9. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  10. Evidence of formation of trans-Fe nuclei in Fe+Al interactions at 1.88 GeV using Cr-39 (DOP) detector

    International Nuclear Information System (INIS)

    Ganguly, A.K.; Chaudhuri, Biva

    1991-01-01

    A wedge-shaped aluminium target was irradiated with 1.88 A GeV Fe beam to study various features of Fe+Al nucleus-nucleus interaction and their dependence on target thickness. The detector employed was a stack of CR-39 (DOP) and Lexan plastic nuclear track detectors which have a characteristically high charge resolution property. To distinguish the actual events from background and buildup a selection criteria for easy and unambiguous rejection of unwanted interfering events the stack of detectors was placed at an angle of 60deg with respect to the beam. After irradiation the CR-39 (DOP) detectors were etched and the elliptic etch-pit diameters were scanned. The diameter distribution of the elliptic etch-pits exhibits the existence of trans Fe nuclei. The production of trans Fe fraction is seen to increase with the thickness of the aluminium target. The possible causes of this increase are being investigated. (author). 12 refs., 4 figs

  11. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  12. Neutron activation analysis of uranium by means of electrochemical etching of tracks in lawsan detectors

    International Nuclear Information System (INIS)

    Kim Son Chun; Chuburkov, Yu.T.; Zvara, I.I.

    1982-01-01

    The method of neutron activation analysis of uranium in natural and artificial materials using track lavsan detectors of fission fragments has been developed. The method of electrochemical etching (etching reagent NaOH) of fragment tracks in lavsan is improved. Using statistical method of experiment planning the equation, describing the dependence of diometer value of fission fragment tracks on parameters of etching process, is obtained. The analysis sensitivity is 10 - 7 g/g - 10 - 8 g/g

  13. Etching Properties of Poly ethyleneterephthalate (PET) Melinex-E Nuclear Track Detectors (NTDs)

    International Nuclear Information System (INIS)

    Ghanim, E.H.; Hussein, A.; El-samman, H.M.; Tretyakova, S.P.

    2009-01-01

    One of the main parameters that control track formation is the bulk etch rate, VB. The dependence of VB on etchant concentrations and temperatures was extensively carried out. It is found that, VB of the PET Melinex-E (C 10 H 8 O 4 ) depends upon the etchant temperature T through an Arrhenius equation. While, the dependence of VB on the etchant concentration; C followed the relation VB = A C n. The activation energy of etching, Eb, for the studied Melinex-E detector was calculated. An average value of Eb = 0.83 ± 0.03 eV was extracted. The variation of, VB, of PET with etching duration was studied and compared with that of CR-39 plastic at certain etching temperature; T e =60 degree C and at different etchant concentrations. The irradiation facilities were performed with the 252 Cf fission fragments and 129 Xe +8 (θi =π/2). Results of these studies were discussed in the frame work of nuclear track formation and etching theories

  14. Enlarging the fission fragment tracks in glass detectors by etching in weak solutions of HF - a safe etchant

    International Nuclear Information System (INIS)

    Singh, V.P.; Sharma, A.P.

    1982-01-01

    The effect of etchant concentration and temperature on track revelation properties of soda glass detectors has been studied. Etch rate ratio, maximum observable diameter and the energy resolution of the fission fragment tracks of 252 Cf in glasses are increased when the samples are etched in 1.25 vol% HF as compared to higher concentrations of HF and other etching solutions. The critical angle of etching is found to decrease with decrease in etchant concentration. The activation energies for bulk etching and track etching have also been estimated. Better results were obtained by using lower etching temperatures. (author)

  15. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  16. LET spectrometry with track etch detectors-Use in high-energy radiation fields

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2008-01-01

    For assessing the risk from ionizing radiation it is necessary to know not only the absorbed dose but also the quality of the radiation; radiation quality is connected with the physical quantity linear energy transfer (LET). One of the methods of determination of LET is based on chemically etched track detectors. This contribution concerns with a spectrometer of LET based on the track detectors and discusses some results obtained at: ·high-energy radiation reference field created at the SPS accelerator at CERN; and ·onboard of International Space Station where track-etch based LET spectrometer has been exposed 273 days during 'Matrjoshka - R' experiment. Results obtained are compared with the results of studies at some lower-energy neutron sources; some conclusions on the registrability of neutrons and the ability of this spectrometer to determine dose equivalent in high-energy radiation fields are formulated

  17. Study etching characteristics of a track detector CR-39 with ultraviolet laser irradiation

    International Nuclear Information System (INIS)

    Dwaikat, Nidal; Iida, Toshiyuki; Sato, Fuminobu; Kato, Yushi; Ishikawa, Ippei; Kada, Wataru; Kishi, Atsuya; Sakai, Makoto; Ihara, Yohei

    2007-01-01

    The effect of pulsed ultraviolet Indium-doped Yttrium Aluminum Garnet (UV-In:YAG) laser of λ=266 nm, pulse energy 42 mJ/pulse at repetition rate10 Hz on the etching characteristics of Japanese CR-39 was studied at various energy intensities. Fifteen detectors were divided into two sets, each of seven samples and one sample was kept as a reference.The first set (post-exposed) was first exposed to alpha radiation with close contact to 241 Am and then treated in air with laser in the energy intensity range from 40 to160 J/cm 2 , 20 J/cm 2 in step. The second set (pre-exposed) was irradiated in reverse process (laser+alpha) with the same sources as the first set and under the same condition. The laser energy intensities ranged between 20 and 140 J/cm 2 , 20 J/cm 2 in step. For post-exposed samples (alpha+laser) bulk etch rate decreases up to 60 J/cm 2 and increases thereafter, while for pre-exposed samples (laser+alpha) the bulk etch rate oscillates without showing any precise periodicity. The bulk etch rate for both sets was found to be the same at 60≤energy intensity≤80 J/cm 2 and this may indicate that the same structural changes have happened. The track etch rate was found to be equal to the bulk etch rate for both sets, so the sensitivity is constant. In both sets several changes on the detector surfaces: tracks of different sizes and shapes and high density within the laser spot were observed. Out of the laser spot, the tracks become larger and lower density, indicating cross-linking and scission have happened, simultaneously, on the same surface as a result of UV-laser irradiation

  18. Track etch and thermo luminescent detectors response to high energy charged particles

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Jadrníčková, Iva

    2008-01-01

    Roč. 43, Supp. 1 (2008), S169-S173 ISSN 1350-4487. [International Conference on Solids /23./. Beijing, 11.09.2006-15.09.2006] R&D Projects: GA ČR GA202/04/0795 Grant - others:Evropské společenství(XE) ILSRA - 2004 - 248 Institutional research plan: CEZ:AV0Z10480505 Keywords : track etch detector * thermoluminescent detectors * LET spectrometry Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.267, year: 2008

  19. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  20. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  1. Comparison and limitations of three different bulk etch rate measurement methods used for gamma irradiated PM-355 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Fazal-ur-Rehman E-mail: fazalr@kfupm.edu.sa; Abu-Jarad, F.; Al-Jarallah, M.I.; Farhat, M

    2001-06-01

    Samples of Nuclear Track Detectors (PM-355) were exposed to high gamma doses from 1x10{sup 5} Gy (10 Mrad) up to 1.2x10{sup 6} Gy (120 Mrad) at an incremental dose of 1x10{sup 5} Gy (10 Mrad). The gamma source was a 9.03 PBq (244 kCi) Co-60 source used for sterilization of medical syringes. The bulk etch rate (V{sub b}) was measured for various high gamma doses by three different methods: 1--thickness change method; 2--mass change method; 3--fission track diametric method. The study gives a comparison and limitations of these three methods used for bulk etch rate measurements in the detectors as a function of high gamma doses. The track etch rate (V{sub t}) and the sensitivity (V) of the detector were also measured using the fission track diametric method. It was observed that V{sub b} increases with the increase of the gamma absorbed dose at a fixed etching time in each bulk etch measuring method. The bulk etch rate decreases exponentially with the etching time at a fixed gamma absorbed dose in all three methods. The thickness change and mass change methods have successfully been applied to measure V{sub b} at higher gamma doses up to 1.2x10{sup 6} Gy (120 Mrad). The bulk etch rate determined by the mass change and thickness change methods was almost the same at a certain gamma dose and etching time whereas it was quite low in the case of the fission track diametric method due to its limitations at higher doses. Also in this method it was not possible to measure the fission fragment track diameters at higher doses due to the quick disappearance of the fission tracks and therefore the V{sub b} could not be estimated at higher gamma doses.

  2. A new parameter in the electrochemical etching of polymer track detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Katouzi, M.

    1993-01-01

    It was discovered that the pressure applied to the electrochemical etching (ECE) chamber system and in turn to washers holding the detector tight in place between two semi-chambers has a direct effect on the internal heating and time to breakdown of the polymer detector. The effect was found to be dependent on the type, material, shape and size of the washers holding the detector in place under pressure. To verify such parameters, a pressure ECE chamber (PECE) with measurable and reproducible pressure was designed and constructed. Three types of rubber washers, such as ''O'' rings, flat rings and sheets as well as polycarbonate (PC) detectors glued directly between two semi-syringes, were used. Flat rubber sheets were shown to have relatively minor effects on the internal heating rate and are recommended. The effect seems to be due to forced vibrations of the detector under an electric field, the frequency of which depends on the degree to which the detector is stretched under pressure, like winding the strings of a musical instrument. The results of the above studies are presented and discussed. (orig.)

  3. Development of an MRI-compatible digital SiPM detector stack for simultaneous PET/MRI.

    Science.gov (United States)

    Düppenbecker, Peter M; Weissler, Bjoern; Gebhardt, Pierre; Schug, David; Wehner, Jakob; Marsden, Paul K; Schulz, Volkmar

    2016-02-01

    Advances in solid-state photon detectors paved the way to combine positron emission tomography (PET) and magnetic resonance imaging (MRI) into highly integrated, truly simultaneous, hybrid imaging systems. Based on the most recent digital SiPM technology, we developed an MRI-compatible PET detector stack, intended as a building block for next generation simultaneous PET/MRI systems. Our detector stack comprises an array of 8 × 8 digital SiPM channels with 4 mm pitch using Philips Digital Photon Counting DPC 3200-22 devices, an FPGA for data acquisition, a supply voltage control system and a cooling infrastructure. This is the first detector design that allows the operation of digital SiPMs simultaneously inside an MRI system. We tested and optimized the MRI-compatibility of our detector stack on a laboratory test bench as well as in combination with a Philips Achieva 3 T MRI system. Our design clearly reduces distortions of the static magnetic field compared to a conventional design. The MRI static magnetic field causes weak and directional drift effects on voltage regulators, but has no direct impact on detector performance. MRI gradient switching initially degraded energy and timing resolution. Both distortions could be ascribed to voltage variations induced on the bias and the FPGA core voltage supply respectively. Based on these findings, we improved our detector design and our final design shows virtually no energy or timing degradations, even during heavy and continuous MRI gradient switching. In particular, we found no evidence that the performance of the DPC 3200-22 digital SiPM itself is degraded by the MRI system.

  4. Estimation of track registration efficiency in solution medium and study of gamma irradiation effects on the bulk-etch rate and the activation energy for bulk etching of CR-39 (DOP) Solid State Nuclear Track Detector

    International Nuclear Information System (INIS)

    Kalsi, P.C.

    2010-01-01

    The fission track registration efficiency of diethylene glycol bis allyl carbonate (dioctyl phthalate doped) (CR-39 (DOP)) solid state nuclear track detector (SSNTD) in solution medium (K wet ) has been experimentally determined and is found to be (9.7 ± 0.5).10 -4 cm. This is in good agreement with the values of other SSNTDs. The gamma irradiation effects in the dose range of 50.0-220.0 kGy on the bulk etch rate, V b and the activation energy for bulk etching, E of this solid state nuclear track detector (SSNTD) have also been studied. It is observed that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation

  5. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  6. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  7. SiliPET: Design of an ultra-high resolution small animal PET scanner based on stacks of semi-conductor detectors

    International Nuclear Information System (INIS)

    Cesca, N.; Auricchio, N.; Di Domenico, G.; Zavattini, G.; Malaguti, R.; Andritschke, R.; Kanbach, G.; Schopper, F.

    2007-01-01

    We studied with Monte Carlo simulations, using the EGSnrc code, a new scanner for small animal positron emission tomography (PET), based on stacks of double-sided semiconductor detectors. Each stack is composed of planar detectors with dimension 70x60x1 mm 3 and orthogonal strips on both sides with 500 μm pitch to read the two interaction coordinates, the third being the detector number in the stack. Multiple interactions in a stack are discarded. In this way, we achieve a precise determination of the first interaction point of the two 511 keV photons. The reduced dimensions of the scanner also improve the solid angle coverage resulting in a high sensitivity. Preliminary results of scanners based on Si planar detectors are presented and the initial tomographic reconstructions demonstrate very good spatial resolution limited only by the positron range. This suggests that, this is a promising new approach for small animal PET imaging. We are testing some double-sided silicon detectors, equipped with 128 orthogonal p and n strips on opposite sides using VATAGP3 ASIC by IDEAS

  8. Interaction mean free path measurements for relativistic heavy ion fragments using CR39 plastic track detectors

    International Nuclear Information System (INIS)

    Drechsel, H.; Brechtmann, C.; Dreute, J.; Sonntag, S.; Trakowski, W.; Beer, J.; Heinrich, W.

    1984-01-01

    This paper describes an experiment measuring the interaction mean free paths for charge changing nuclear collisions of relativistic heavy ion fragments. We use a stack of CR39 plastic nuclear track detectors that was irradiated with 1.8 GeV/nucleon 40 Ar ions at the Berkeley Bevalac. About 1.5 x 10 7 etch cones were measured in this experiment using an automatic measuring system. By tracing the etch cones over successive plastic foils the particle trajectories in the stack were reconstructed. For 14185 trajectories with 6444 nuclear collisions of fragments with charge 9-15 the interaction mean free path in the plastic was determined. (orig.)

  9. Effect of various etching conditions on the response of Cr-39 plastic track detector applied for radon dosimetry in environment

    International Nuclear Information System (INIS)

    Maged, A.F.; Ashraf, F.A.

    1997-01-01

    A solid state nuclear track detector Cr-39 has been used for measuring the radon concentration in the soil air and indoor concentration. The bulk etch rate, C B of Cr-39 has been measured in various concentrations of NaOH in the range (6-8 mole) at temperature 70 degree C. In addition, the track etch rate, V T , and the ratio V = V T /V B , of alpha particles emitted from radon gas exists in nature have been measured in a similar range of etching conditions. This study shows that 8 M NaOH at 70 degree C represent the optimum etching conditions for Cr-39, with the range of the present study. The equilibrium factor and gamma-dose equivalent were calculated by using the track densities of open and filtered solid state nuclear track detectors

  10. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    Czech Academy of Sciences Publication Activity Database

    Spurný, František; Turek, Karel

    2004-01-01

    Roč. 109, č. 4 (2004), s. 375-381 ISSN 0144-8420 R&D Projects: GA AV ČR KSK4055109 Grant - others:EC project(XE) FIGM-CT2000-00068 Institutional research plan: CEZ:AV0Z1048901 Keywords : track-etched detectors * cosmic rays * aircraft Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.617, year: 2003

  11. Analysis of 3D stacked fully functional CMOS Active Pixel Sensor detectors

    International Nuclear Information System (INIS)

    Passeri, D; Servoli, L; Meroli, S

    2009-01-01

    The IC technology trend is to move from 3D flexible configurations (package on package, stacked dies) to real 3D ICs. This is mainly due to i) the increased electrical performances and ii) the cost of 3D integration which may be cheaper than to keep shrinking 2D circuits. Perspective advantages for particle tracking and vertex detectors applications in High Energy Physics can be envisaged: in this work, we will focus on the capabilities of the state-of-the-art vertical scale integration technologies, allowing for the fabrication of very compact, fully functional, multiple layers CMOS Active Pixel Sensor (APS) detectors. The main idea is to exploit the features of the 3D technologies for the fabrication of a ''stack'' of very thin and precisely aligned CMOS APS layers, leading to a single, integrated, multi-layers pixel sensor. The adoption of multiple-layers single detectors can dramatically reduce the mass of conventional, separated detectors (thus reducing multiple scattering issues), at the same time allowing for very precise measurements of particle trajectory and momentum. As a proof of concept, an extensive device and circuit simulation activity has been carried out, aiming at evaluate the suitability of such a kind of CMOS active pixel layers for particle tracking purposes.

  12. Track etch parameters and annealing kinetics assessment of protons of low energy in CR-39 detector

    International Nuclear Information System (INIS)

    Jain, R.K.; Kumar, Ashok; Singh, B.K.

    2012-01-01

    Highlights: ► We calibrate CR-39 detector with very low energy protons. ► We establish linear relationship between track diameter and time/energy up to 200 keV. ► We determine activation energy of annealing using different models. ► We justify concept of single annealing activation energy in CR-39. - Abstract: In this paper threshold of the registration sensitivity of very low energy proton in CR-39 is investigated. Irradiation of CR-39 (poly-allyl-diglycol carbonate) was carried out with very low energy mono energetic protons of 20–60 keV from a mini proton accelerator. Nearly 10 4 /cm 2 fluence of protons was used. The variation of track diameter with etching time as well as proton energy response curve was carefully calibrated. The bulk and track etch rates were measured by using proton track diameters. Bulk etch rate was also measured by the thickness of removed surface layer. The thermal annealing of proton track at temperatures ranging from 100 to 200 °C in CR-39 was studied by several models. Activation energy of annealed CR-39 detectors was calculated by slope of track etch rate and temperature plot. The data of proton tracks of 200, 250 and 300 keV from 400 kV Van-de-Graaff accelerator was also used and compared with the track diameters of different energies of proton.

  13. Energy spectrum of iron nuclei measured inside the MIR space craft using CR-39 track detectors

    International Nuclear Information System (INIS)

    Guenther, W.; Leugner, D.; Becker, E.; Flesch, F.; Heinrich, W.; Huentrup, G.; Reitz, G.; Roecher, H.; Streibel, T.

    1999-01-01

    We have exposed stacks of CR-39 plastic nuclear track detectors inside the MIR space craft during the EUROMIR95 space mission for almost 6 months. Over this long period a large number of tracks of high LET events was accumulated in the detector foils. The etching and measuring conditions for this experiment were optimized to detect tracks of stopping iron nuclei. We found 185 stopping iron nuclei inside the stack and identified their trajectories through the material of the experiment. Based on the energy-range relation the energy at the surface of the stack was determined. These particles allow the determination of the low energy part of the spectrum of iron nuclei behind shielding material inside the MIR station

  14. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    Czech Academy of Sciences Publication Activity Database

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurný, František; Yukihara, E.; Gaza, R.; McKeever, S.

    2006-01-01

    Roč. 120, 1- 4 (2006), s. 433-437 ISSN 0144-8420 R&D Projects: GA MŠk 1P05OC032 Institutional research plan: CEZ:AV0Z10480505 Keywords : bacteria l experiments * space flight * etched track detectors * thermoluminescent detectors Subject RIV: DN - Health Impact of the Environment Quality Impact factor: 0.446, year: 2006

  15. Improvement of density resolution in short-pulse hard x-ray radiographic imaging using detector stacks

    Energy Technology Data Exchange (ETDEWEB)

    Borm, B.; Gärtner, F.; Khaghani, D. [GSI Helmholtzzentrum für Schwerionenforschung, Darmstadt (Germany); Johann Wolfgang Goethe-Universität, Frankfurt am Main (Germany); Neumayer, P. [GSI Helmholtzzentrum für Schwerionenforschung, Darmstadt (Germany)

    2016-09-15

    We demonstrate that stacking several imaging plates (IPs) constitutes an easy method to increase hard x-ray detection efficiency. Used to record x-ray radiographic images produced by an intense-laser driven hard x-ray backlighter source, the IP stacks resulted in a significant improvement of the radiograph density resolution. We attribute this to the higher quantum efficiency of the combined detectors, leading to a reduced photon noise. Electron-photon transport simulations of the interaction processes in the detector reproduce the observed contrast improvement. Increasing the detection efficiency to enhance radiographic imaging capabilities is equally effective as increasing the x-ray source yield, e.g., by a larger drive laser energy.

  16. An energy and direction independent fast neutron dosemeter based on electrochemically etched CR-39 nuclear track detectors

    International Nuclear Information System (INIS)

    James, K.; Matiullah; Durrani, S.A.

    1987-01-01

    A computer-based model is presented, which simulates the dose equivalent response of electrochemically etched CR-39 to fast neutrons of various energies and angles of incidence. Most previous calculations of the response of CR-39 have neglected the production of recoiling oxygen and carbon nuclei as well as α particles in the CR-39. We calculate that these 'heavy recoils' and α particles are the major source of electrochemically etchable tracks in bare CR-39 at neutron energies above approx. 2 MeV under typical etching conditions. Our calculations have been extended to predict the response of CR-39 used in conjunction with various combinations of polymeric front radiators and we have determined the radiator stack configuration with produces the most energy independent response. Again, the heavy recoils and α particles cannot be neglected and, for energies above approx. 2 MeV, these produce typically about 20% of the total response of our optimum stack. This type of fast neutron dosemeter is, however, strongly direction dependent. We have integrated the response over all appropriate angles to predict the dose equivalent response for two representative neutron fields, and we suggest a method for minimising the angular dependence. (author)

  17. Dose-rate effects on the bulk etch-rate of CR-39 track detector exposed to low-LET radiations

    CERN Document Server

    Yamauchi, T; Oda, K; Ikeda, T; Honda, Y; Tagawa, S

    1999-01-01

    The effect of gamma-rays and pulsed electrons has been investigated on the bulk etch rate of CR-39 detector at doses up to 100 kGy under various dose-rate between 0.0044 and 35.0 Gy/s. The bulk etch rate increased exponentially with the dose at every examined dose-rates. It was reveled to be strongly depend on the dose-rate: the bulk etch rate was decreased with increasing dose-rate at the same total dose. A primitive model was proposed to explain the dose-rate effect in which oxygen dissolved was assumed to dominate the damage formation process.

  18. Operational comparison of TLD albedo dosemeters and etched-track detectors in the PuO2-UO2 mixed oxide fuel fabrication facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Takada, C.; Yoshida, T.; Momose, T.

    2005-01-01

    Full text: The authors carried out an operational study that compared the use of TLD albedo dosemeters with etched-track detector in plutonium environments of Japan Nuclear Cycle Development Institute, Tokai Works. A selected group of workers engaged in the fabrication process of MOX (PuO 2 -UO 2 mixed oxide) fuel wore both TLD albedo dosemeters and etched-track detectors over a period from 1991 to 1993. The TLD albedo dosemeter is the Panasonic model UD-809P and the etched-track detector is the NEUTRAK (polyallyl diglycol carbonate + 1mm-t polyethylene radiator) commercially available from Nagase-Landauer Ltd. Both dosemeters were issued and read monthly. It was found that the TL readings were generally proportional to the counted etch-pits, and thus the dose equivalent results obtained from TLD albedo dosemeter agreed with those from etched-track detector within a factor of 1.5. This result indicates that, in the workplaces of the MOX plants, the neutron spectrum remained almost constant in terms of time and space, and the appropriate range of field-specific correction with spectrum variations could be small in albedo dosimetry. In addition, the calibrations of both dosemeters in the workplaces and in a bare and moderated 252 Cf calibration field were performed for quantitative validation for the results from the operational comparison. In the former experiments, locations were selected that were representative of typical neutron measurements according to the prior neutron spectra measurements with the multi-sphere spectrometer. In the latter experiments, the workplace environments were simulated by using a 252 Cf source surrounded with cylindrical steel/PMMA moderators. From both experiments, the relationship between TL readings and counted etch-pits with neutron spectrum variation was determined. As expected, the relationship obtained from the simulated workplace field calibration reproduced that from the operational comparison. (author)

  19. Study of gamma irradiation effects on the etching and optical properties of CR-39 solid state nuclear track detector and its application to uranium assay in soil samples

    International Nuclear Information System (INIS)

    Amol Mhatre; Kalsi, P.C.

    2011-01-01

    The gamma irradiation effects in the dose range of 2.5-43.0 Mrad on the etching and optical characteristics of CR-39 solid state nuclear track detector (SSNTD) have been studied by using etching and UV-Visible spectroscopic techniques. From the measured bulk etch rates at different temperatures, the activation energies for bulk etching at different doses have also been determined. It is seen that the bulk etch rates increase and the activation energies for bulk etching decrease with the increase in gamma dose. The optical band gaps of the unirradiated and the gamma -irradiated detectors determined from the UV-Visible spectra were found to decrease with the increase in gamma dose. These results have been explained on the basis of scission of the detector due to gamma irradiation. The present studies can be used for the estimation of gamma dose in the range of 2.5-43.0 Mrad and can also be used for estimating track registration efficiency in the presence of gamma dose. The CR-39 detector has also been applied for the assay of uranium in some soil samples of Jammu city. (author)

  20. Calibration and GEANT4 Simulations of the Phase II Proton Compute Tomography (pCT) Range Stack Detector

    Energy Technology Data Exchange (ETDEWEB)

    Uzunyan, S. A. [Northern Illinois Univ., DeKalb, IL (United States); Blazey, G. [Northern Illinois Univ., DeKalb, IL (United States); Boi, S. [Northern Illinois Univ., DeKalb, IL (United States); Coutrakon, G. [Northern Illinois Univ., DeKalb, IL (United States); Dyshkant, A. [Northern Illinois Univ., DeKalb, IL (United States); Francis, K. [Northern Illinois Univ., DeKalb, IL (United States); Hedin, D. [Northern Illinois Univ., DeKalb, IL (United States); Johnson, E. [Northern Illinois Univ., DeKalb, IL (United States); Kalnins, J. [Northern Illinois Univ., DeKalb, IL (United States); Zutshi, V. [Northern Illinois Univ., DeKalb, IL (United States); Ford, R. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Rauch, J. E. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Rubinov, P. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Sellberg, G. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Wilson, P. [Fermi National Accelerator Lab. (FNAL), Batavia, IL (United States); Naimuddin, M. [Univ. of Delhi, New Delhi (India)

    2015-12-29

    Northern Illinois University in collaboration with Fermi National Accelerator Laboratory (FNAL) and Delhi University has been designing and building a proton CT scanner for applications in proton treatment planning. The Phase II proton CT scanner consists of eight planes of tracking detectors with two X and two Y coordinate measurements both before and after the patient. In addition, a range stack detector consisting of a stack of thin scintillator tiles, arranged in twelve eight-tile frames, is used to determine the water equivalent path length (WEPL) of each track through the patient. The X-Y coordinates and WEPL are required input for image reconstruction software to find the relative (proton) stopping powers (RSP) value of each voxel in the patient and generate a corresponding 3D image. In this Note we describe tests conducted in 2015 at the proton beam at the Central DuPage Hospital in Warrenville, IL, focusing on the range stack calibration procedure and comparisons with the GEANT~4 range stack simulation.

  1. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  2. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    Science.gov (United States)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  3. Pershore made CR-39(DOP) as a 1.015 GeV/n 197Au-ion detector

    International Nuclear Information System (INIS)

    Bhattacharyya, D.P.; Chakrabarty, S.; Rakshit, R.; Basu, B.; Pal, P.; Biswas, S.

    1993-01-01

    Pershore made CR-39(DOP) stack was exposed at a zenith angle of 30deg by 197 Au-ions of energy 1.015 GeV/n using LBL BEVALAC beam. The top of the irradiated plate of the stack has been etched in 6.25N NaOH solution at 70degC for one hour. About 1202 cone lengths were optically measured. The estimated etch rate ratio of the incident 197 Au projectile beam in CR-39 has been found to be 27±2. The result has been compared with earlier observation. The charge resolution of the detector has been estimated from the average of double cone lengths and has been found to have a value of (0.58±0.03)e for 197 Au-ions. (orig.)

  4. Radon measurements by etched track detectors applications in radiation protection, earth sciences and the environment

    CERN Document Server

    Durrani, Saeed A

    1997-01-01

    Exposure to radon gas, which is present in the environment naturally, constitutes over half the radiation dose received by the general public annually. At present, the most widely used method of measuring radon concentration levels throughout the world, both in dwellings and in the field, is by etched track detectors - also known as Solid State Nuclear Detectors (SSNTDs). Although this is not only the most widely used method but is also the simplest and the cheapest, yet there is at present no book available on the market globally, devoted exclusively or largely to the methodology of, and deal

  5. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  6. A spatial track formation model and its use for calculating etch-pit parameters of light nuclei

    International Nuclear Information System (INIS)

    Somogyi, G.; Scherzer, R.; Grabisch, K.; Enge, W.

    1976-01-01

    A generalized geometrical model of etch-pit formation in three dimensions is presented for nuclear particles entering isotropic solids at arbitrary angles of incidence. With this model one can calculate the relations between any particle parameter /Z = charge, M = mass, R = range, theta = angle of incidence/ and etching or track parameter /h = removed detector layer, L = track length, d = track diameter, etch-pit profile and contour/ for track etching rates varying monotonically along the trajectory of particles. Using a computer algorithm, calculations have been performed to study identification problems of nuclei of Z = 1-8 registered in a stack of polycarbonate sheets. For these calculations the etching rate ratio vs residual range curves were parametrized with a form of V -1 (R) = 1-Σasub(i) exp (- bsub(i)R) which does not involve the existence of a threshold for track registration. Particular attention was paid to the study of the evolution of etch-pit sizes for relatively high values of h. For this case, data are presented for the charge and isotope resolving power of the identification methods based on the relations L(R) of d(R). Calculations were also made to show the effect of the relative /parallel and opposite/ orientations between the directions of track etching and particle speed on etch-pit evolution. These studies offered new identification methods based on the determination of the curves L(parallel) vs L(opposite) and d(parallel) vs d(opposite), respectively. (orig.) [de

  7. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  8. Absorption phenomenon study of low energies gamma rays in the Eurogam detector STACK

    International Nuclear Information System (INIS)

    Wei, L.; Duchene, G.; Beck, F.A.; Curien, D.; France, G. de; Moszynski, M.; Santos, D.

    1991-05-01

    The composite 4 π γ detector STACK is made of a pile of Ge Crystals in which the 2 circular surfaces are active, one implanted with Boron, the other diffused with Lithium and introducing a dead zone. The goal of this study is to research the dead zones and to study their influence upon the detection efficiency [fr

  9. Identification of charged particles by etching the solid state nuclear track detectors in successive intervals

    International Nuclear Information System (INIS)

    Randhawa, G.S.; Virk, H.S.

    1997-01-01

    The suitability of the method of charged particle identification by etching the samples in successive intervals developed by Grabez et al. has been checked in CR-39 exposed to heavy ions 238 U, 208 Pb, 197 Au and 132 Xe in the interval 11.0 to 17.0 MeV/u. A similar study has been made on soda glass detectors irradiated by 238 U, 132 Xe, 56 Fe and 48 Ti ions having energy 4.0 to 6.0 MeV/u. It is concluded that this method of particle identification can be used successfully in CR-39 and soda glass detectors. (author)

  10. Sources of error in etched-track radon measurements and a review of passive detectors using results from a series of radon intercomparisons

    International Nuclear Information System (INIS)

    Ibrahimi, Z.-F.; Howarth, C.B.; Miles, J.C.H.

    2009-01-01

    Etched-track passive radon detectors are a well established and apparently simple technology. As with any measurement system, there are multiple sources of uncertainty and potential for error. The authors discuss these as well as good quality assurance practices. Identification and assessment of sources of error is crucial to maintain high quality standards by a measurement laboratory. These sources can be found both within and outside the radon measurement laboratory itself. They can lead to changes in track characteristics and ultimately detector response to radon exposure. Changes don't just happen during etching, but can happen during the recording or counting of etched-tracks (for example ageing and fading effects on track sensitivity, or focus and image acquisition variables). Track overlap means the linearity of response of detectors will vary as exposure increases. The laboratory needs to correct the calibration curve due to this effect if it wishes to offer detectors that cover a range of exposures likely to be observed in the field. Extrapolation of results to estimate annual average concentrations also has uncertainty associated with it. Measurement systems need to be robust, reliable and stable. If a laboratory is not actively and constantly monitoring for anomalies via internal testing, the laboratory may not become aware of a problem until some form of external testing occurs, eg an accreditation process, performance test, interlaboratory comparison exercise or when a customer has cause to query results. Benchmark standards of accuracy and precision achievable with passive detectors are discussed drawing on trends from the series of intercomparison exercises for passive radon detectors which began in 1982, organised by the National Radiological Protection Board (NRPB), subsequently the Health Protection Agency (HPA).

  11. Etched track radiometers in radon measurements: a review

    CERN Document Server

    Nikolaev, V A

    1999-01-01

    Passive radon radiometers, based on alpha particle etched track detectors, are very attractive for the assessment of radon exposure. The present review considers various devices used for measurement of the volume activity of radon isotopes and their daughters and determination of equilibrium coefficients. Such devices can be classified into 8 groups: (i) open or 'bare' detectors, (ii) open chambers, (iii) sup 2 sup 2 sup 2 Rn chambers with an inlet filter, (iv) advanced sup 2 sup 2 sup 2 Rn radiometers, (v) multipurpose radiometers, (vi) radiometers based on a combination of etched track detectors and an electrostatic field, (vii) radiometers based on etched track detectors and activated charcoal and (viii) devices for the measurement of radon isotopes and/or radon daughters by means of track parameter measurements. Some of them such as the open detector and the chamber with an inlet filter have a variety of modifications and are applied widely both in geophysical research and radon dosimetric surveys. At the...

  12. SiliPET: An ultra-high resolution design of a small animal PET scanner based on stacks of double-sided silicon strip detector

    International Nuclear Information System (INIS)

    Di Domenico, Giovanni; Zavattini, Guido; Cesca, Nicola; Auricchio, Natalia; Andritschke, Robert; Schopper, Florian; Kanbach, Gottfried

    2007-01-01

    We investigated with Monte Carlo simulations, using the EGSNrcMP code, the capabilities of a small animal PET scanner based on four stacks of double-sided silicon strip detectors. Each stack consists of 40 silicon detectors with dimension of 60x60x1 mm 3 and 128 orthogonal strips on each side. Two coordinates of the interaction are given by the strips, whereas the third coordinate is given by the detector number in the stack. The stacks are arranged to form a box of 5x5x6 cm 3 with minor sides opened; the box represents the minimal FOV of the scanner. The performance parameters of the SiliPET scanner have been estimated giving a (positron range limited) spatial resolution of 0.52 mm FWHM, and an absolute sensitivity of 5.1% at the center of system. Preliminary results of a proof of principle measurement done with the MEGA advanced Compton imager using a ∼1 mm diameter 22 Na source, showed a focal ray tracing FWHM of 1 mm

  13. Dosimetry and microdosimetry using LET spectrometer based on the track-etch detector: radiotherapy Bremsstrahlung beam, onboard aircraft radiation field

    International Nuclear Information System (INIS)

    Jadrnickova, I.; Spurny, F.

    2006-01-01

    The spectrometer of linear energy transfer (Let) based on the chemically etched poly-allyl-diglycol-carbonate (P.A.D.C.) track-etch detector was developed several years ago in our institute. This Let spectrometer enables determining Let of particles approximately from 10 to 700 keV/μm. From the Let spectra, dose characteristics can be calculated. The contribution presents the Let spectra and other dosimetric characteristics obtained onboard a commercial aircraft during more than 6 months long exposure and in the 18 MV radiotherapy Bremsstrahlung beam. (authors)

  14. UV detector based on InAlN/GaN-on-Si HEMT stack with photo-to-dark current ratio > 107

    Science.gov (United States)

    kumar, Sandeep; Pratiyush, Anamika Singh; Dolmanan, Surani B.; Tripathy, Sudhiranjan; Muralidharan, Rangarajan; Nath, Digbijoy N.

    2017-12-01

    We demonstrate an InAlN/GaN-on-Si high electron mobility transistor based UV detector with a photo-to-dark current ratio of >107. The Ti/Al/Ni/Au metal stack was evaporated and thermal annealed rapidly for Ohmic contacts to the 2D electron gas (2DEG) at the InAlN/GaN interface, while the channel + barrier was recess etched to a depth of 20 nm to pinch-off the 2DEG between Source-Drain pads. A spectral responsivity (SR) of 32.9 A/W at 367 nm was measured at 5 V. A very high photo-to-dark current ratio of >107 was measured at a bias of 20 V. The photo-to-dark current ratio at a fixed bias was found to be decreasing with an increase in the recess length of photodetectors. The fabricated devices were found to exhibit a UV-to-visible rejection ratio of >103 with a low dark current of < 32 pA at 5 V. Transient measurements showed rise and fall times in the range of 3-4 ms. The gain mechanism was investigated, and carrier lifetimes were estimated which matched well with those reported elsewhere.

  15. Effect of neutron irradiation on etching, optical and structural properties of microscopic glass slide used as a solid state nuclear track detector

    International Nuclear Information System (INIS)

    Singh, Surinder; Kaur Sandhu, Amanpreet; Prasher, Sangeeta; Prakash Pandey, Om

    2007-01-01

    Microscopic glass slides are soda-lime glasses which are readily available and are easy to manufacture with low production cost. The application of these glasses as nuclear track detector will help us to make use of these glasses as solid-state nuclear track detector. The present paper describes the variation in the etching, optical and structural properties of the soda-lime microscopic glass slides due to neutron irradiation of different fluences. The color transformation and an increase in the optical absorption with neutron irradiation are observed. Both the bulk and track etch rates are found to increase with neutron fluence, thus showing a similar dependence on neutron fluence, but the sensitivity remains almost constant

  16. Track-etched detectors for the dosimetry of the radiation of cosmic origin

    International Nuclear Information System (INIS)

    Spurny, F.; Turek, K.

    2004-01-01

    Cosmic rays contribute to the exposure on the Earth's surface as well as in its surroundings. At the surface and/or at aviation altitudes, there are mostly secondary particles created through the cosmic rays interaction in the atmosphere, which contribute to this type of exposure. Onboard a spacecraft, the exposure comes mostly from primary cosmic rays. Track-etched detectors (TED) are able to characterise both these types of exposure. The contribution of neutrons, of cosmic origin, on the Earth's surface was studied at altitudes from few hundreds to 3000 m using TED in a moderator sphere. The results obtained are compared with other data on this type of natural radiation background. The results of studies performed onboard aircraft and/or spacecraft are presented afterwards. We used TED-based neutron dosemeter, as well as a spectrometer of linear energy transfer based on a chemically etched TED. The results of studies performed onboard aircraft, as well as spacecraft, are presented and discussed, including an attempt to estimate a neutron component onboard the spacecraft. It was found that they correlate with the results of other independent investigations. (authors)

  17. CR-39 nuclear track detector used for neutron dosimetry: system calibration

    International Nuclear Information System (INIS)

    Saint Martin, G.; Lopez, F.; Bernaola, Omar A.

    2009-01-01

    Stacks composed by 1 mm thickness CR-39 foils and polyethylene and PVC films were evaluated to be used as neutron dosemeters. Irradiations were made with a calibrated 241 Am-Be source in a dose range from 0 to 3.1 mSv and the etching conditions were optimized. The measurements of number of tracks per surface unit in the CR-39 detectors showed a good linear behaviour as a function of the dose. The minimum detectable dose equivalent (MDDE) was calculated. (author)

  18. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  19. Measurement of radon and thoron present in the environment using nuclear track etch detector technique

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Lalit, B.Y.; Mishra, U.C.

    1986-01-01

    The use of solid state nuclear track detectors (SSNTD) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess the radon and thoron concentrations in some high background areas of South India and underground non-uranium mines in Bihar State. Exposed SSNTD films are chemically etched in an alkali solution and the alpha tracks are evaluated under an optical microscope. The detailed procedure for this study and the calibration of the etched films for conversion of alpha track density to radon and thoron concentrations in pCi l -1 are given in this paper. It was found that 1.9 tracks cm -2 day -1 and 6.2 tracks cm -2 day -1 were produced by exposing the LR-115 foils to 1 pCi l -1 of thoron and radon respectively. (author)

  20. A stacked CdTe pixel detector for a compton camera

    International Nuclear Information System (INIS)

    Oonuki, Kousuke; Tanaka, Takaaki; Watanabe, Shin; Takeda, Shin'ichiro; Nakazawa, Kazuhiro; Ushio, Masayoshi; Mitani, Takefumi; Takahashi, Tadayuki; Tajima, Hiroyasu

    2007-01-01

    We are developing a semiconductor Compton telescope to explore the universe in the energy band from several tens of keV to a few MeV. A detector material of combined Si strip and CdTe pixel is used to cover the energy range around 60keV. For energies above several hundred keV, in contrast, the higher detection efficiency of CdTe semiconductor in comparison with Si is expected to play an important role as both an absorber and a scatterer. In order to demonstrate the spectral and imaging capability of a CdTe-based Compton camera, we developed a Compton telescope consisting of a stack of CdTe pixel detectors as a small scale prototype. With this prototype, we succeeded in reconstructing images and spectra by solving the Compton kinematics within the energy band from 122 to 662keV. The energy resolution (FWHM) of reconstructed spectra is 7.3keV at 511keV. The angular resolution obtained at 511keV is measured to be 12.2 deg. (FWHM)

  1. SiliPET: An ultra high resolution design of a small animal PET scanner based on double sided silicon strip detector stacks

    International Nuclear Information System (INIS)

    Zavattini, G.; Cesca, N.; Di Domenico, G.; Moretti, E.; Sabba, N.

    2006-01-01

    We investigated the capabilities of a small animal PET scanner, named SiliPET, based on four stacks of double sided silicon strips detectors. Each stack consists of 40 silicon detectors with dimension 60x60x1mm 3 . These are arranged to form a box 5x5x6cm 3 with minor sides opened; the box represents the maximal FOV of the scanner. The performance parameters of SiliPET scanner have been estimated, giving an intrinsic spatial resolution of 0.52mm and a sensitivity of 5.1% at the center of the system

  2. Fission studies of gold induced by (1665 MeV) π- using a CR-39 detector

    International Nuclear Information System (INIS)

    Muhammad Ikram Shahzad; Yasin, Zafar; Sher, Gul

    2012-01-01

    The fission cross section and fission probability of 197 Au, induced by (1665 MeV) π'-, have been studied using CR-39 track detectors. A 4π-geometry was used to count track statistics. A beam of negative pions of 1665 MeV was produced at AGS of Brookhaven National Laboratory, USA, and allowed to fall normally on the stack. Two detectors from the stack were scanned for fission fragment tracks after etching in 6N NaOH at 70 ℃. The statistics of fission fragment tracks in both detectors were obtained. It was found that there was a marked asymmetry of registered tracks with respect to the forward and backward hemispheres. This asymmetry could be partly accounted for on the basis of momentum transfer to the struck nucleus. On the basis of counting statistics fission cross section was measured, and fission probability was determined by dividing the fission cross section with the reaction cross section. The fission cross-section and fission probability were compared with the computed values using the cascade-exciton model code CEM95. (authors)

  3. Vectors and submicron precision: redundancy and 3D stacking in silicon pixel detectors

    CERN Document Server

    Heijne, E H M; Wong, W; Idarraga, J; Visser, J; Jakubek, J; Leroy, C; Turecek, D; Visschers, J; Pospisil, S; Ballabriga, R; Vykydal, Z; Vermeulen, J; Plackett, R; Heijne, E H M; Llopart, X; Boltje, D; Campbell, M

    2010-01-01

    Measurements are shown of GeV pions and muons in two 300 mu m thick, Si Medipix pixel detector assemblies that are stacked on top of each other, with a 25 mu m thick brass foil in between. In such a radiation imaging semiconductor matrix with a large number of pixels along the particle trail, one can determine local space vectors for the particle trajectory instead of points. This improves pattern recognition and track reconstruction, especially in a crowded environment. Stacking of sensor planes is essential for resolving directional ambiguities. Signal charge sharing can be employed for measuring positions with submicron precision. In the measurements one notices accompanying `delta' electrons that emerge outside the particle trail, far beyond the boundaries of the 55 mu m pixel cells. The frequency of such corrupted position measurements is similar to one per 2.5mm of traversed Si.

  4. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  5. Solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Medeiros, J.A.; Carvalho, M.L.C.P. de

    1992-12-01

    Solid state nuclear track detectors (SSNTD) are dielectric materials, crystalline or vitreous, which registers tracks of charged nuclear particles, like alpha particles or fission fragments. Chemical etching of the detectors origin tracks that are visible at the optical microscope: track etching rate is higher along the latent track, where damage due to the charged particle increase the chemical potential, and etching rate giving rise to holes, the etched tracks. Fundamental principles are presented as well as some ideas of main applications. (author)

  6. SENSITIVITY OF STACKED IMAGING DETECTORS TO HARD X-RAY POLARIZATION

    Energy Technology Data Exchange (ETDEWEB)

    Muleri, Fabio; Campana, Riccardo, E-mail: fabio.muleri@iaps.inaf.it [INAF-IAPS, Via del Fosso del Cavaliere 100, I-00133 Roma (Italy)

    2012-06-01

    The development of multi-layer optics which allow to focus photons up to 100 keV and more promises an enormous jump in sensitivity in the hard X-ray energy band. This technology is already planned to be exploited by future missions dedicated to spectroscopy and imaging at energies >10 keV, e.g., Astro-H and NuSTAR. Nevertheless, our understanding of the hard X-ray sky would greatly benefit from carrying out contemporaneous polarimetric measurements, because the study of hard spectral tails and of polarized emission are often two complementary diagnostics of the same non-thermal and acceleration processes. At energies above a few tens of keV, the preferred technique to detect polarization involves the determination of photon directions after a Compton scattering. Many authors have asserted that stacked detectors with imaging capabilities can be exploited for this purpose. If it is possible to discriminate those events which initially interact in the first detector by Compton scattering and are subsequently absorbed by the second layer, then the direction of scattering is singled out from the hit pixels in the two detectors. In this paper, we give the first detailed discussion of the sensitivity of such a generic design to the X-ray polarization. The efficiency and the modulation factor are calculated analytically from the geometry of the instruments and then compared with the performance as derived by means of Geant4 Monte Carlo simulations.

  7. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  8. Gamma dosimetry with CR-39 etch track detector

    International Nuclear Information System (INIS)

    Matiullah; Dogar, A.H.; Ahmad, N.; Amin, M.; Kudo, Katsuhisa

    1999-01-01

    To preserve and improve the safety of food for commercial purposes, it is exposed to high gamma-ray doses. The gamma-ray doses used for this purpose range from 0.15 kGy to 50 kGy. At such high doses, the etching characteristics of CR-39 are severely affected. This property, therefore, can be used to develop a CR-39-based gamma dosimeter. In this context, systematic studies were carried out and the bulk etching rate was determined as a function of gamma-ray dose using different methods. (author)

  9. Radiation dosimetry for microbial experiments in the International Space Station using different etched track and luminescent detectors

    International Nuclear Information System (INIS)

    Goossens, O.; Vanhavere, F.; Leys, N.; De Boever, P.; O'Sullivan, D.; Zhou, D.; Spurny, F.; Yukihara, E. G.; Gaza, R.; McKeever, S. W. S.

    2006-01-01

    The laboratory of Microbiology at SCK.CEN, in collaboration with different universities, participates in several ESA programmes with bacterial experiments that are carried out in the International Space Station (ISS). The main objective of these programmes is to study the effects of space flight conditions such as microgravity and cosmic radiation on the general behaviour of model bacteria. To measure the radiation doses received by the bacteria, different detectors accompanied the microbiological experiments. The results obtained during two space flight missions are discussed. This dosimetry experiment was a collaboration between different institutes so that the doses could be estimated by different techniques. For measurement of the high linear energy transfer (LET) doses (>10 keV μm -1 ), two types of etched track detectors were used. The low LET part of the spectrum was measured by three types of thermoluminescent detectors ( 7 LiF:Mg,Ti; 7 LiF:Mg,Cu,P; Al 2 O 3 :C) and by the optically stimulated luminescence technique using Al 2 O 3 :C detectors. (authors)

  10. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  11. A fast neutron spectrometer based on an electrochemically etched CR-39 detector with degrader and front radiator

    International Nuclear Information System (INIS)

    Matiullah; Durrani, S.A.

    1987-01-01

    In addition to having promising applications for the development of a fast-neutron dosemeter, electrochemically etched (ECE) CR-39 detectors also offer the possibility of energy-selective fast-neutron detection. This property stems basically from the fact that, to produce 'sparkable' trails in the polymeric detector subjected to ECE, the charged particle resulting from a neutron interaction must fall within a definite 'energy window'. The lower and upper limits of proton energies that can yield ECE spots in CR-39 have been experimentally determined to be ∼ 50 keV and ∼ 2.2 MeV under our processing conditions. To accomplish our objective, we have developed a technique based on ECE spot-density measurements in CR-39 detectors placed in conjuction with judiciously chosen thicknesses of a polyethylene radiator and a lead degrader. The optimum thicknesses of the radiator and the degrader, for a given neutron energy, are determined by computer calculations. (author)

  12. Microdosimetry for a carbon ion beam using track-etched detectors

    International Nuclear Information System (INIS)

    Ambrozova, I.; Ploc, O.; Davidkova, M.; Vondracek, V.; Sefl, M.; Stepan, V.; Pachnerova Brabcova, K.; Incerti, S.

    2015-01-01

    Track-etched detectors (TED) have been used as linear energy transfer (LET) spectrometers in heavy ion beams for many years. LET spectra and depth -dose distribution of a carbon ion beam were measured behind polymethylmethacrylate degraders at Heavy Ion Medical Accelerator in Chiba, Japan. The measurements were performed along monoenergetic beam with energy 290 MeV u -1 in different positions: (1) at beam extraction area, (2) at beginning, (3) maximum and (4) behind the Bragg peak region (0, 117, 147 and 151 mm of water-equivalent depth, respectively). The LET spectra inside and outside of the primary ion beam have been evaluated. TED record only heavy charged particles with LET above 8 -10 keV μm -1 , while electrons and ions with lower LET are not detected. The Geant4 simulation toolkit version 4.9.6.P01 has been used to estimate the contribution of non-detected particles to absorbed dose. Presented results demonstrate the applicability of TED for microdosimetry measurements in therapeutic carbon ion beams. (authors)

  13. 3D monolithically stacked CMOS active pixel sensor detectors for particle tracking applications

    International Nuclear Information System (INIS)

    Passeri, D; Placidi, P; Servoli, L; Meroli, S; Magalotti, D; Marras, A

    2012-01-01

    In this work we propose an innovative approach to particle tracking based on CMOS Active Pixel Sensors layers, monolithically integrated in an all-in-one chip featuring multiple, stacked, fully functional detector layers capable to provide momentum measurement (particle impact point and direction) within a single detector. This will results in a very low material detector, thus dramatically reducing multiple scattering issues. To this purpose, we rely on the capabilities of the CMOS vertical scale integration (3D IC) technology. A first chip prototype has been fabricated within a multi-project run using a 130 nm CMOS Chartered/Tezzaron technology, featuring two layers bonded face-to-face. Tests have been carried out on full 3D structures, providing the functionalities of both tiers. To this purpose, laser scans have been carried out using highly focussed spot size obtaining coincidence responses of the two layers. Tests have been made as well with X-ray sources in order to calibrate the response of the sensor. Encouraging results have been found, fostering the suitability of both the adopted 3D-IC vertical scale fabrication technology and the proposed approach for particle tracking applications.

  14. Comparison of neutron dose measured by Albedo TLD and etched tracks detector at PNC plutonium fuel facilities

    International Nuclear Information System (INIS)

    Tsujimura, N.; Momose, T.; Shinohara, K.; Ishiguro, H.

    1996-01-01

    Power Reactor and Nuclear Fuel Development Corporation (PNC) has fabricated Plutonium and Uranium Mixed OXide (MOX) fuel for FBR MONJU at Tokai works. In this site, PNC/Panasonic albedo TLDs/1/ are used for personnel neutron monitoring. And a part of workers wore Etched Tracks Detector (ETD) combined with TLD in order to check the accuracy of the neutron dose estimated by albedo TLD. In this paper, the neutron dose measured by TLD and ETD in the routine monitoring is compared at PNC plutonium fuel facilities. (author)

  15. Study of α-energy discrimination in CR-39 track etch detectors for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.; Al-Najjar, S.A.R.; Piesch, E.

    1988-01-01

    The properties of CR 39 nuclear track detectors were evaluated for their α-energy discrimination up to 8.77 MeV using a combination of chemical-electrochemical track revealing techniques. Using three field strengths, α-energy discrimination by ECE track diameter and track density as a function of chemical pre-etching time were studied. α-energy spectra using different irradiation geometries were then evaluated using the optimum conditions and then compared with those obtained form other techniques. (author)

  16. Field calibration of PADC track etch detectors for local neutron dosimetry in man using different radiation qualities

    Energy Technology Data Exchange (ETDEWEB)

    Haelg, Roger A., E-mail: rhaelg@phys.ethz.ch [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Besserer, Juergen [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Boschung, Markus; Mayer, Sabine [Division for Radiation Safety and Security, Paul Scherrer Institut, CH-5232 Villigen (Switzerland); Clasie, Benjamin [Department of Radiation Oncology, Massachusetts General Hospital, 30 Fruit Street, Boston, MA 02114 (United States); Kry, Stephen F. [Department of Radiation Physics, The University of Texas M.D. Anderson Cancer Center, 1515 Holcombe Blvd., Houston, TX 77030 (United States); Schneider, Uwe [Institute for Radiotherapy, Radiotherapie Hirslanden AG, Hirslanden Medical Center, Rain 34, CH-5000 Aarau (Switzerland); Vetsuisse Faculty, University of Zurich, Winterthurerstrasse 204, CH-8057 Zurich (Switzerland)

    2012-12-01

    In order to quantify the dose from neutrons to a patient for contemporary radiation treatment techniques, measurements inside phantoms, representing the patient, are necessary. Published reports on neutron dose measurements cover measurements performed free in air or on the surface of phantoms and the doses are expressed in terms of personal dose equivalent or ambient dose equivalent. This study focuses on measurements of local neutron doses inside a radiotherapy phantom and presents a field calibration procedure for PADC track etch detectors. An initial absolute calibration factor in terms of H{sub p}(10) for personal dosimetry is converted into neutron dose equivalent and additional calibration factors are derived to account for the spectral changes in the neutron fluence for different radiation therapy beam qualities and depths in the phantom. The neutron spectra used for the calculation of the calibration factors are determined in different depths by Monte Carlo simulations for the investigated radiation qualities. These spectra are used together with the energy dependent response function of the PADC detectors to account for the spectral changes in the neutron fluence. The resulting total calibration factors are 0.76 for a photon beam (in- and out-of-field), 1.00 (in-field) and 0.84 (out-of-field) for an active proton beam and 1.05 (in-field) and 0.91 (out-of-field) for a passive proton beam, respectively. The uncertainty for neutron dose measurements using this field calibration method is less than 40%. The extended calibration procedure presented in this work showed that it is possible to use PADC track etch detectors for measurements of local neutron dose equivalent inside anthropomorphic phantoms by accounting for spectral changes in the neutron fluence.

  17. Direct determination of bulk etching rate for LR-115-II solid state ...

    Indian Academy of Sciences (India)

    The thickness of the removed layer of the LR-115-II solid state nuclear track detector during etching is measured directly with a rather precise instrument. Dependence of bulk etching rate on temperature of the etching solution is investigated. It has been found that the bulk etching rate is 3.2 m/h at 60°C in 2.5 N NaOH of ...

  18. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  19. Development of a new approach to simulate a particle track under electrochemical etching in polymeric detectors

    International Nuclear Information System (INIS)

    Mostofizadeh, Ali; Huang, Yudong; Kardan, M. Reza; Babakhani, Asad; Sun Xiudong

    2012-01-01

    A numerical approach based on image processing was developed to simulate a particle track in a typical polymeric detector, e.g., polycarbonate, under electrochemical etching. The physical parameters such as applied voltage, detector thickness, track length, the radii of curvature at the tip of track, and the incidence angle of the particle were considered, and then the boundary condition of the problem was defined. A numerical method was developed to solve Laplace equation, and then the distribution of the applied voltage was obtained through the polymer volume. Subsequently, the electric field strengths in the detector elements were computed. In each step of the computation, an image processing technique was applied to convert the computed values to grayscale images. The results showed that a numerical solution to Laplace equation is dedicatedly an attractive approach to provide us the accurate values of electric field strength through the polymeric detector volume as well as the track area. According to the results, for a particular condition of the detector thickness equal to 445 μm, track length of 21 μm, the radii of 2.5 μm at track tip, the incidence angle of 90°, and the applied voltage of 2080 V, after computing Laplace equation for an extremely high population of 4000 × 4000 elements of detector, the average field strength at the tip of track was computed equal to 0.31 MV cm −1 which is in the range of dielectric strength for polymers. The results by our computation confirm Smythe’s model for estimating the ECE-tracks.

  20. Development of a Photoelectrochemical Etch Process to Enable Heterogeneous Substrate Integration of Epitaxial III-Nitride Semiconductors

    Science.gov (United States)

    2017-12-01

    release stack. Recently, this technique has been refined with band engineering within the release layer7 and extended to the point where it has been...liftoff. Mesas with a 200-μm diameter are lithographically defined and etched down to a depth of approximately 450 nm using a plasma etching chemistry ...etch chemistry , bonding, and other materials processing vary, but the setup created for this project can be applied to others as well. Approved

  1. 3D electrostatic actuator fabricated by non-ablative femtosecond laser exposure and chemical etching

    Directory of Open Access Journals (Sweden)

    Yang Tao

    2015-01-01

    Full Text Available We demonstrate the novel design of an electrostatic micro-actuator based on monolithic three-dimensional (3D shapes fabricated by non-ablative femtosecond laser exposure combined with chemical etching. Further, we present a single-scan stacking approach exploited in the fabrication of the 3D actuator to create crack-free, highcontrast, high fidelity and integrated micro-structures. Influential parameters: energy per pulse, polarization, scanning spacing and stacking directionwere systematically studied to predict and control the etching rate of 3D planes.Finally, we report the characterization of the actuator and its potential application in optomechanics to show a complete scenario of femtosecond laser machined integrated 3D micro-systems incorporating multiple functionalities.

  2. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  3. Registration of alpha particles in Makrofol-E nuclear track detectors

    Energy Technology Data Exchange (ETDEWEB)

    Rammah, Y.S. [Physics Department, Faculty of Science, Menoufia University, Shebin El-Koom (Egypt); Abdalla, Ayman M., E-mail: aymanabdalla62@hotmail.com [Physics Department, Faculty of Sciences and Arts, Najran University, P. O. Box. 11001, Najran (Saudi Arabia); Promising Centre for Sensors and Electronic Devices, Faculty of Arts and Sciences, Najran University (Saudi Arabia); Ashraf, O., E-mail: osama.ashraf@edu.asu.edu.eg [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt); Ashry, A.H. [Physics Department, Faculty of Education, Ain Shams University, Cairo 11575 (Egypt)

    2016-06-15

    Highlights: • Makrofol-E detectors have been irradiated with alpha particles and fission fragments. • Fast detection of alpha particles in Makrofol-E detectors. • Bulk etching rate was calculated from fission track diameters. - Abstract: Fast detection of alpha particles in the range from 1 to 5 MeV in Makrofol-E polycarbonate nuclear track detectors (PCTDs) using a new chemical etchant was investigated. {sup 252}Cf and {sup 241}Am-thin open sources were used for irradiating Makrofol-E detectors with fission fragments and alpha particles in air at normal pressure and temperature (NPT). A chain of experimental work has been carried out using new etchants to register alpha particle in short time in Makrofol-E polycarbonate detectors. The etching efficiency were exhibited a clear dependence on the amount of methanol in the etching solution and etching time. The optimized chemical condition obtained at this stage of development for 200 μm Makrofol-E detectors are (8 ml of 10 N NaOH + 2 ml CH{sub 3}OH) etching solutions at 60 °C for 3 h. In this study; it is possible to observe energy detection windows for Makrofol-E detectors according to applied etching duration. Makrofol-E introduced the characteristic Bragg peak, which indicates the advantages of this detector as alpha spectrometer. Consequently, the suggested new etchant can be developed for heavy ions detection and monitoring radon levels and its daughters.

  4. Effects of high neutron doses and duration of the chemical etching on the optical properties of CR-39

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Paul, S.; Sharma, S.C.; Joshi, D.S.; Gupta, A.K.; Bandyopadhyay, T.

    2015-01-01

    Effects of the duration of chemical etching on the transmittance, absorbance and optical band gap width of the CR-39 (Polyallyl diglycol carbonate) detectors irradiated to high neutron doses (12.7, 22.1, 36.0 and 43.5 Sv) were studied. The neutrons were produced by bombardment of a thick Be target with 12 MeV protons of different fluences. The unirradiated and neutron-irradiated CR-39 detectors were subjected to a stepwise chemical etching at 1 h intervals. After each step, the transmission spectra of the detectors were recorded in the range from 200 to 900 nm, and the absorbances and optical band gap widths were determined. The effect of the etching on the light transmittance of unirradiated detectors was insignificant, whereas it was very significant in the case of the irradiated detectors. The dependence of the optical absorbance on the neutron dose is linear at short etching periods, but exponential at longer ones. The optical band gap narrows with increasing etching time. It is more significant for the irradiated dosimeters than for the unirradiated ones. The rate of the narrowing of the optical band gap with increasing neutron dose increases with increasing duration of the etching. - Highlights: • The variation of optical properties of CR-39 at very high neutron dose is analyzed. Etching process is found to play a crucial role for change in optical properties of neutron-irradiated CR-39. • The optical absorbance varies linearly at lower dose, at very high dose absorbance saturation occurs. The dose at which saturation absorbance is observed shifts towards lower neutron dose with increase in etching time. • The rate of decrease in optical band gap with respect to neutron dose is found to be more at higher etching durations

  5. Routes to a commercially viable PEM fuel cell stack

    Energy Technology Data Exchange (ETDEWEB)

    Newton, J.; Foster, S.E.; Hodgson, D.; Marrett, A.

    2002-07-01

    This report describes the results of a project to design and build a 10 kW{sub e} proton exchange membrane fuel cell (PEMFC) stack, including membrane electrode assemblies (MEAs), bipolar plates and stack hardware. The aim was to prove the design concept and to demonstrate functionality by operating the stack at >1 kW{sub e}/L and 500 W/kg for 200 hours operation. The project was extended to include the assembly and testing of two additional 1 kW{sub e} PEMFC stacks based on coated metal components. Low equivalent weight perfluorinated ionomer ion exchange membranes were prepared and were found to give a superior electrochemical performance to commercial materials. A technique to etch various stainless steel grades and control processes was successfully developed and optimised. Coatings for stainless steel and titanium were successfully developed and met the required performance criteria. All PEMFC stack components were selected and designed to enable subsequent commercial manufacture.

  6. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    Science.gov (United States)

    Jung-Kubiak, Cecile (Inventor); Reck, Theodore (Inventor); Chattopadhyay, Goutam (Inventor); Perez, Jose Vicente Siles (Inventor); Lin, Robert H. (Inventor); Mehdi, Imran (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  7. Interrelated temperature dependence of bulk etch rate and track length saturation time in CR-39 detector

    International Nuclear Information System (INIS)

    Azooz, A.A.; Al-Jubbori, M.A.

    2013-01-01

    Highlights: • New empirical parameterization of CR-39 bulk etch rate. • Bulk etch rates measurements using two different methods give consistent results. • Temperature independence of track saturation length. • Two empirical relation between bulk etch rate and temperature are suggested. • Simple inverse relation between bulk etch rate and track saturation time. -- Abstract: Experimental measurements of the etching solution temperature dependence of bulk etch rate using two independent methods revealed a few interesting properties. It is found that while the track saturation length is independent of etching temperature, the etching time needed to reach saturation is strongly temperature-dependent. It is demonstrated that there is systematic simple inverse relation between track saturation time, and etching solution temperature. In addition, and although, the relation between the bulk etch rate and etching solution temperature can be reasonably described by a modified form of the Arrhenius equation, better fits can be obtained by another equation suggested in this work

  8. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  9. 3D computation of the shape of etched tracks in CR-39 for oblique particle incidence and comparison with experimental results

    International Nuclear Information System (INIS)

    Doerschel, B.; Hermsdorf, D.; Reichelt, U.; Starke, S.; Wang, Y.

    2003-01-01

    Computation of the shape of etch pits needs to know the varying track etch rate along the particle trajectories. Experiments with alpha particles and 7 Li ions entering CR-39 detectors under different angles showed that this function is not affected by the inclination of the particle trajectory with respect to the normal on the detector surface. Track formation for oblique particle incidence can, therefore, be simulated using the track etch rates determined for perpendicular incidence. 3D computation of the track shape was performed applying a model recently described in literature. A special program has been written for computing the x,y,z coordinates of points on the etch pit walls. In addition, the etch pit profiles in sagittal sections as well as the contours of the etch pit openings on the detector surface have been determined experimentally. Computed and experimental results were in good agreement confirming the applicability of the 3D computational model in combination with the functions for the depth-dependent track etch rates determined experimentally

  10. Response of cellulose nitrate track detectors to electron doses

    CERN Document Server

    Segovia, N; Moreno, A; Vazquez-Polo, G; Santamaría, T; Aranda, P; Hernández, A

    1999-01-01

    In order to study alternative dose determination methods, the bulk etching velocity and the latent track annealing of LR 115 track detectors was studied during electron irradiation runs from a Pelletron accelerator. For this purpose alpha irradiated and blank detectors were exposed to increasing electron doses from 10.5 to 317.5 kGy. After the irradiation with electrons the detectors were etched under routine conditions, except for the etching time, that was varied for each electron dose in order to reach a fixed residual thickness. The variation of the bulk etching velocity as a function of each one of the electron doses supplied, was interpolated in order to obtain dosimetric response curves. The observed annealing effect on the latent tracks is discussed as a function of the total electron doses supplied and the temperature.

  11. Fast neutron detection using solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Vilela, E.C.

    1990-01-01

    CR-39 and Makrofol-E solid state nuclear track detectors were studied aiming their application to fast neutron detection. Optimum etching conditions of those two kinds of materials were determined the followings - the Makrofol-E detector is electrochemically etched in a PEW solution (15% KOH, 40% ethilic alcohol and 45% water) for 2 h., with an applied electric field strength of 30 kV/cm (r/m/s/) and frequency of 2 kHz, at room temperature; - the CR-39 detector is chemically pre-etched during 1 h in a 20% (w/v) NaOH solution at 70 sup(0)C, followed by 13 h electrochemical etch using the same solution at room temperature and an electric field strength of 30 kV/cm (r.m.s.) and frequency of 2 kHz.(E.G.)

  12. Long-term measurements of equilibrium factor with electrochemically etched CR-39 SSNTD

    International Nuclear Information System (INIS)

    Ng, F.M.F.; Nikezic, D.; Yu, K.N.

    2007-01-01

    Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222 Rn, 218 Po and 214 Po were the same, which made possible measurements of a proxy equilibrium factor F p that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 o C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50 deg., the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply

  13. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1977-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track-etching technique, mainly the etching situations related to detector geometry, and the relationship between registration sensitivity and the etching parameters are considered. Special attention is paid to the behaviour of track-revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization are discussed. (author)

  14. Processing of plastic track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1976-01-01

    A survey of some actual problems of the track processing methods available at this time for plastics is presented. In the case of the conventional chemical track etching technique mainly the etching situations related to detector geometry and the relationship of registration sensitivity and the etching parameters are considered. A special attention is paid to the behaviour of track revealing by means of electrochemical etching. Finally, some properties of a promising new track processing method based on graft polymerization is discussed. (orig.) [de

  15. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  16. The use of track-etch detectors for assessing radon concentrations

    International Nuclear Information System (INIS)

    Kendall, G.M.

    2002-01-01

    The author describes the track-etch dosimetry system used by the National Radiological Protection Board for measuring radon concentrations in dwellings and in workplaces. It also considers the criteria which should be satisfied by an ideal radon dosimetry system aimed at large-scale assessments of the risk from inhalation of radon decay products in room air. The present NRPB track-etch dosimetry system is matched against the requirements of such and ideal system. There is a brief description of the role of screening measurements designed to show whether there are dangerous levels of radon decay products in a house without giving an accurate assessment of their true long-term average concentration

  17. Effect of gamma irradiation on the etching properties of Lexan and Makrofol-DE polycarbonate plastics

    International Nuclear Information System (INIS)

    Ashok Kumar; Jain, R.K.; Praveen Yadav; Chakraborty, R.N.; Singh, B.K.; Nayak, B.K.

    2013-01-01

    It is observed that for Lexan and Makrofol-DE polycarbonate plastic detectors the mean diameters of fission fragments from a 252 Cf source increases as a result of gamma-ray exposure. We have studied the bulk etching rate and track etching rate before and after gamma-ray irradiation on Lexan and Makrofol-DE polycarbonate plastics. The mechanism of Lexan and Makrofol-DE polycarbonate plastic detectors can be understood with the help of this exposures. It is also noted that degree of ordering of Lexan and Makrofol-DE polycarbonate is dependent on the gamma ray dose due to degradation and cross-linking processes. The results show that bulk and track etch rate increases with gamma dose while activation energy associated with bulk and track etch rates at a particular temperature and sensitivity decreases with gamma dose. (author)

  18. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  19. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  20. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  1. Track-etch detection of radon in soils

    International Nuclear Information System (INIS)

    Cervantes Gonzales, P.; Gonzalez, D.

    1990-01-01

    In this work it is described the methodology to apply the track-etch technique, using detectors of nitrocellulose LR-115, for the detection of radon in soil. It is supported the use of the new detector carries and determined the parameters for revealing and counting of tracks in our conditions. It is shown in a preliminary way that this method gives better possibilities for analysis than another traditional technique to radon detection. The existence of radon was determined in the test zone. 15 refs

  2. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  3. Bulk etch rate of LR-115 cellulose nitrate film

    International Nuclear Information System (INIS)

    Harris, M.J.; Schlenker, R.A.

    1977-01-01

    Bulk etch rate (V/sub b/) of Kodak LR-115 cellulose nitrate film has been studied, and values for the parameter are presented. An interesting variability of V/sub b/ has been found which has implications for microdosimetry using this type of integrating nuclear track detector. Short-term and longer-term thickness changes have been observed which may increase the uncertainty in estimations of dose using this type of detector

  4. Beam profile measurement with CR-39 track detector for low-energy ions

    CERN Document Server

    Sato, F; Tanaka, T; Iida, T; Yamauchi, T; Oda, K

    1999-01-01

    A CR-39 track detector was successfully used to measure the outline of thin low-energy ion beams. After the etching, the surface of the detector was examined with an observation system composed of a Normarski microscope, a CCD camera and a digital image processing computer. Beam images obtained with the system were in good agreement on the outline of the beam formed with a beam aperture. Also, the resolving power in the beam outline measurement was roughly explained from the consideration of the ion range and the etch-pit growth in the chemical etching for the CR-39 detector.

  5. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  6. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  7. Nuclear track detector kit for use in teaching

    Energy Technology Data Exchange (ETDEWEB)

    Medveczky, L.; Somogyi, G.; Nagy, M.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations.

  8. Calibration of Nuclear Track Detectors

    International Nuclear Information System (INIS)

    Vukovic, J.B; Antanasijevic, R.; Novakovic, V.; Tasic, M.

    1998-01-01

    In this work we compare some of our preliminary results relating to the calibration Nuclear Track Detectors (NTD) with corresponding results obtained from other participants at the First International Intercomparison of Image Analyzers (III 97/98). Thirteen laboratories from Algeria, China, Czech Rep., France. Germany, Greece, Hungary, India, Italy, Mexico, Saudi Arabia, Slovenia and Yugoslavia participated in the III A 97/98. The NTD was 'Tustrack', Bristol. This type of CR-39 detector was etched by the organizer (J.Paltarey of al, Atomic Energy Research Institute, HPD, Budapest, Hungary). Etching condition was: 6N NaOH, 70 0C . Seven series detectors were exposed with the sources: B(n,a)Li, Am-241, Pu-Be(n,p), Radon and Am-Cm-Pu. Following parameters of exposed detectors were measured: track density of different sorts of tracks (circular, elliptical, track overlapping, their diameters, major and minor axis and other). (authors)

  9. Reducing the layer number of AB stacked multilayer graphene grown on nickel by annealing at low temperature.

    Science.gov (United States)

    Velasco, J Marquez; Giamini, S A; Kelaidis, N; Tsipas, P; Tsoutsou, D; Kordas, G; Raptis, Y S; Boukos, N; Dimoulas, A

    2015-10-09

    Controlling the number of layers of graphene grown by chemical vapor deposition is crucial for large scale graphene application. We propose here an etching process of graphene which can be applied immediately after growth to control the number of layers. We use nickel (Ni) foil at high temperature (T = 900 °C) to produce multilayer-AB-stacked-graphene (MLG). The etching process is based on annealing the samples in a hydrogen/argon atmosphere at a relatively low temperature (T = 450 °C) inside the growth chamber. The extent of etching is mainly controlled by the annealing process duration. Using Raman spectroscopy we demonstrate that the number of layers was reduced, changing from MLG to few-layer-AB-stacked-graphene and in some cases to randomly oriented few layer graphene near the substrate. Furthermore, our method offers the significant advantage that it does not introduce defects in the samples, maintaining their original high quality. This fact and the low temperature our method uses make it a good candidate for controlling the layer number of already grown graphene in processes with a low thermal budget.

  10. Alkaline glass as induced fission fragment detectors

    International Nuclear Information System (INIS)

    Amorim, A.M.M.

    1986-01-01

    The slide glass, registered trade marks INLAB, INVICT and PERFECTA were compared. For the three kinds of glasses the following studies were done: chemical composition; general dissolution rate for hydrofluoric acid solutions of concentrations between 1 and 10M, at 30 0 C and ultrasound shaking; relative efficiency for recording fission fragment tracks from 252 Cf. The INLAB glass was selected due to the better quality of its surface after chemical etching. The HF concentration 2.5M was determined for chemical etching of INLAB glass, and the optimum etching time was chosen between 8 and 10 minutes. The thermal attenuation of latent tracks in the environmental temperature was observed for intervals uo to 31 days between the detector exposure to the fission fragment source and etching of tracks. Several methods were used for determining the detector parameters, such as: critical angle, angle of the cone and efficiency of etching. The effects of gamma irradiation from 60 Co and reactor neutrons in material properties as track detector were studied. Attenuation of latent tracks and saturation of color centers were observed for doses over 100M Rad. Since this kind of material contains uranium as impurity, uniformely distributed, slide glass were calibrated to be applied as a monitor of thermal neutron flux in nuclear reactor. (Author) [pt

  11. Application of solid state nuclear track detectors in radiation protection

    International Nuclear Information System (INIS)

    Ramachandran, T.V.; Subba Ramu, M.C.; Mishra, U.C.

    1989-01-01

    This article reviews the current status of the application of nuclear track detectors with emphasis on recent developments in the field of radiation protection. Track etch detectors have been used for the measurements of low level radiation in the environment, fast neutron and radon daughter inhalation dose. Recent developments in the field of dosimetry seem to be promising. In fast neutron dosimetry, track etch detectors can be used without inclusion of fissile materials by using the electrochemical etching technique. These detectors can provide important information in the energy range upto 250 keV. Survey of this range of energy with TLD is difficult because they are extremely energy dependent and over-respond to low energy neutrons. Measurement of radon using track detectors can help to lower the cost of the radon dosimeters. Certain detectors are sensitive to alpha particles from radon and their progeny. Higher sensitivity permits their use in a passive type of personnel dosimeter, which does not require the troublesome aspects of air sampling for the collection of radon daughter samples. (author), 38 refs., 8 tabs., 12 figs

  12. Characterization of saturation of CR-39 detector at high alpha-particle fluence

    Directory of Open Access Journals (Sweden)

    M. El Ghazaly

    2018-04-01

    Full Text Available The occurrence of saturation in the CR-39 detector reduces and limits its detection dynamic range; nevertheless, this range could be extended using spectroscopic techniques and by measuring the net bulk rate of the saturated CR-39 detector surface. CR-39 detectors were irradiated by 1.5 MeV high alpha-particle fluence varying from 0.06 × 108 to 7.36 × 108 alphas/cm2 from Am-241 source; thereafter, they were etched in a 6.25N NaOH solution at a temperature of 70°C for different durations. Net bulk etch rate measurement of the 1.5 MeV alpha-irradiated CR-39 detector surface revealed that rate increases with increasing etching time and reaches its maximum value at the end of the alpha-particle range. It is also correlated with the alpha-particle fluence. The measurements of UV–Visible (UV–Vis absorbance at 500 and 600 nm reveal that the absorbance is linearly correlated with the fluence of alpha particles at the etching times of 2 and 4 hour. For extended etching times of 6, 10, and 14.5 hour, the absorbance is saturated for fluence values of 4.05 × 108, 5.30 × 108, and 7.36 × 108 alphas/cm2. These new methods pave the way to extend the dynamic range of polymer-based solid state nuclear track detectors (SSNTDs in measurement of high fluence of heavy ions as well as in radiation dosimetry. Keywords: Alpha Particle, Bulk Etch Rate, CR-39 Detector, Saturated Regime, UV–Vis Spectroscopy

  13. Characterization of CR 39 nuclear track detector for use as a radon/thoron dosemeter

    International Nuclear Information System (INIS)

    Kandaiya, S.

    1988-02-01

    For the estimation of radon, thoron and their short-lived daughter products in air radon diffusion chambers with passive α-track etch detectors have been used. The report describes the properties of CR 39 track etch detectors in particular with respect to the spectrometric detection of α-particles in the energy range up to 8.77 MeV using chemical and a combination of chemical-electrochemical etching technique. In order to optimize the etching conditions for an α-energy discrimination in the energy range up to 8.77 MeV, the ECE track size diameter and the track density have been investigated as a function of the chemical pre-etching time using three electrical field strengths. In a mixed α-spectrum the contributions of various α-particles with energies between 4.6 to 8.77 MeV have been determined experimentally in CR 39 and compared with the spectral measurement using a surface barrier detector and the same irradiation geometry. Beside CR 39 detectors etched chemically and electrochemically, in addition surface barrier detectors and a Monte Carlo calculation have been used to evaluate the α-energy spectrum for thoron and its daughter products emitted by α-decays in the air volume and the plate-out of daughters at the inner surface on the diffusion chamber. (orig./HP) [de

  14. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    International Nuclear Information System (INIS)

    Parvin, P.; Jaleh, B.; Zangeneh, H.R.; Zamanipour, Z.; Davoud-Abadi, Gh.R.

    2008-01-01

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler

  15. Excimer laser beam profile recording based on electrochemical etched polycarbonate

    Energy Technology Data Exchange (ETDEWEB)

    Parvin, P. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of)], E-mail: parvin@aut.ac.ir; Jaleh, B. [Physics Department, Bu-Ali Sina University, Postal Code 65174, Hamedan (Iran, Islamic Republic of); Zangeneh, H.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of); Zamanipour, Z. [Laser Research Center, AEOI, P.O. Box 1165-8486, Tehran (Iran, Islamic Republic of); Davoud-Abadi, Gh.R. [Physics Department, Amirkabir University of Technology, P.O. Box 15875-4413, Hafez Ave, Tehran (Iran, Islamic Republic of)

    2008-08-15

    There is no polymeric detector used to register the beam profile of UV lasers. Here, a method is proposed for the measurement of intensive UV beam pattern of the excimer lasers based on the photoablated polycarbonate detector after coherent UV exposure and the subsequent electrochemical etching. UV laser induced defects in the form of self-microstructuring on polycarbonate are developed to replicate the spatial intensity distribution as a beam profiler.

  16. Multiple-height microstructure fabricated by deep reactive ion etching and soft resist masks combined with UV curing

    International Nuclear Information System (INIS)

    Sato, R; Sawada, T; Kumagai, S; Sasaki, M

    2014-01-01

    Multiple-height microstructures are realized by deep reactive ion etching and UV-cured photoresist used in the embedded mask process. Although the UV-cured photoresist is a soft mask, its material property becomes stable against resist thinner and UV exposure. A layered resist pattern can be realized by stacking normal photoresist on the UV-cured photoresist. The normal photoresist can be selectively removed by the flush exposure and developing after the first Si etching. This technique is applied to two MEMS devices

  17. Nuclear track detector kit for use in teaching

    International Nuclear Information System (INIS)

    Medveczky, L.; Somogyi, G.

    1986-01-01

    By the use of solid state nuclear track detectors (SSNTDs) one may carry out several useful and impressive educational experiments and demonstrations to illustrate different phenomena when teaching of nuclear physics. Realizing this situation the authors have published, since 1970, reports on several experiments for teaching demonstrations. Based on the authors instructions, a factory in Hungary (TANFRT, National Manufacturers and Suppliers of School Equipment, Budapest) constructed a kit for the use of nuclear track detectors in teaching. The portable kit contains the following items: alpha-emitting weak sources, solid state nuclear track detectors (unirradiated, irradiated, unetched and etched sheets), simple tools for carrying out experiments (facilities for irradiation and etching, etc.), slides showing photos of typical etch-tracks of light and heavy nuclei, user manual. By the help of the kit both pupils and teachers can perform various useful experiments and/or demonstrations. (author)

  18. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  19. Energy-dependent etching-related impacts on CR-39 alpha detection efficiency for the Rn-222 and Rn-220 decay chains

    Science.gov (United States)

    Tan, Y.; Yuan, H.; Kearfott, K. J.

    2018-04-01

    CR-39 detectors are widely used to measure environmental levels of Rn-222, Rn-220 and their progeny. Prior research reported the CR-39 detection efficiency for alpha particles from Rn-222, Rn-220 and their progeny under a variety of etching conditions. This paper provides an explanation for interesting observations included in that work, namely that the critical incidence angle decreases with the increasing particle energy and the detection efficiency for 8.78 MeV alpha particles is zero. This paper explains these phenomena from a consideration of the interaction of alpha particles with the CR-39 detectors and the physics of etching dynamics. The proposed theory provides a rationale for an approach to optimizing the etching conditions of CR-39 detector for measuring Rn-222, Rn-220 and their progenies.

  20. Prediction of residual stress distribution in multi-stacked thin film by curvature measurement and iterative FEA

    International Nuclear Information System (INIS)

    Choi, Hyeon Chang; Park, Jun Hyub

    2005-01-01

    In this study, residual stress distribution in multi-stacked film by MEMS (Micro-Electro Mechanical System) process is predicted using Finite Element Method (FEM). We develop a finite element program for REsidual Stress Analysis (RESA) in multi-stacked film. The RESA predicts the distribution of residual stress field in multi-stacked film. Curvatures of multi-stacked film and single layers which consist of the multi-stacked film are used as the input to the RESA. To measure those curvatures is easier than to measure a distribution of residual stress. To verify the RESA, mean stresses and stress gradients of single and multilayers are measured. The mean stresses are calculated from curvatures of deposited wafer by using Stoney's equation. The stress gradients are calculated from the vertical deflection at the end of cantilever beam. To measure the mean stress of each layer in multi-stacked film, we measure the curvature of wafer with the film after etching layer by layer in multi-stacked film

  1. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  2. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  3. Modifications of radiation detection response of PADC track detectors by photons

    CERN Document Server

    Sinha, D

    1998-01-01

    Photon induced modifications in polyalyldiglycol carbonate (PADC) track detectors have been studied in the dose range of 10 sup 1 -10 sup 6 Gy. It was found that some of the properties like bulk-etch rate, track-etch rate got enhanced at the dose of 10 sup 6 Gy. Activation energy for bulk-etching has been determined for different gamma doses. In order to correlate the high etch rate with the chemical modifications, UV-Vis, IR and ESR studies were carried out. These studies clearly give the indication that radiation damage results into radical formation through bond cleavage. TGA study was performed for understanding the thermal resistance of this detector. The results are presented and discussed.

  4. Etched-hole formation in LR-115 cellulose nitrate detector irradiated with fast neutrons

    International Nuclear Information System (INIS)

    Sawamura, Teruko; Yamazaki, Hatsuo

    1988-01-01

    This paper deals with the neutron detection sensitivity of LR-115 cellulose nitrate by counting the etched holes of α-tracks produced by the (n,α) reactions of the constituent nuclei of the cellulose nitrate. A formula for the etched-hole formation efficiency is derived, and applied to obtain the efficiency for each of the (n,α) reactions of 14 N, 16 O and 12 C by using an experimental expression relating the track-to-bulk etch-rate ratio to the residual range of the α-particle. From the efficiencies obtained, and the reaction cross sections, the neutron detection sensitivity is evaluated against neutron energy up to 11 MeV, and compared with the experimental values in the energy region between 2.2 and 5 MeV; the agreement is fairly good in the region. (author)

  5. Measuring depths of sub-micron tracks in a CR-39 detector from replicas using Atomic Force Microscopy

    International Nuclear Information System (INIS)

    Yu, K.N.; Ng, F.M.F.; Nikezic, D.

    2005-01-01

    One of the challenging tasks in the application of solid-state nuclear track detectors (SSNTDs) is the measurement of the depth of the tracks, in particular, the shallow ones resulting from short etching periods. In the present work, a method is proposed to prepare replicas of tracks from α particles in the CR-39 SSNTDs and to measure their heights using atomic force microscopy (AFM). After irradiation, the detectors were etched in a 6.25N aqueous solution of NaOH maintained at 70 deg. C. The etched detectors were immersed into a beaker of the replicating fluid, which was placed in a water bath under ultrasonic vibration and maintained at room temperature to facilitate the filling of the etched tracks with the replicating fluid. As an example of application, these results have been used to derive a V function for the CR-39 detectors used in the present study (for the specified etching conditions)

  6. High energy charged particle registration in CR-39 polycarbonated detector

    International Nuclear Information System (INIS)

    Abdel-Wahab, M.S.; El Enany, N.; El Fiki, S.; Eissa, H.M.; El-Adl, E.H.; El-Feky, M.A.

    1991-01-01

    Track etch rate characteristics of CR-39 plastic detector exposed to 28 Si ions of 670 MeV energy have been investigated. Experimental results were obtained in terms of frequency distribution of the track diameter, track density and bulk etching rate. A dependence of the mean track diameter on energy was found. The application of the radiation effect of heavy ions on CR-39 in the field of radiation detection and dosimetry are discussed. Results indicated that it is possible to produce etchable tracks of 28 Si in this energy range in CR-39. We also report the etching characteristics of these tracks in the CR-39 detector. (orig.) [de

  7. Properties of polymer foils used as solid-state track detectors

    International Nuclear Information System (INIS)

    Spurny, F.

    1973-05-01

    Polymer foils were studied with a view to their application as solid-state alpha track detectors. The detection efficiency was determined as was its alpha energy dependence and the quality of the surface and the natural background of the foils were evaluated. The kinetics of etching was studied in three selected type of foils. Characteristic constants for the selected foils and methods of etching were calculated. The possible applications of the foils as track detectors are discussed and the effect is dealt with of the selected foil and of the method of chemical etching on the foil applicability in nuclear sciences, especially in fast neutron dosimetry and in alpha spectrometry. (author)

  8. Methods of manufacturing a detector device

    International Nuclear Information System (INIS)

    Wotherspoon, J.T.M.

    1982-01-01

    In the manufacture of an infra-red radiation detector device, a body of rho-type cadmium mercury telluride is bombarded with ions to etch away a part of the body and to produce from the etched-away part of the body an excess concentration of mercury which acts as a dopant source converting an adjacent part of the body into n-type material. The energy of the bombarding ions is less than 30 keV, and by appropriately choosing the ion dose this conversion can be effected over a depth considerably greater than the penetration depth of the ions. A p-n junction can be fabricated in this way for a photovoltaic detector. The conductivity type conversion may even be effected through the body thickness. The etching and conversion can be localised by masking part of the body surface against the ion bombardment. (author)

  9. Commissioning of the scatter component of a Compton camera consisting of a stack of Si strip detectors

    Energy Technology Data Exchange (ETDEWEB)

    Liprandi, S.; Marinsek, T.; Bortfeldt, J.; Lang, C.; Lutter, R.; Dedes, G.; Parodi, K.; Thirolf, P.G. [LMU Munich, Garching (Germany); Aldawood, S. [LMU Munich, Garching (Germany); King Saud University, Riyadh (Saudi Arabia); Maier, L.; Gernhaeuser, R. [TU Munich, Garching (Germany); Kolff, H. van der [LMU Munich, Garching (Germany); TU Delft (Netherlands); Castelhano, I. [LMU Munich, Garching (Germany); University of Lisbon, Lisbon (Portugal); Schaart, D.R. [TU Delft (Netherlands)

    2015-07-01

    At LMU Munich in Garching a Compton camera is presently being developed aiming at the range verification of proton (or ion) beams for hadron therapy via imaging of prompt γ rays from nuclear reactions in the tissue. The poster presentation focuses on the characterization of the scatter component of the Compton camera, consisting of a stack of six double-sided Si strip detectors (50 x 50 mm{sup 2}, 0.5 mm thick, 128 strips/side). The overall 1536 electronics channels are processed by a readout system based on the GASSIPLEX ASIC chip, feeding into a VME-based data acquisition system. The status of the offline and online characterization studies is presented.

  10. Low-level radon measurements by nuclear track detectors

    International Nuclear Information System (INIS)

    Koksal, E. M.; Goksel, S. A.; Alkan, H.

    1985-01-01

    In the work to be described here we have developed a passive nuclear track dosimeter to measure the integrated value of indoor radon (Rn-222) over a long period of time. Passive radon dosimeter which we have developed in our laboratories makes use of two small pieces of CR-39 plastic (Allyl diglycol carbonate) as detectors for registering tracks of alpha particles emitted by radon. These CR-39 plastic detectors are fixed on the inside bottom of a cup-shaped polystrene enclosure which is closed at the top by a tissue permeable for gases only. CR-39 detectors exposed to radon gas in the indoor air for a period of six months then are removed and chemically etched to make the alpha particle tracks visible under the microscope. The counts of tracks are evaluated to determine the radon concentration in the air in comparison with the number of tracks produced by a known concentration of radon gas. By using the passive dosimeters developed and the chemical etching procedure descriped here, measurements of indoor radon concentrations were carried out in 45 houses in different districts of the city of Istanbul. In this pilot experiment mean radon concentrations between 0.7 and 3.5 pCi/l have been found in these houses. In order to improve the counting of alpha tracks produced on the detectors a prototype electrochemical etching system in addition to chemical etching, is being developed. (author)

  11. Stack monitor for the Proof-of-Breeding Project

    International Nuclear Information System (INIS)

    Fergus, R.W.

    1985-01-01

    This stack monitor system is a coordinated arrangement of hardware and software to monitor four hot cells (8 stacks) during the fuel dissection for the Proof-of-Breeding Project. The cell monitors, which are located in fan lofts, contain a microprocessor, radiation detectors, air flow sensors, and air flow control equipment. Design criteria included maximizing microprocessor control while minimizing the hardware complexity. The monitors have been programmed to produce concentration and total activity release data based on several detector measurements and flow rates. Although each monitor can function independently, a microcomputer can also be used to control each cell monitor including reprogramming if necessary. All programming is software, as opposed to firmware, with machine language for compactness in the cell monitors and Basic language for adaptability in the microcomputer controller

  12. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  13. Hydrogen detector

    International Nuclear Information System (INIS)

    Kumagaya, Hiromichi; Yoshida, Kazuo; Sanada, Kazuo; Chigira, Sadao.

    1994-01-01

    The present invention concerns a hydrogen detector for detecting water-sodium reaction. The hydrogen detector comprises a sensor portion having coiled optical fibers and detects hydrogen on the basis of the increase of light transmission loss upon hydrogen absorption. In the hydrogen detector, optical fibers are wound around and welded to the outer circumference of a quartz rod, as well as the thickness of the clad layer of the optical fiber is reduced by etching. With such procedures, size of the hydrogen detecting sensor portion can be decreased easily. Further, since it can be used at high temperature, diffusion rate is improved to shorten the detection time. (N.H.)

  14. Radiation energy detector and analyzer

    International Nuclear Information System (INIS)

    Roberts, T.G.

    1981-01-01

    A radiation detector array and a method for measuring the spectral content of radiation. The radiation sensor or detector is an array or stack of thin solid-electrolyte batteries. The batteries, arranged in a stack, may be composed of independent battery cells or may be arranged so that adjacent cells share a common terminal surface. This common surface is possible since the polarity of the batteries with respect to an adjacent battery is unrestricted, allowing a reduction in component parts of the assembly and reducing the overall stack length. Additionally, a test jig or chamber for allowing rapid measurement of the voltage across each battery is disclosed. A multichannel recorder and display may be used to indicate the voltage gradient change across the cells, or a small computer may be used for rapidly converting these voltage readings to a graph of radiation intensity versus wavelength or energy. The behavior of the batteries when used as a radiation detector and analyzer are such that the voltage measurements can be made at leisure after the detector array has been exposed to the radiation, and it is not necessary to make rapid measurements as is now done

  15. Study of the characteristics of ionizing particles record of CR-39 track detectors

    International Nuclear Information System (INIS)

    Brandao, Luis Eduardo Barreira

    1983-01-01

    The bulk and track etching proprieties of a new Solid State Nuclear Track Detector CR-39 were investigated under different etching conditions. The discussion is based on results obtained using aqueous solutions of KOH with addition of alcoholic solvent to aqueous solutions. It was found that track registration sensitivity can be dramatically changed by using the proper chemical treatment. A method to enlarge and dye etch tracks to be viewed by simple projection on a screen is discussed. The applications of CR-39 in neutron fluence measurements are shown. Graphs are presented of the densities of the registered traces by the detector as a function of etch time both for samples with and without a polycarbonate radiator. (author)

  16. Study of projectile fragmentation in the reaction (158 A GeV) Pb + Pb using CR-39

    CERN Document Server

    Qureshi, I E; Javed, M T; Manzoor, S; Sher, G; Aleem, F; Khan, H A

    2005-01-01

    The fragmentation of Pb ions at 158 A GeV energy produced in the interaction with Pb target has been studied using a CR-39 track detector. A stack comprising of 64 detectors was prepared such that a target of 1 cm thickness was sandwiched between the sheets of CR-39. The purpose of this exposure geometry was to calibrate CR-39 with respect to relativistic heavy ions as well as to study the fragmentation of Pb ions at 158 A GeV energy. The exposure was carried out at the SPS beam facility of CERN at normal incidence with a fluence of . Two detectors from the exposed stack have been selected for this study: one before and the other after the target material. After etching, the detectors were scanned using an optical microscope and the etched track lengths and the diameters of the track openings were measured manually. Considering that the lengths of tracks provide the best charge resolution for Z>65, we have measured track lengths for a sufficiently large number of fragments to identify individual charge states...

  17. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  18. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    Science.gov (United States)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  19. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  20. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  1. Measurement of low neutron-fluences using electrochemically etched PC and PET track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1979-01-01

    Systematic investigations have been carried out to study different properties of electrochemically etched (ECE) polycarbonate (PC) and polyethylene-terephthalate (PET) foils. The dependence of the density of background discharge spots on surface-thickness removal, electrical field strength and frequency of voltage is given. The effect of these parameters on the neutron sensitivity of polycarbonate and polyethylene-terephthalate foils irradiated at right angles to 14.7 MeV, 241 Am-Be and 252 Cf neutrons is also studied. With knowledge of the background and sensitivity data, the etching and electrical parameters are optimized for low neutron-fluence measurements. (author)

  2. Regular control of monitors for effluents from nuclear power plant stacks

    International Nuclear Information System (INIS)

    Stroem, L.

    1979-01-01

    The report describes a test procedure for emission monitoring devices for nuclear power plants. The follosing procedures are described, inspection, determination of the air flow through the stack, measurement and adjustment of the flow in the stack loop, measurement and adjustment of flow and density in the measuring loop, calibration of the gas detector, efficiency of sampling of methyliodide and aerosol. (K.K.)

  3. Modifications in track registration response of PADC detector by energetic protons

    CERN Document Server

    Dwivedi, K K; Fink, D; Mishra, R; Tripathy, S P; Kulshreshtha, A; Khathing, D T

    1999-01-01

    It has been well established that different ionising radiations modify the track registration properties of dielectric solids. In an effort to study the response of Polyallyl diglycol carbonate (PADC Homalite) detector towards fission fragment, PADC detectors were exposed to 10 sup 4 Gy dose of 62 MeV protons and then one set of samples were exposed to fission fragments from a sup 2 sup 5 sup 2 Cf source. Two of these detectors were containing a thin layer of Buckminsterfullerene (C sub 6 sub 0). The study of the etched tracks by Leitz Optical Microscope reveals that the track diameters are enhanced by more than 70% in the proton irradiated zone as compared to that in the unirradiated zone. Scanning Electron Microscopy was performed after etching the sample in 6 N NaOH at 55 deg. C for different etching times, to study the details of the surface modifications due to proton irradiation of PADC detectors with and without C sub 6 sub 0 layer. Our observations revealed that the diameters and density of proton tra...

  4. An XPS study of bromine in methanol etching and hydrogen peroxide passivation treatments for cadmium zinc telluride radiation detectors

    International Nuclear Information System (INIS)

    Babar, S.; Sellin, P.J.; Watts, J.F.; Baker, M.A.

    2013-01-01

    Highlights: ► CdZnTe single crystal etched in bromine-in-methanol and passivated in H 2 O 2 . ► XPS depth used to accurately determine enriched Te layer and TeO 2 thickness. ► For 0.2 and 2.0 (v/v) % bromine-in-methanol treatments, enriched Te layer thickness determined to be 1.3 and 1.8 nm, respectively. ► After passivation in 30 wt.% H 2 O 2 , the oxide thickness varies between 1.0 and 1.25 nm depending on the calculation method. - Abstract: The performance of single crystal CdZnTe radiation detectors is dependent on both the bulk and the surface properties of the material. After single crystal fabrication and mechanical polishing, modification of the surface to remove damage and reduce the surface leakage current is generally achieved through chemical etching followed by a passivation treatment. In this work, CdZnTe single crystals have been chemically etched using a bromine in methanol (BM) treatment. The BM concentrations employed were 0.2 and 2.0 (v/v) % and exposure times varied between 5 and 120 s. Angle resolved XPS and sputter depth profiling has been employed to characterize the surfaces for the different exposure conditions. A Te rich surface layer was formed for all exposures and the layer thickness was found to be independent of exposure time. The enriched Te layer thickness was accurately determined by calibrating the sputter rate against a CdTe layer of known thickness. For BM concentrations of 0.2 (v/v) % and 2 (v/v) %, the Te layer thickness was determined to be 1.3 ± 0.2 and 1.8 ± 0.2 nm, respectively. The BM etched surfaces have subsequently been passivated in a 30 wt.% H 2 O 2 solution employing exposure time of 15 s. The oxide layer thickness has been calculated using two standard XPS methodologies, based on the Beer–Lambert expression. The TeO 2 thickness calculated from ARXPS data are slightly higher than the thickness obtained by the simplified Beer–Lambert expression. For BM exposures of 30–120 s followed by a passivation

  5. Fast neutron dosimetry using CR-39 track detectors with polyethylene as radiator

    International Nuclear Information System (INIS)

    Castillo, F.; Espinosa, G.; Golzarri, J.I.; Osorio, D.; Rangel, J.; Reyes, P.G.; Herrera, J.J.E.

    2013-01-01

    The chemical etching parameters (etching time, temperature, normality of etchant, etc.) for the use of CR-39 (allyl diglycol carbonate – Lantrack ® ) as a fast neutron dosimeter have been optimized. The CR-39 chips, placed under a 1.5 mm polyethylene radiator, were exposed for calibration to an 241 Am-Be source at different time intervals for a given neutron fluence. After several chemical etching processes of the detectors with different conditions, the optimum characteristics for the chemical etching were found at 6N KOH solution, 60 ± 1 °C, for 12 h. An accurate relationship between the dose and fluence calculations was obtained as a function of the track density. - Highlights: ► Optimum etching time for fast neutron irradiated CR-39 track detectors is found. ► Relationship between dose and fluence obtained as a function of the track density. ► Results are consistent with those reported elsewhere, and extend the dose range

  6. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  7. LLL development of a combined etch track: albedo dosimeter

    International Nuclear Information System (INIS)

    Griffith, R.V.; Fisher, J.C.; Harder, C.A.

    1977-01-01

    The addition of polycarbonate sheet to albedo detectors for electrochemical etching provides a simple, inexpensive way to reduce the spectral sensitivity of the personnel dosimeter without losing the albedo features of sensitivity and ease of automation. The ECEP technique also provides the dosimetrist with the potential for identifying conditions of body orientation that might otherwise lead to significant error in dosimeter evaluation

  8. DESIGN OF MULTILAYER APERTURE COUPLED STACKED MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS

    Directory of Open Access Journals (Sweden)

    P. Jothilakshmi

    2015-10-01

    Full Text Available One of the major drawbacks of microstrip patch antenna is its narrow bandwidth. The solution of this problem is to use aperture coupled stacked micro strip patch antenna. The antenna uses a combination of aperture coupled feeding technique and multi- layer radiating patch in order for the radiating elements are increase the gain bandwidth. The ‘I’ and ‘H’ shaped aperture slots are etched onto the ground plane. It is used to transfer the energy from feed line to stacked patch. A variation of the feed line length controls the selected aperture slots to be active. The waves from the selected activated aperture slots will radiate to particular radiating patch and achieve the desired resonant frequency. The air gap is used to avoid coupling loss between the aperture slots and stacked patches. The observed simulated and measured results show that the proposed antenna structure resonated at 2.51 GHz frequency with reduced return loss and optimum voltage standing wave ratio.

  9. UV-irradiation effects on polyester nuclear track detector

    International Nuclear Information System (INIS)

    Agarwal, Chhavi; Kalsi, P.C.

    2010-01-01

    The effects of UV irradiation (λ=254 nm) on polyester nuclear track detector have been investigated employing bulk-etch technique, UV-visible spectrophotometry and infra-red spectrometry (FTIR). The activation energy values for bulk-etching were found to decrease with the UV-irradiation time indicating the scission of the polymer. Not much shift in the absorption edge due to UV irradiation was seen in the UV-visible spectra. FTIR studies also indicate the scission of the chemical bonds, thereby further validating the bulk-etch rate results.

  10. Effect of certain production parameters and post-production treatments on the etching characteristics of CR-39 sheets

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, G.; Toth-Szilagyi, M.; Hunyadi, I.; Hafez, A.-F.

    1986-01-01

    There is a permanent need in producing track recording detectors of well defined behaviour from CR-39 monomer. Therefore, more studies on the role of production parameters determining the stability of main etching characteristics (bulk etch rate, Vsub(B);etch rate ratio, Vsub(T)/Vsub(B);opaqueness after longer etching periods) are highly required. In our studies we have examined different commercial CR-39 sheets (Homalite, Pershore, MA-ND) to compare their registration sensitivity to selected alpha and proton energies. In addition, we have investigated the main etching parameters of CR-39 sheets manufactured under different casting conditions varying the concentration of initiator and certain additives. Finally, the effect of some post-production treatments, annealing and storage in vacuum, on the Vsub(B) and Vsub(T)/Vsub(B) values is studied.

  11. Docker on OpenStack

    OpenAIRE

    Agarwal, Nitin; Moreira, Belmiro

    2014-01-01

    Project Specification CERN is establishing a large scale private cloud based on OpenStack as part of the expansion of the computing infrastructure for storing the data coming out of the Large Hadron Collider (LHC) experiments. As the data coming out of the detectors is increasing continuously that needs to be stored in the data center, we need more physical resources (more money) and since Virtual machines takes lot of CPU and memory overhead and minutes for creating the images, booting u...

  12. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  13. Status of development in the field of Cr-39 track detectors

    International Nuclear Information System (INIS)

    Somogyi, G.

    1982-01-01

    The present situation concerning the manufacture and etching characteristics of the CR-39 nuclear track detector is surveyed. Especial attention is paid to the trends in research and to the outstanding questions related to the subject. The requirements and procedures in connection with the manufacture of high-quality, thick and thin detector foils are discussed. The main bulk and track etching characteristics are presented, involving the effects of various environmental parameters as well. A statistical account of the typical directions in application is given. (author)

  14. Stack Monitoring System At PUSPATI TRIGA Reactor

    International Nuclear Information System (INIS)

    Zamrul Faizad Omar; Mohd Sabri Minhat; Zareen Khan Abdul Jalil Khan; Ridzuan Abdul Mutalib; Khairulezwan Abdul Manan; Nurfarhana Ayuni Joha; Izhar Abu Hussin

    2014-01-01

    This paper describes the current Stack Monitoring System at PUSPATI TRIGA Reactor (RTP) building. A stack monitoring system is a continuous air monitor placed at the reactor top for monitoring the presence of radioactive gaseous in the effluent air from the RTP building. The system consists of four detectors that provide the reading for background, particulate, Iodine and Noble gas. There is a plan to replace the current system due to frequent fault of the system, thus thorough understanding of the current system is required. Overview of the whole system will be explained in this paper. Some current results would be displayed and moving forward brief plan would be mentioned. (author)

  15. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    Science.gov (United States)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  16. Latent tracks in polymeric etched track detectors

    International Nuclear Information System (INIS)

    Yamauchi, Tomoya

    2013-01-01

    Track registration properties in polymeric track detectors, including Poly(allyl diglycol carbonate), Bispenol A polycarbonate, Poly(ethylen terephtarate), and Polyimide, have been investigated by means of Fourie transform Infararede FT-IR spectrometry. Chemical criterion on the track formation threshold has been proposes, in stead of the conventional physical track registration models. (author)

  17. Development and application of the electrochemical etching technique

    International Nuclear Information System (INIS)

    Sanders, M.E.

    1984-07-01

    This report documents the advances achieved in the development and application of several etched damage track plastic dosimeters that can be used to measure dose-equivalent from neutrons with energies from thermal to 20 MeV. The project was initiated with the design of a rem-responding dosimeter that measured fast (> 1 MeV) neutron dose-equivalent as a function of the damage track density directly induced within the volume of polycarbonate foils amplified by electrochemical etching. Stillwagon (1978) adapted electrochemical etching of polycarbonate foils (ECEPF) to alpha dosimetry and used the technique to determine Pu-239 uptake in human bone. Su (1979) extended the usefulness of the ECEPF neutron dosimetry technique to encompass thermal neutron dose measurement. The thermal neutron dosimeter was composed of an external radiator tablet made of 7 LiF in contact with a polycarbonate foil and utilized the thermal neutron-induced 6 Li(n, α) 3 H reaction to give a dose-equivalent response as a function of alpha track density registered in the detector foil. An intermediate (1 eV-1 MeV) neutron dosimeter was developed and has been shown to give an approximately dose-equivalent response to neutrons with energies from 1 eV to 17 MeV. The intermediate neutron dosimeter consists of 6 LiF-Teflon/CR-39 Polymer foil assembly which is enclosed by a (Cd + In) neutron filter. The neutron dose-equivalent is measured as a variable function of the damage track density registered in the CR-39 detector foil due to alpha particles from the 1/v dependent 6 Li(n, α) 3 H reaction, recoil H, C, O nuclei from neutron-induced elastic scattering within the foil volume, and protons from the 6 Li(n, p) reaction for neutron energies above 2 MeV. 46 figs., 6 tabs

  18. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  19. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  20. ATMS software: Fuzzy Hough Transform in a hybrid algorithm for counting the overlapped etched tracks and orientation recognition

    International Nuclear Information System (INIS)

    Khayat, O.; Ghergherehchi, M.; Afarideh, H.; Durrani, S.A.; Pouyan, Ali A.; Kim, Y.S.

    2013-01-01

    A computer program named ATMS written in MATLAB and running with a friendly interface has been developed for recognition and parametric measurements of etched tracks in images captured from the surface of Solid State Nuclear Track Detectors. The program, using image analysis tools, counts the number of etched tracks and depending on the current working mode classifies them according to their radii (small object removal) or their axis (non-perpendicular or non-circular etched tracks), their mean intensity value and their orientation through the minor and major axes. Images of the detectors' surfaces are input to the code, which generates text and figure files as output, including the number of counted etched tracks with the associated track parameters, histograms and a figure showing edge and center of detected etched tracks. ATMS code is running hierarchically as calibration, testing and measurement modes to demonstrate the reliability, repeatability and adaptability. Fuzzy Hough Transform is used for the estimation of the number of etched tracks and their parameters, providing results even in cases that overlapping and orientation occur. ATMS code is finally converted to a standalone file which makes it able to run out of MATLAB environment. - Highlights: ► Presenting a novel code named ATMS for nuclear track measurements. ► Execution in three modes for generality, adaptability and reliability. ► Using Fuzzy Hough Transform for overlapping detection and orientation recognition. ► Using DFT as a filter for noise removal process in track images. ► Processing the noisy track images and demonstration of the presented code

  1. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  2. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  3. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  4. Influence of external and internal conditions of detector sample treatment on the particle registration sensitivity of Solid State Nuclear Track Detectors of type CR-39

    International Nuclear Information System (INIS)

    Hermsdorf, Dietrich

    2012-01-01

    The sensitivity of charged particle registration with SSNTD is the most important parameter to decide about the applicability of those detectors in research, technology and environmental dosimetry. The sensitivity is strongly influenced by the treatment of detector samples before, during and after the exposure and the final evaluation process by chemical etching. Whereas changes in detection properties by external environmental influences are generally considered, the dependences on the etching conditions are ignored. Commonly the sensitivity is assumed to compensate variations in the etching conditions for track revealing. In the present work the validity of this hypothesis will be checked. In the frame of the existing database the sensitivity is not really independent on variations in etching temperatures and should be corrected for differences in the activation energies for stimulation of the bulk and track etching process. Differences in the concentration dependence may be of minor importance. Furthermore, the registration sensitivity depends on environmental conditions before, during and after the irradiation with particles under investigation. Such external parameters are the air pressure, the sample temperature and modification of bulk material by out-gassing in vacuum and exposure to γ-rays. However, the available database is insufficient and inaccurate to draw final conclusions on the detection properties of SSNTD under various external and internal conditions.

  5. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  6. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  7. The germanium wall of the GEM detector system GEM Collaboration

    International Nuclear Information System (INIS)

    Betigeri, M.; Biakowski, E.; Bojowald, H.; Budzanowski, A.; Chatterjee, A.; Drochner, M.; Ernst, J.; Foertsch, S.; Freindl, L.; Frekers, D.; Garske, W.; Grewer, K.; Hamacher, A.; Igel, S.; Ilieva, J.; Jarczyk, L.; Jochmann, M.; Kemmerling, G.; Kilian, K.; Kliczewski, S.; Klimala, W.; Kolev, D.; Kutsarova, T.; Lieb, J.; Lippert, G.; Machner, H.; Magiera, A.; Nann, H.; Pentchev, L.; Plendl, H.S.; Protic, D.; Razen, B.; Rossen, P. von; Roy, B.J.; Siudak, R.; Smyrski, J.; Srikantiah, R.V.; Strzakowski, A.; Tsenov, R.; Zolnierczuk, P.A.; Zwoll, K.

    1999-01-01

    A stack of annular detectors made of high-purity germanium was developed. The detectors are position sensitive with radial structures. The first one ('Quirl') is double-sided position sensitive defining 40,000 pixels, the following three (E1, E2 and E3) have 32 wedges each. The Quirl acts as tracker while the other three act as calorimeter. The stack was successfully operated in meson production reactions close to threshold

  8. Fatigue responses of lead zirconate titanate stacks under semibipolar electric cycling with mechanical preload

    Science.gov (United States)

    Wang, Hong; Cooper, Thomas A.; Lin, Hua-Tay; Wereszczak, Andrew A.

    2010-10-01

    Lead zirconate titanate (PZT) stacks that had an interdigital internal electrode configuration were tested to more than 108 cycles. A 100 Hz semibipolar sine wave with a field range of +4.5/-0.9 kV/mm was used in cycling with a concurrently-applied 20 MPa preload. Significant reductions in piezoelectric and dielectric responses were observed during the cycling depending on the measuring condition. Extensive partial discharges were also observed. These surface events resulted in the erosion of external electrode and the exposure of internal electrodes. Sections prepared by sequential polishing technique revealed a variety of damage mechanisms including delaminations, pores, and etch grooves. The scale of damage was correlated with the degree of fatigue-induced reduction in piezoelectric and dielectric responses. The results from this study demonstrate the feasibility of using a semibipolar mode to drive a PZT stack under a mechanical preload and illustrate the potential fatigue and damages of the stack in service.

  9. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  10. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  11. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    Science.gov (United States)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  12. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  13. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    Science.gov (United States)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  14. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  15. Application of solid state track detector to neutron dosimetry

    International Nuclear Information System (INIS)

    Tsuruta, Takao

    1979-01-01

    Though solid state track detectors (SSTD) are radiation measuring instrument for heavy charged particles by itself, it can be used as radiation measuring instrument for neutrons, if nuclear reactions such as (n, f) or (n, α) reaction are utilized. Since the means was found, which permits to observe the tracks of heavy charged particles in a solid with an optical microscope by chemically etching the tracks to enlarge them to etch pits, various types of detectors have been developed for the purpose of measuring neutron dose. The paper is described on the materials and construction of the SSTDs for neutron dosimetry, and the sensitivity is explained with mathematical equations. The features of neutron dosimetry with SSTDs are as follows: They are compact, and scarcely disturb neutron field, thus delicate dose distribution can be known; integration measurement is possible regardless of dose rate values because of integrating type detectors; it is not influenced by β-ray or γ-ray except the case when there is high energy radiation such as causing photonuclear reactions or high dose such as degrading solids, it has pretty high sensitivity; track fading is negligible during the normal measuring time around room temperature; and the etching images of tracks are relatively clear, and various automatic counting systems can be employed. (Wakatsuki, Y.)

  16. Image formation in track-etch detectors: Pt. 4

    International Nuclear Information System (INIS)

    Ilic, Radomir; Najzer, Mitja

    1990-01-01

    The radiographic performance of solid state nuclear track detectors was analysed with respect to image quality. Image quality is expressed in terms of three image quality factors: contrast or gradient of the detector, image unsharpness and detail discernment. Equations for the image quality factors were derived from the radiographic transfer function, taking into account image inhomogeneity caused by statistical fluctuations of track density. To find optimal radiographic conditions for a given application, a single quantity called the figure of radiographic merit was defined. It is expressed as the weighted product of the image quality factors. It was found that optimum image quality of a balanced image, characterized by equal importance of all three image quality factors, is obtained at an exposure value (defined as the product of the average visible track area and track density) of unity. (author)

  17. Single charged-particle damage to living cells: a new method based on track-etch detectors

    International Nuclear Information System (INIS)

    Durante, M.; Grossi, G.F.; Pugliese, M.; Manti, L.; Nappo, M.; Gialanella, G.

    1994-01-01

    Biological effects of ionizing radiation are usually expressed as a function of the absorbed dose. Low doses of high-LET radiation correspond to one or few particle traversals through the cell. In order to study the biological effectiveness of single charged particles, we have developed a new method based on solid state nuclear track detectors. Cells are seeded on mylar and a LR-115 film is stuck below the mylar base. After irradiation, the LR-115 film is etched and cells observed at a phase contrast microscope connected to a video camera and an image analyzer. In this way, it is possible to measure the number of traversals through the cell nucleus or cytoplasm. Coordinates of each cell on the microscope bench are saved. After incubation for about one week, cells are fixed and stained and the colonies observed at the microscope. The fate of each irradiated cell is therefore correlated to the number of traversals. We have tested this method with two different rodent embryo fibroblast cell lines, C3H 10T1/2 and V79, exposed to 3.2 MeV accelerated α-particles (LET =124 keV/μm). The studied endpoint was cell killing. Preliminary biological results suggest that few α-particle tracks in V79 hamster cells are sufficient to reduce surviving fraction. ((orig.))

  18. Performance of the Microwire Detector

    International Nuclear Information System (INIS)

    Adeva, B.; Gomez, F.; Iglesias, A.; Labbe, J.C.; Pazos, A.; Plo, M.; Rodriguez, X.M.; Vazquez, P.

    2001-01-01

    We present here the performance of a new micropattern proportional gas detector, developed by kapton etching technique. Several geometries have been tested under high-intensity beams at PSI (presence of HIPs), including amplification gaps of 50 and 125 μm. Performance results are reported under various operating conditions

  19. Performance of the Microwire Detector

    Energy Technology Data Exchange (ETDEWEB)

    Adeva, B.; Gomez, F.; Iglesias, A.; Labbe, J.C.; Pazos, A.; Plo, M.; Rodriguez, X.M.; Vazquez, P. E-mail: fpvazquez@usc.es

    2001-04-01

    We present here the performance of a new micropattern proportional gas detector, developed by kapton etching technique. Several geometries have been tested under high-intensity beams at PSI (presence of HIPs), including amplification gaps of 50 and 125 {mu}m. Performance results are reported under various operating conditions.

  20. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  1. Detectors in 3D available for assessment

    CERN Document Server

    Re, Valerio

    2014-01-01

    This deliverable reports on 3D devices resulting from the vertical integration of pixel sensors and readout electronics. After 3D integration steps such as etching of through-silicon vias and backside metallization of readout integrated circuits, ASICs and sensors are interconnected to form a 3D pixel detector. Various 3D detectors have been devised in AIDA WP3 and their status and performance is assessed here.

  2. Lifetime Extension of the Gas Discharge Detectors with Plasma Etching of Silicon Deposits in 80%CF4 + 20%CO2

    Science.gov (United States)

    Gavrilov, G. E.; Vakhtel, V. M.; Maysuzenko, D. A.; Tavtorkina, T. A.; Fetisov, A. A.; Shvetsova, N. Yu.

    2017-12-01

    A method of elimination of silicon compounds from the anode wire of an aged proportional counter is presented. The aging of a counter with a 70%Ar + 30%CO2 and a 60%Ar + 30%CO2 + 10%CF4 working mixture was stimulated by a 90Sr β source. To accelerate the process of aging, the gas mixture flow to the counter was supplied through a pipe with RTV coated wall. As a result, the amplitude of the signal decreased 70% already at accumulated charge of Q = 0.03 C/cm. The etching of the silicon compounds on the wire surface with an 80%CF4 + 20%CO2 gas mixture discharge led to full recovery of the operating characteristics of detector and an increase in the lifetime. A scanning electron microscopy and X-ray spectroscopy analysis of the recovered wire surface were performed. In accordance with the results, a good quality of wire cleaning from SiO2 compounds was obtained.

  3. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  4. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  5. Neutron Fluence Evaluation using an Am-Be Neutron Sources Assembly and P ADC Detectors

    International Nuclear Information System (INIS)

    Seddik, U.

    2008-01-01

    An assembly of four 241 Am-Be sources has been constructed at Nuclear Reactions Unit (NRU) of Nuclear Research Center (NRU) to perform analysis of different materials using thermal and fast neutrons. In the present paper, we measure the value of transmittance (T) in percentage of etched CR-39 detectors using a spectrophotometer at different neutron fluences ,to relate the transmittance of the detector with the neutron fluence values. The exposed samples to neutrons with accumulated fluence of order between 10 10 and 10 12 cm -2 were etched for 15 time intervals between 10-600 min in 6.25 N NaOH at 70 degree C. The etched samples were analyzed using Tech 8500 II spectrophotometer. A trend of the sample transmission and the etching time is observed which is different for each fluence value. A linear relation between the transmittance decay constant and the neutron fluence is observed which could be used as a calibration to determine unknown neutron fluence

  6. Plastic nuclear track detectors as high x-ray and gamma dosimeters

    International Nuclear Information System (INIS)

    Chong Chon Sing

    1995-01-01

    A brief review of recent studies on the effects of high doses of x-ray and gamma ray on the track registration properties of several plastic track detectors is presented. The bulk etching rates and the etched track sizes have been found to increase with the dose in the range up to 100 Mrad. These results suggest that the changes in track registration characteristics can be employed as an index of the radiation dose in the megarad region. In particular, recent results on the effect of X-ray irradiation on two types of cellulose nitrate track detectors obtained in our laboratory are reported in this paper. (author)

  7. HgI{sub 2} detector fabrication; Construccion de detectores de HgI{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, M.; Perez, J. M.

    1996-07-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicularly to the (001) crystallographic. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. then, the metal electrode deposition and the view connection has been explained. Finally, the technique followed to encapsulate the detector with a polymeric thin film deposition has been described. (Author) 10 refs.

  8. Measurements of proton energy spectra using a radiochromic film stack

    Science.gov (United States)

    Filkins, T. M.; Steidle, Jessica; Ellison, D. M.; Steidle, Jeffrey; Freeman, C. G.; Padalino, S. J.; Fiksel, G.; Regan, S. P.; Sangster, T. C.

    2014-10-01

    The energy spectrum of protons accelerated from the rear-side of a thin foil illuminated with ultra-intense laser light from the OMEGA EP laser system at the University of Rochester's Laboratory for Laser Energetics (LLE) was measured using a stack of radiochromic film (RCF). The film stack consisted of four layers of Gafchromic HD-V2 film and four layers of Gafchromic MD-V2-55 film. Aluminum foils of various thicknesses were placed between each piece of RCF in the stack. This arrangement allowed protons with energies of 30 MeV to reach the back layer of RCF in the stack. The stack was placed in the detector plane of a Thomson parabola ion energy (TPIE) spectrometer. Each piece of film in the stack was scanned using a commercially available flat-bed scanner (Epson 10000XL). The resulting optical density was converted into proton fluence using an absolute calibration of the RCF obtained at the SUNY Geneseo 1.7 MV Pelletron accelerator laboratory. In these calibration measurements, the sensitivity of the radiochromic film was measured using monoenergetic protons produced by the accelerator. Details of the analysis procedure and the resulting proton energy spectra will be presented. Funded in part by a grant from the DOE through the Laboratory for Laser Energetics.

  9. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  10. Testing the sampling efficiency of a nuclear power station stack monitor

    Energy Technology Data Exchange (ETDEWEB)

    Stroem, L.H. [Instrumentinvest, Nykoeping (Sweden)

    1997-08-01

    The test method comprises the injection of known amounts of monodisperse particles in the stack air stream, at a suitable point upstream of the sampling installation. To find a suitable injection polls, the gas flow was mapped by means of a tracer gas, released in various points in the stack base. The resulting concentration distributions at the stack sampler level were observed by means of an array of gas detectors. An injection point that produced symmetrical distribution over the stack area, and low concentrations at the stack walls was selected for the particle tests. Monodisperse particles of 6, 10, and 19 {mu}m aerodynamic diameter, tagged with dysprosium, were dispersed in the selected injection point. Particle concentration at the sampler level was measured. The losses to the stack walls were found to be less than 10 %. The particle concentrations at the four sampler inlets were calculated from the observed gas distribution. The amount calculated to be aspirated into the sampler piping was compared with the quantity collected by the sampling train ordinary filter, to obtain the sampling line transmission efficiency. 1 ref., 2 figs.

  11. A quick method for maintaining the molarity of NaOH solution during continuous etching of CR-39

    International Nuclear Information System (INIS)

    Khan, E.U.; Husaini, S.N.; Malik, F.; Sajid, M.; Karim, S.; Qureshi, I.E.

    2002-01-01

    A series of experiments have been performed to investigate the physio-chemical changes that occurred in the 6 M NaOH solution after etching CR-39 (Pershore and Homalite) detectors, each for 3, 6, 9, 12 and 15 h. Various physical parameters like concentration, electrical conductivity (EC) and density of the solutions have been measured after each etching interval. Results have been discussed in the light of stoichiometrical equation for the interaction of CR-39 with NaOH. It is found that the concentration, EC and the density of the solution, in which CR-39 has been etched, increase linearly with respect to the etching time. The inter-relationship of EC-concentration and density-concentration suggest that the concentration of the etchant can be maintained at certain desired value by adjusting the corresponding value of EC or density, measured through non-destructive and quick methods

  12. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  13. Exposure of CR39 Stacks to Oxygen and Sulphur Beams at the CERN-SPS

    CERN Multimedia

    2002-01-01

    We plan to expose 8 stacks of CR39 sheets to oxygen and sulphur ions of 60 and 200~GeV at the CERN-SPS.\\\\ \\\\ The main purpose of the exposures is the calibration of the CR39 sheets used for a large area experimental search for magnetic monopoles at the Gran Sasso Laboratory (experiment MACRO). \\\\ \\\\ The stacks have 20~layers of CR39, each layer 13~cm~x~7~cm and 1.4~mm thick. A copper absorber is located after the first 6 layers. \\\\ \\\\ We require exposures of about 2000 tracks per cm$^2$ over the entire area of the stack with a uniform illumination. The standard beam used for the emulsion experiments is normally adequate for this purpose.\\\\ \\\\ We have performed one exposure to sulphur ions. The etched tracks have been measured automatically with the Elbeck image analyser system. We measured the incoming sulphur ions as well as the nuclear fragments produced in the copper absorber. Clean separation among the peaks due to the various fragments is obtained (there is no indication of nuclei with fractional electri...

  14. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  15. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  16. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  17. HgI2 detector fabrication

    International Nuclear Information System (INIS)

    Gonzalez, M.; Perez, J. M.

    1996-01-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicularly to the (001) crystallographic. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. then, the metal electrode deposition and the view connection has been explained. Finally, the technique followed to encapsulate the detector with a polymeric thin film deposition has been described. (Author) 10 refs

  18. HgI2 detector fabrication

    International Nuclear Information System (INIS)

    Gonzalez, M.; Perez, J.M.

    1996-01-01

    The aim of the present work is to describe the steps followed to fabricate an ionizing radiation detector based on synthetic mercuric iodide monocrystal layers. Firstly, the crystalline orientation method has been describe, which is needed before the layer cutting perpendicullarly to the (001) crystallographyc. It is also defined the proceeding to crystal face finishing by a mechanical polishing and subsequent chemical etching. Then, the metal electrode deposition and the wire connection has been explained. Finally, the technique followed to encapsulate the detector with a polimeric thin film deposition has been described

  19. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  20. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  1. Radially polarized conical beam from an embedded etched fiber.

    Science.gov (United States)

    Kalaidji, Djamel; Spajer, Michel; Marthouret, Nadège; Grosjean, Thierry

    2009-06-15

    We propose a method for producing a conical beam based on the lateral refraction of the TM(01) mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid component usable for many applications has been obtained.

  2. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  3. Response of CR-39 to 0.9-2.5 MeV protons for KOH and NaOH etching solutions

    Science.gov (United States)

    Bahrami, F.; Mianji, F.; Faghihi, R.; Taheri, M.; Ansarinejad, A.

    2016-03-01

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94-2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  4. Response of LET spectrometer based on track etching at some neutron sources

    International Nuclear Information System (INIS)

    Spurny, Frantisek; Brabcova, Katerina; Jadrnickova, Iva

    2008-01-01

    There is still need to develop upgrade, and test further methods able to characterise the external exposure to neutrons. This contribution presents further results obtained with the goal to enlarge and upgrade the possibility of neutron dosimetry and microdosimetry with a LET spectrometer based on the chemically etched track detectors (TED). As TED we have used several types of polyallyldiglycolcarbonates (PADC). The PADC detectors have been exposed in: high energy neutron beams at iThemba facility, Cape Town, South Africa, and in monoenergetic neutron beams at JRC Geel, Belgium. The studies have been performed in the frame of the ESA supported project DOBIES. (author)

  5. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  6. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  7. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  8. Micro-XRD Stress And Texture Study Of Inlaid Copper Lines - Influence Of ILD, Liner And Etch Stop Layer

    International Nuclear Information System (INIS)

    Prinz, H.; Zienert, I.; Rinderknecht, J.; Geisler, H.; Zschech, E.; Besser, P.

    2004-01-01

    The influence of ILD, liner and etch stop layer on the room temperature stress state of copper line test structures was examined by micro-XRD. Test structures consisted of large arrays of parallel lines with line widths of 0.18 μm and 1.8 μm. All these parameters have an influence on the room temperature stress state, whereas the variation of the liner and the ILD showed the largest effects. The change from a full low-k stack to a hybrid stack, where SiO2 ILD is use for the 'via layer' only and low-k material for the 'line layer' results in completely different parameter dependencies. The relationship between copper microstructure and the resulting stress in copper lines is discussed

  9. Proton induced target fragmentation studies on solid state nuclear track detectors using Carbon radiators

    Science.gov (United States)

    Szabó, J.; Pálfalvi, J. K.; Strádi, A.; Bilski, P.; Swakoń, J.; Stolarczyk, L.

    2018-04-01

    One of the limiting factors of an astronaut's career is the dose received from space radiation. High energy protons, being the main components of the complex radiation field present on a spacecraft, give a significant contribution to the dose. To investigate the behavior of solid state nuclear track detectors (SSNTDs) if they are irradiated by such particles, SSNTD stacks containing carbon blocks were exposed to high energy proton beams (70, 100, 150 and 230 MeV) at the Proteus cyclotron, IFJ PAN -Krakow. The incident protons cannot be detected directly; however, tracks of secondary particles, recoils and fragments of the constituent atoms of the detector material and of the carbon radiator are formed. It was found that as the proton energy increases, the number of tracks induced in the PADC material by secondary particles decreases. From the measured geometrical parameters of the tracks the linear energy transfer (LET) spectrum and the dosimetric quantities were determined, applying appropriate calibration. In the LET spectra the LET range of the most important secondary particles could be identified and their abundance showed differences in the spectra if the detectors were short or long etched. The LET spectra obtained on the SSNTDs irradiated by protons were compared to LET spectra of detectors flown on the International Space Station (ISS): they were quite similar, resulting in a quality factor difference of only 5%. Thermoluminescent detectors (TLDs) were applied in each case to measure the dose from primary protons and other lower LET particles present in space. Comparing and analyzing the results of the TLD and SSNTD measurements, it was obtained that proton induced target fragments contributed to the total absorbed dose in 3.2% and to the dose equivalent in 14.2% in this particular space experiment.

  10. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  11. Nuclear radiation detectors

    International Nuclear Information System (INIS)

    Kapoor, S.S.; Ramamurthy, V.S.

    1986-01-01

    The present monograph is intended to treat the commonly used detectors in the field of nuclear physics covering important developments of the recent years. After a general introduction, a brief account of interaction of radiation with matter relevant to the processes in radiation detection is given in Chapter II. In addition to the ionization chamber, proportional counters and Geiger Mueller counters, several gas-filled detectors of advanced design such as those recently developed for heavy ion physics and other types of studies have been covered in Chapter III. Semiconductor detectors are dealt with in Chapter IV. The scintillation detectors which function by sensing the photons emitted by the luminescence process during the interaction of the impinging radiation with the scintillation detector medium are described in Chapter V. The topic of neutron detectors is covered in Chapter VI, as in this case the emphasis is more on the method of neutron detection rather than on detector type. Electronic instrumentation related to signal pulse processing dealt with in Chapter VII. The track etch detectors based on the visualization of the track of the impinging charge particle have also been briefly covered in the last chapter. The scope of this monograph is confined to detectors commonly used in low and medium energy nuclear physics research and applications of nuclear techniques. The monograph is intended for post-graduate students and those beginning to work with the radiation detectors. (author)

  12. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  13. A real-time positron monitor for the estimation of stack effluent releases from PET medical cyclotron facilities

    International Nuclear Information System (INIS)

    Mukherjee, Bhaskar.

    2002-01-01

    Large activities of short-lived positron emitting radiopharmaceuticals are routinely manufactured by modern Medical Cyclotron facilities for positron emission tomography (PET) applications. During radiochemical processing, a substantial fraction of the volatile positron emitting radiopharmaceuticals are released into the atmosphere. An inexpensive, fast response positron detector using a simple positron-annihilation chamber has been developed for real-time assessment of the stack release of positron emitting effluents at the Australian National Medical Cyclotron. The positron detector was calibrated by using a 3.0 ml (1.50 MBq) aliquot of 18 FDG and interfaced to an industrial standard datalogger for the real-time acquisition of stack release data

  14. Fast neutron personnel dosimetry by CR-39 plastics a new electrochemical etching procedure

    International Nuclear Information System (INIS)

    Djeffal, S.

    1984-07-01

    In the first part of this work a brief description of solid state nuclear track detectors, the principles of track registration and the different reading techniques are given. In the experimental part of the present work we systematically analysed different etching procedures and set a new electrochemical etching method, which enables us to develop a new fast neutron dosimeter. This fast neutron dosimeter makes possible the measurement of low neutron doses in the energy range from 10 Kev to 20 Mev with a reasonably flat energy response. These new developments are very attractive in personnel neutron dosimetry where nuclear emulsions are still used despite their insensitivity to neutron energies down to 500 Kev (i.e. the energy range one often encounters around nuclear facilities)

  15. Method to improve the evaluation of a combination track-etch dosimeter/spectrometer

    International Nuclear Information System (INIS)

    Brackenbush, L.W.; Parkhurst, M.A.; Hadlock, D.E.; Faust, L.G.

    1983-09-01

    A paper is summarized which describes a method of determining the neutron energy spectrum through spectrum unfolding techniques to more accurately assess the dose equivalent from track-etch dosimeters. A mathematical technique is described which can be used in conjunction with the neutron detectors to more accurately estimate neutron dose equivalent. The technique is based upon solutions to a system of Fredholm integral equations of the first type

  16. Response of CR-39 to 0.9–2.5 MeV protons for KOH and NaOH etching solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bahrami, F. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Mianji, F., E-mail: fmianji@aeoi.org.ir [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of); Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Faghihi, R. [Department of Medical Radiation Engineering, Shiraz University, Shiraz (Iran, Islamic Republic of); Taheri, M. [Iran Nuclear Regulatory Authority, Tehran (Iran, Islamic Republic of); Ansarinejad, A. [Nuclear Science & Technology Research Institute, Tehran (Iran, Islamic Republic of)

    2016-03-21

    In some circumstances passive detecting methods are the only or preferable measuring approaches. For instance, defining particles' energy profile inside the objects being irradiated with heavy ions and measuring fluence of neutrons or heavy particles in space missions are the cases covered by these methods. In this paper the ability of polyallyl diglycol carbonate (PADC) track detector (commercially known as CR-39) for passive spectrometry of proton particles is studied. Furthermore, the effect of KOH and NaOH as commonly used chemical etching solutions on the response of the detector is investigated. The experiments were carried out with protons in the energy range of 0.94–2.5 MeV generated by a Van de Graaff accelerator. Then, the exposed track dosimeters were etched in the two aforementioned etchants through similar procedure with the same normality of 6.25 N and the same temperature of 85 °C. Formation of the tracks was precisely investigated and the track diameters were recorded following every etching step for each solution using a multistage etching process. The results showed that the proposed method can be efficiently used for the spectrometry of protons over a wider dynamic range and with a reasonable accuracy. Moreover, NaOH and KOH outperformed each other over different regions of the proton energy range. The detection efficiency of both etchants was approximately 100%.

  17. Measurement of the energy spectrum of {sup 252}Cf fission fragments using nuclear track detectors and digital image processing

    Energy Technology Data Exchange (ETDEWEB)

    Espinosa, G.; Golzarri, J. I. [UNAM, Instituto de Fisica, Circuito Exterior, Ciudad Universitaria, 04510 Mexico D. F. (Mexico); Castano, V. M. [UNAM, Centro de Fisica Aplicada y Tecnologia Avanzada, Boulevard Juriquilla 3001, Santiago de Queretaro, 76230 Queretaro (Mexico); Gaso, I. [ININ, Carretera Mexico-Toluca s/n, Ocoyoacac 52750, Estado de Mexico (Mexico); Mena, M.; Segovia, N. [UNAM, Instituto de Geofisica, Circuito de la Investigacion Cientifica, Ciudad Universitaria, 04510 Mexico D. F. (Mexico)

    2010-02-15

    The energy spectrum of {sup 252}Cf fission fragments was measured using nuclear track detectors and digital image analysis system. The detection material was fused silica glass. The detectors were chemically etched in an 8% HF solution. After experimenting with various etching time, it was found that the best resolution of the track diameter distribution was obtained after 30 minutes of etching. Both Gaussian and Lorentzian curves were fit to the track diameter distribution histograms and used to determine the basic parameters of the distribution of the light (N{sub L}) and heavy (N{sub H}) formed peaks and the minimum of the central valley (N{sub V}). Advantages of the method presented here include the fully-automated analysis process, the low cost of the nuclear track detectors and the simplicity of the nuclear track method. The distribution resolution obtained by this method is comparable with the resolution obtained by electronic analysis devices. The descriptive variables calculated were very close to those obtained by other methods based on the use of semiconductor detectors. (Author)

  18. Top-down topography of deeply etched silicon in the scanning electron microscope

    International Nuclear Information System (INIS)

    Wells, Oliver C.; Murray, Conal E.; Rullan, Jonathan L.; Gignac, Lynne M.

    2004-01-01

    It is proposed to measure the cross sections of steep-sided etched lines and similar deep surface topography on partially completed silicon integrated circuit wafers using either the backscattered electron (BSE) or the low-loss electron (LLE) image in the scanning electron microscope (SEM). These images contain regions where the collected signal is zero because there is no direct line of sight between the landing point of the electron beam on the specimen and the BSE or LLE detector. It is proposed to use the boundary of such a region in the SEM image as a geometrical line to measure the surface topography. Or alternatively, a shadow can be seen in the distribution of either BSE or LLE with an image-forming detector system. The use of this shadow position on the detector to measure deep surface topography will be demonstrated

  19. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  20. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  1. Neutron-induced modifications on Hostaphan and Makrofol wettability and etching behaviors

    International Nuclear Information System (INIS)

    El-Sayed, D.; El-Saftawy, A.A.; Abd El Aal, S.A.; Fayez-Hassan, M.; Al-Abyad, M.; Mansour, N.A.; Seddik, U.

    2017-01-01

    Understanding the nature of polymers used as nuclear detectors is crucial to enhance their behaviors. In this work, the induced modifications in wettability and etching properties of Hostaphan and Makrofol polymers irradiated by different fluences of thermal neutrons are investigated. The wetting properties are studied by contact angle technique which showed the spread out of various liquids over the irradiated polymers surfaces (wettability enhanced). This wetting behavior is attributed to the induced changes in surface free energy (SFE), morphology, roughness, structure, hardness, and chemistry. SFE values are calculated by three different models and found to increase after neutrons irradiation associated with differences depending on the used model. These differences result from the intermolecular interactions in the liquid/polymer system. Surface morphology and roughness of both polymers showed drastic changes after irradiation. Additionally, surface structure and hardness of pristine and irradiated polymers were discussed and correlated to the surface wettability improvements. The changes in surface chemistry are examined by Fourier transform infrared spectroscopy (FTIR), which indicate an increase in surface polarity due to the formation of polar groups. The irradiated polymers etching characteristics and activation energies are discussed as well. Lastly, it is evident that thermal neutrons show efficiency in improving surface wettability and etching properties of Hostaphan and Makrofol in a controlled way. - Highlights: • Neutrons radiation used to modify Hostaphan and Makrofol polymer wetting behavior. • Tailoring surface structure, topography and chemistry control its wettability. • Bulk etching rate and activation energy improved after neutrons irradiation.

  2. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  3. Triton, deuteron and proton responses of the CR-39 track detector

    Energy Technology Data Exchange (ETDEWEB)

    Yamauchi, Tomoya; Matsumoto, Hiroyoshi; Oda, Keiji [Kobe Univ. of Mercantile Marine (Japan)

    1996-07-01

    In the present study, we assessed the response of the CR-39 detector to proton, deuteron and triton from their etch-pit growth curves obtained by multi-step etching technique and the difference among their track registration properties was discussed. In order to avoid incorrect evaluation due to the missing track effect, particle irradiation was performed at various incident energies. The response function, S(R), etch rate ratio, S, as a function of the residual range, R, was experimentally evaluated for all hydrogen isotopes by this method. In the next, we obtained another form of response functions of S(E), S({beta}) and S(LET{sub 200}), which were presented as functions of the particle energy, E, the particle velocity, {beta}(=v/c), and the linear energy transfer in the case where the cut-off energy is 200 eV, LET{sub 200}, respectively. These information will be useful also in understanding the fundamentals of the latent track formation mechanism in the plastic track detectors. (J.P.N.)

  4. Observation of anomalons in CR-39 track detectors

    International Nuclear Information System (INIS)

    Tincknell, M.L.; Price, P.B.

    1984-01-01

    The authors have observed fragments of 1.85 GeV/nucleon 40 Ar in CR-39 etched track detector and they find anomalously short mean free paths (mfp's) of secondary nuclei with 11 less than or equal to Z less than or equal to 17 in the first 2 cm after their production, at approx.3 standard deviations. This confirms previous reports of this anomalon effect in nuclear emulsion in a new detector with dissimilar potential systematic errors

  5. The solid state track detectors for α-particles angular distribution measurements

    International Nuclear Information System (INIS)

    Bakr, M.H.S.

    1978-01-01

    The solid state track detectors technique is described in details from the point of view of applying them in nuclear reactions research. Using an optimum developing solution, the etching rate of polycarbonate detector was found to be 10.5 μ/hour. The energy resolution of this detector was estimated using 241 Am α-source at α-energies between 1 and 3 Mev. The scattering chamber designed for angular distribution measurements using solid state track detectors is described. A special schematic normograph for range-energy-degrading foils relation is given

  6. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  7. Theoretical determination of the neutron detection efficiency of plastic track detectors. Pt. 1

    International Nuclear Information System (INIS)

    Pretzsch, G.

    1982-01-01

    A theoretical model to determine the neutron detection efficiency of organic solid state nuclear track detectors without external radiator is described. The model involves the following calculation steps: production of heavy charged particles within the detector volume, characterization of the charged particles by appropriate physical quantities, application of suitable registration criteria, formation of etch pits. The etch pits formed are described by means of a distribution function which is doubly differential in both diameter and depth of the etch pits. The distribution function serves as the input value for the calculation of the detection efficiency. The detection efficiency is defined as the measured effect per neutron fluence. Hence it depends on the evaluation technique considered. The calculation of the distribution function is carried out for cellulose triacetate. The determination of the concrete detection efficiency using the light microscope and light transmission measurements as the evaluation technique will be described in further publications. (orig.)

  8. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  9. Perfomance of a high purity germanium multi-detector telescope for long range particles

    International Nuclear Information System (INIS)

    Riepe, G.; Protic, D.; Suekoesd, C.; Didelez, J.P.; Frascaria, N.; Gerlic, E.; Hourani, E.; Morlet, M.

    1980-01-01

    A telescope of stacked high purity germanium detectors designed for long range charged particles was tested using medium energy protons. Particle identification and the rejection of the low energy tail could be accomplished on-line allowing the measurement of complex spectra. The efficiency of the detector stack for protons was measured up to 156 MeV incoming energy. The various factors affecting the energy resolution are discussed and their estimated contributions are compared with the experimental results

  10. Experimental study on the aging process of the LR 115 cellulose nitrate radon detector

    International Nuclear Information System (INIS)

    Siems, M.; Freyer, K.; Treutler, H.-C.; Joensson, G.; Enge, W.

    2001-01-01

    An experimental determination of the aging process of cellulose nitrate detector material was based on the examination of special properties of the LR 115 solid state nuclear track detectors (SSNTDs) of various ages up to 18 years. The examined relevant parameters are the bulk etching rate v b and the track etching rate v t . These parameters are responsible for the appearance, the size and the registration efficiency of tracks of α-particles from radon gas in the detector. To find a correlation between these material parameters and the detector sensitivity an experimental calibration of indoor room and outdoor soil detector devices based on LR 115 took place at the Umweltforschungszentrum Leipzig-Halle (Germany). To avoid routine calibration work in external radon exposure facilities a correction of the age dependent calibration factors with the material parameters measured in one's own laboratory was targeted. In this study a general age dependence, however, was not found. The following statements for practical applications can be made. (i) the bulk etching rate v b for detectors of the same batch has a depth dependence and this dependence is constant over 2 years (LR 115 September 1994). (ii) detectors of different batches older than 5 years and stored at room temperature show an odd v b behaviour when v b is used for describing track shapes. (iii) the calibration factor of detectors of different batches that were stored at about +4 deg. C is constant over 5 years (LR 115 September 1994 and February 1999, Table 2). The conclusion is that LR 115 detectors not older than 5 years and stored in a refrigerator at about +4 deg. C should be preferred for radon measurements. Furthermore these detectors should be recalibrated every year and the microscope work of this calibrations should be performed by the same person who performs the measurements. In addition, a phenomenon related to fundamental track formation mechanisms was found, that the time straggling of the

  11. Dual-sided microstructured semiconductor neutron detectors (DSMSNDs)

    International Nuclear Information System (INIS)

    Fronk, Ryan G.; Bellinger, Steven L.; Henson, Luke C.; Ochs, Taylor R.; Smith, Colten T.; Kenneth Shultis, J.; McGregor, Douglas S.

    2015-01-01

    Microstructured semiconductor neutron detectors (MSNDs) have in recent years received much interest as high-efficiency replacements for thin-film-coated thermal neutron detectors. The basic device structure of the MSND involves micro-sized trenches that are etched into a vertically-oriented pvn-junction diode that are backfilled with a neutron converting material. Neutrons absorbed within the converting material induce fission of the parent nucleus, producing a pair of energetic charged-particle reaction products that can be counted by the diode. The MSND deep-etched microstructures produce good neutron-absorption and reaction-product counting efficiencies, offering a 10× improvement in intrinsic thermal neutron detection efficiency over thin-film-coated devices. Performance of present-day MSNDs are nearing theoretical limits; streaming paths between the conversion-material backfilled trenches, allow a considerable fraction of neutrons to pass undetected through the device. Dual-sided microstructured semiconductor neutron detectors (DSMSNDs) have been developed that utilize a complementary second set of trenches on the back-side of the device to count streaming neutrons. DSMSND devices are theoretically capable of greater than 80% intrinsic thermal neutron detection efficiency for a 1-mm thick device. The first such prototype DSMSNDs, presented here, have achieved 29.48±0.29% nearly 2× better than MSNDs with similar microstructure dimensions.

  12. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  13. A pulse stacking method of particle counting applied to position sensitive detection

    International Nuclear Information System (INIS)

    Basilier, E.

    1976-03-01

    A position sensitive particle counting system is described. A cyclic readout imaging device serves as an intermediate information buffer. Pulses are allowed to stack in the imager at very high counting rates. Imager noise is completely discriminated to provide very wide dynamic range. The system has been applied to a detector using cascaded microchannel plates. Pulse height spread produced by the plates causes some loss of information. The loss is comparable to the input loss of the plates. The improvement in maximum counting rate is several hundred times over previous systems that do not permit pulse stacking. (Auth.)

  14. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  15. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  16. Multielement X-ray row detector on GaAs with spatial resolution of 108 μm

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Dikaev, Yu.M.; Krikunov, A.I.; Panova, T.M.; Telegin, A.A.

    2004-01-01

    The multielement X-ray row detector with pitch of 108 μm was made on epitaxial GaAs (p + -n-n'-n + ) structures by isotropic etching in solution HCl-KBrO 3 -H 2 O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated

  17. Development of the RAIDS extreme ultraviolet wedge and strip detector. [Remote Atmospheric and Ionospheric Detector System

    Science.gov (United States)

    Kayser, D. C.; Chater, W. T.; Christensen, A. B.; Howey, C. K.; Pranke, J. B.

    1988-01-01

    In the next few years the Remote Atmospheric and Ionospheric Detector System (RAIDS) package will be flown on a Tiros spacecraft. The EUV spectrometer experiment contains a position-sensitive detector based on wedge and strip anode technology. A detector design has been implemented in brazed alumina and kovar to provide a rugged bakeable housing and anode. A stack of three 80:1 microchannel plates is operated at 3500-4100 V. to achieve a gain of about 10 to the 7th. The top MCP is to be coated with MgF for increased quantum efficiency in the range of 50-115 nm. A summary of fabrication techniques and detector performance characteristics is presented.

  18. Nuclearites search with the TLS detector

    International Nuclear Information System (INIS)

    Liang, S.; Wada, T.; Nakatsuka, T.; Okei, K.; Saavedra, O.; Takahashi, N.; Tsuji, S.; Yamamoto, I.; Yamashita, Y.; Lan, S.; Okita, M.; Takahashi, N.; Morita, T.; Ishii, R.; Goto, Y.; Iyono, A.; Matsumoto, H.; Nakagawa, M.; Noda, C.; Masuda, M.

    2008-01-01

    It is shown that a thermoluminescent sheet stack (TLS) detector, consisting of TL sheets and medical x-ray films, is an effective nuclearite detector. The TLS can be used for searching lighter nuclearites at sea level owing to the fact that the usual relativistic particles cannot make their tracks in the TLS unless they have a charge of larger than 50. We will report recent results of searching for lighter nuclearites at sea level

  19. Gravitational wave spectroscopy of binary neutron star merger remnants with mode stacking

    Science.gov (United States)

    Yang, Huan; Paschalidis, Vasileios; Yagi, Kent; Lehner, Luis; Pretorius, Frans; Yunes, Nicolás

    2018-01-01

    A binary neutron star coalescence event has recently been observed for the first time in gravitational waves, and many more detections are expected once current ground-based detectors begin operating at design sensitivity. As in the case of binary black holes, gravitational waves generated by binary neutron stars consist of inspiral, merger, and postmerger components. Detecting the latter is important because it encodes information about the nuclear equation of state in a regime that cannot be probed prior to merger. The postmerger signal, however, can only be expected to be measurable by current detectors for events closer than roughly ten megaparsecs, which given merger rate estimates implies a low probability of observation within the expected lifetime of these detectors. We carry out Monte Carlo simulations showing that the dominant postmerger signal (the ℓ=m =2 mode) from individual binary neutron star mergers may not have a good chance of observation even with the most sensitive future ground-based gravitational wave detectors proposed so far (the Einstein Telescope and Cosmic Explorer, for certain equations of state, assuming a full year of operation, the latest merger rates, and a detection threshold corresponding to a signal-to-noise ratio of 5). For this reason, we propose two methods that stack the postmerger signal from multiple binary neutron star observations to boost the postmerger detection probability. The first method follows a commonly used practice of multiplying the Bayes factors of individual events. The second method relies on an assumption that the mode phase can be determined from the inspiral waveform, so that coherent mode stacking of the data from different events becomes possible. We find that both methods significantly improve the chances of detecting the dominant postmerger signal, making a detection very likely after a year of observation with Cosmic Explorer for certain equations of state. We also show that in terms of detection

  20. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  1. Fast Neutron Dosimetry Using CR-39 Nuclear Track Detector

    International Nuclear Information System (INIS)

    ZAKI, M.; ABDEL-NABY, A.; MORSY, A.

    2010-01-01

    Measurement of the neutron dose in and around the neutron sources is important for the purpose of personnel and environmental neutron dosimetry. In the present study, a method for the measurement of neutron dose using the UV-Vis spectra of CR-39 plastic track detector was investigated. A set of CR-39 plastic detectors was exposed to 252 Cf neutron source, which had the yield of 0.68x10 8 /s, and neutron dose equivalent rate 1m apart from the source is equal to 3.8 mrem/h. The samples were etched for 10 h in 6.25 N NaOH at 70 o C. The absorbance of the etched samples was measured using UV-visible spectrophotometer as a function of neutron dose. It was observed that there was a linear relationship between the optical absorption of these detectors and neutron dose. This means that the exposure dose of neutron can be determined by knowing the optical absorption of the sample. These results were compared with previous study. It was found that there was a matching and good agreement with their investigations.

  2. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  3. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  4. Probing Temperature Inside Planar SOFC Short Stack, Modules, and Stack Series

    Science.gov (United States)

    Yu, Rong; Guan, Wanbing; Zhou, Xiao-Dong

    2017-02-01

    Probing temperature inside a solid oxide fuel cell (SOFC) stack lies at the heart of the development of high-performance and stable SOFC systems. In this article, we report our recent work on the direct measurements of the temperature in three types of SOFC systems: a 5-cell short stack, a 30-cell stack module, and a stack series consisting of two 30-cell stack modules. The dependence of temperature on the gas flow rate and current density was studied under a current sweep or steady-state operation. During the current sweep, the temperature inside the 5-cell stack decreased with increasing current, while it increased significantly at the bottom and top of the 30-cell stack. During a steady-state operation, the temperature of the 5-cell stack was stable while it was increased in the 30-cell stack. In the stack series, the maximum temperature gradient reached 190°C when the gas was not preheated. If the gas was preheated and the temperature gradient was reduced to 23°C in the stack series with the presence of a preheating gas and segmented temperature control, this resulted in a low degradation rate.

  5. Multielement X-ray row detector on GaAs with spatial resolution of 108 {mu}m

    Energy Technology Data Exchange (ETDEWEB)

    Dvoryankin, V.F.; Dikaev, Yu.M. E-mail: ymd289@ire216.msk.ru; Krikunov, A.I.; Panova, T.M.; Telegin, A.A

    2004-09-21

    The multielement X-ray row detector with pitch of 108 {mu}m was made on epitaxial GaAs (p{sup +}-n-n'-n{sup +}) structures by isotropic etching in solution HCl-KBrO{sub 3}-H{sub 2}O. Separation of signals from the near-by detectors is achieved by built-in guard ring on each pixel. The spatial response of the detectors was evaluated.

  6. Studies on neutron detection with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Khouri, M.C.; Vilela, E.C.; Andrade, C. de.

    1993-03-01

    The detection of thermal and fast neutrons was studied. For thermal neutrons, alpha sensitive plastic was used in order to register the products of nuclear reactions taking place in boron and /or lithium converters. Fast neutrons produce recoil tracks within the detector. In the present case, CR-39 and Makrofol E were used. Chemical and electrochemical etching processes were used for thermal and fast neutron detectors, respectively. (F.E.). 6 refs, 4 figs, 6 tabs

  7. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  8. Simple preparation of thin CR-39 detectors for alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Chan, K.F.; Lau, B.M.F.; Nikezic, D.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2007-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require accurate positions where the alpha particles hit the cells. In the present work, we prepared thin CR-39 detectors from commercially available CR-39 SSNTDs with a thickness of 100 μm by etching them in 1 N NaOH/ethanol at 40 deg. C to below 20 μm. The desired final thickness was achieved within ∼8 h. Such etching conditions can provide relatively small roughness of the detector as revealed by atomic force microscope, and thus provide transparent detectors for radiobiological experiments. UV radiation was employed to shorten track formation time on these thin CR-39 detectors. After exposure to UV light (UVA + B radiation) for 2-3 h with doses from 259 to 389 W/cm 2 , 5 MeV alpha-particle tracks can be seen to develop on these CR-39 detectors clearly under the optical microscope within 2 h in 14 N KOH at 37 deg. C. As an example for practical use, custom-made petri dishes, with a hole drilled at the bottom and covered with a thin CR-39 detector, were used for culturing HeLa cells. The feasibility of using these thin CR-39 detectors is demonstrated by taking photographs of the cells and alpha-particle tracks together under the optical microscope, which can allow the hit positions on the cells by the alpha particles to be determined accurately

  9. ALICE Transition Radiation Detector

    CERN Multimedia

    Pachmayer, Y

    2013-01-01

    The Transition Radiation Detector (TRD) is the main electron detector in ALICE. In conduction with the TPC and the ITS, it provides the necessary electron identification capability to study: - Production of light and heavy vector mesons as well as the continuum in the di-electron channel, - Semi leptonic decays of hadrons with open charm and open beauty via the single-electron channel using the displaced vertex information provided by the ITS, - Correlated DD and BB pairs via coincidences of electrons in the central barrel and muons in the forward muon arm, - Jets with high Pτ tracks in one single TRD stack.

  10. Process effects on leakage current of Si-PIN neutron detectors with porous microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Baoning; Zhao, Kangkang; Yang, Taotao [Beijing University of Technology, Chaoyang District, Pingleyuan 100, 100124 Beijing (China); Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Ruoshui Road 398, 215123 Suzhou (China); Jiang, Yong; Fan, Xiaoqiang [Institute of Nuclear Physics and Chemistry, CAEP, Mianshan Road 64, 621900 Mianyang (China); Lu, Min [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Ruoshui Road 398, 215123 Suzhou (China); Han, Jun [Beijing University of Technology, Chaoyang District, Pingleyuan 100, 100124 Beijing (China)

    2017-06-15

    Using the technique of Microfabrication, such as deep silicon dry etching, lithography, etc. Si-PIN neutron detectors with porous microstructure have been successfully fabricated. In order to lower the leakage current, the key fabrication processes, including the Al windows opening, deep silicon etching and the porous side wall smoothing, have been optimized. The cross-section morphology and current-voltage characteristics have been measured to evaluate the microfabrication processes. With the optimized conditions presented by the measurements, a neutron detector with a leakage current density of 2.67 μA cm{sup -2} at a bias of -20 V is obtained. A preliminary neutron irradiation test with {sup 252}Cf neutron source has also been carried out. The neutron irradiation test shows that the neutron detection efficiency of the microstructured neutron detectors is almost 3.6 times higher than that of the planar ones. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Microchannel neural interface manufacture by stacking silicone and metal foil laminae

    Science.gov (United States)

    Lancashire, Henry T.; Vanhoestenberghe, Anne; Pendegrass, Catherine J.; Ajam, Yazan Al; Magee, Elliot; Donaldson, Nick; Blunn, Gordon W.

    2016-06-01

    Objective. Microchannel neural interfaces (MNIs) overcome problems with recording from peripheral nerves by amplifying signals independent of node of Ranvier position. Selective recording and stimulation using an MNI requires good insulation between microchannels and a high electrode density. We propose that stacking microchannel laminae will improve selectivity over single layer MNI designs due to the increase in electrode number and an improvement in microchannel sealing. Approach. This paper describes a manufacturing method for creating MNIs which overcomes limitations on electrode connectivity and microchannel sealing. Laser cut silicone—metal foil laminae were stacked using plasma bonding to create an array of microchannels containing tripolar electrodes. Electrodes were DC etched and electrode impedance and cyclic voltammetry were tested. Main results. MNIs with 100 μm and 200 μm diameter microchannels were manufactured. High electrode density MNIs are achievable with electrodes present in every microchannel. Electrode impedances of 27.2 ± 19.8 kΩ at 1 kHz were achieved. Following two months of implantation in Lewis rat sciatic nerve, micro-fascicles were observed regenerating through the MNI microchannels. Significance. Selective MNIs with the peripheral nervous system may allow upper limb amputees to control prostheses intuitively.

  12. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  13. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  14. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  15. Fast neutron spectrometry based on proton detection in CR-39 detector

    Energy Technology Data Exchange (ETDEWEB)

    Dajko, G.; Somogyi, G.

    1986-01-01

    The authors have developed a home-made proton-sensitive CR-39 track detector called MA-ND/p. Using this and the n-p scattering process the performance of a fast neutron spectrometer has been studied by applying two different methods. These are based on track density determinations by using varying radiator thicknesses at constant etching time and by using varying etching times at fixed radiator thickness, respectively. For both methods studied a computer programme is made to calculate the theoretically expected neutron sensitivity as a function of neutron energy. For both methods the neutron sensitivities, expressed in terms of observable etched proton tracks per neutron, are determined experimentally for 3.3 and 14.7 MeV neutron energies. The theoretical and experimental data obtained are compared.

  16. Fast neutron spectrometry based on proton detection in CR-39 detector

    International Nuclear Information System (INIS)

    Dajko, G.; Somogyi, G.

    1986-01-01

    The authors have developed a home-made proton-sensitive CR-39 track detector called MA-ND/p. Using this and the n-p scattering process the performance of a fast neutron spectrometer has been studied by applying two different methods. These are based on track density determinations by using varying radiator thicknesses at constant etching time and by using varying etching times at fixed radiator thickness, respectively. For both methods studied a computer programme is made to calculate the theoretically expected neutron sensitivity as a function of neutron energy. For both methods the neutron sensitivities, expressed in terms of observable etched proton tracks per neutron, are determined experimentally for 3.3 and 14.7 MeV neutron energies. The theoretical and experimental data obtained are compared. (author)

  17. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  18. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  19. Mechanism of track formation by charged particles in inorganic and organic solid-state track detectors

    International Nuclear Information System (INIS)

    Doerschel, B.; Pretzsch, G.; Streubel, G.

    1979-01-01

    Knowledge of the individual phases of track formation mechanism is necessary in some applications of solid-state track detectors. The generation of latent tracks is described by energy transfer processes of the charged particles along their paths using several different models. Etchability of the latent tracks is discussed on the basis of some distinct criteria taking into account different fractions of energy release by the primary and secondary particles during track generation. If these etchability criteria for latent tracks are fulfilled, visual particle tracks can be produced by a chemical etching process. Etch pit formation depends on the etching conditions. The geometrical parameters of the etching pits are given on the basis of known etching rates. Evaluation of individual particle tracks or determination of track density yields results depending on both the properties of the particles and the etching conditions. Determination of particle energy and particle fluence is discussed as an example. (author)

  20. [The durability of three self-etch adhesives bonded to dentin].

    Science.gov (United States)

    Tian, Fu-Cong; Wang, Xiao-Yan; Gao, Xue-Jun

    2013-04-01

    To investigate the durability of self-etch adhesives bonded to dentin in vitro. Forty-two extracted human molars were selected and occlusal dentin surfaces were exposed. The teeth were randomly distributed into three groups based on adhesives applied. The one-step self-etch adhesive B(Adper Prompt) and C(G-Bond) and two-step self-etch adhesive A (Clearfil SE bond) were used. After application of the adhesives to the dentin surfaces, composite crowns were built up, after 24 h water storage, the teeth were sectioned longitudinally into sticks (1.0 mm×1.0 mm bonding area) for microtensile testing or slabs (1 mm thick) for scanning electron microscopec (SEM) observation. Bonding strength (mTBS) and nano-leakage were evaluated immediately after cutting or after 6 months in water. The mTBS was analyzed using one-way ANOVA (SPSS 13.0). The nanoleakage was observed by SEM with a backscattered electron detector. Both adhesives and water storage time affected the mTBS. All adhesives showed decreased bond strength after six-month water aging [A dropped from (40.60 ± 5.76) MPa to (36.04 ± 3.15) MPa; B dropped from (19.06 ± 1.50) MPa to (11.19 ± 1.97) MPa; C dropped from (17.75 ± 1.10) MPa to (9.14 ± 1.15) MPa] (P adhesives tested were probably influenced by water aging, however, the two-step adhesive showed better durability than the one-step adhesives.

  1. Range measurements and track kinetics in Dielectric Nuclear Track Detectors (DNTDs)

    Energy Technology Data Exchange (ETDEWEB)

    Aframian, A

    1981-01-01

    Observations of nuclear track development profiles and the kinetics of etched tracks in sensitive dielectric nuclear track detectors indicate three separate phases: the inception phase or the cone phase, the transition phase and the sphere phase. Continued etching of the sphere phase to through-tracks yields accurate range data for particles of different masses and energies and minimum critical angles of registration for each particle. The present results show an energy resolution of 40 keV (fwhm) for 5.48 MeV alpha-particles emitted from Am-241.

  2. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  3. Cosmic ray studies on the ISS using SSNTD, BRADOS projects, 2001–2003

    CERN Document Server

    Pálfalvia, J K; Akatov, Y; Sajó-Bohus, L; Eördögh, I

    2005-01-01

    The BRADOS 1–3 projects were organised by the Russian Space Agency (RZA) between 2001 and 2003. The aim was to study the contribution of the primary galactic cosmic rays and of the secondary particles to the dose received by the crew of the International Space Station (ISS). Several laboratories participated in these experiments. Two different stacks (constructed by the team of the Atomic Energy Research Institute, AERI, Budapest, Hungary) composed of solid-state nuclear track detectors (SSNTD) were exposed inside the Service Module at different locations. The calibrations were made at the CERN high-energy neutron reference field named CERF (Geneva, Swiss). Applying a multiple track etching technique (2–20 h etching time) and a sophisticated image analyser, the secondary neutron dose was deduced. The composition of stacks, the evaluation methods and the results will be presented here or referenced to previous papers.

  4. Determination of Nuclear Track Parameters for LR-115 Detector by Using of MATLAB Software Technique

    International Nuclear Information System (INIS)

    AL-Jomaily, F.M.; AL-joburi, H.A.; Mheemeed, A.K.

    2013-01-01

    The nuclear track detector parameters, such as nuclear track diameter D(μm), number of track N T and area of track A T were determined by using MATLAB software technique for IR-115 detector irradiated by alpha particle from 241 Am source under 1.5, 2.5 and 3.5 MeV at etching time T B of 90, 120, 150 and 180 min.By using the image analysis of MATLAB software for nuclear track, the full width at half maximum FWHM and relative resolution R% were calculated for each energy of alpha particles.In this study, it was shown that increasing the alpha energy on the IR-115 detector leads to increased etching time T B and the dropping of R% to minimum value, and then reach a stable value before dropping at values 1.5, 2.5 MeV and unstable at 3.5 MeV. Imaging analysis by MATLAB technique which used in this study reflect good and accurate results for nuclear track detector parameters and we recommend using this technique for determination of these parameters

  5. Examining the fragmentation of 158 A GeV lead ions on copper target: Charge-changing cross sections

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed; Manzoor, Shahid

    2008-01-01

    A stack of plastic CR-39 Track Detectors were exposed to 158 A GeV 207 Pb ions at the CERN-SPS beam facility. The exposure of stack was performed at normal incidence with a fluence of about 1500ions/cm 2 . The total number of lead ions in each spill was about 7.8x10 4 with eight spills on each stack. For the stack with the Cu target, the lengths of etched cones on one face of the CR-39 detectors (before and after the target) were measured. Using these measurements and charge identification methodology in CR-39 track detectors, total and partial charge changing cross sections of 158 A GeV Pb 82+ ions on Cu and CR-39 targets are determined in the charge region 63≤Z≤82. The possibilities of presence and absence of odd-even effect in measured partial charge changing cross sections of 158 A GeV Pb ions for Cu and CR-39 targets are described. The charge resolution (σ Z ) achieved in the present experiment is ∼0.18e-0.21e. The analysis of discrepancies between our experimental results and other published results for the identical reaction is also presented

  6. Examining the fragmentation of 158 A GeV lead ions on copper target: Charge-changing cross sections

    Energy Technology Data Exchange (ETDEWEB)

    Rana, Mukhtar Ahmed [Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)], E-mail: marana@alumni.nus.edu.sg; Manzoor, Shahid [Physics Division, PINSTECH, P.O. Nilore, Islamabad (Pakistan)

    2008-09-15

    A stack of plastic CR-39 Track Detectors were exposed to 158 A GeV {sup 207}Pb ions at the CERN-SPS beam facility. The exposure of stack was performed at normal incidence with a fluence of about 1500ions/cm{sup 2}. The total number of lead ions in each spill was about 7.8x10{sup 4} with eight spills on each stack. For the stack with the Cu target, the lengths of etched cones on one face of the CR-39 detectors (before and after the target) were measured. Using these measurements and charge identification methodology in CR-39 track detectors, total and partial charge changing cross sections of 158 A GeV Pb{sup 82+} ions on Cu and CR-39 targets are determined in the charge region 63{<=}Z{<=}82. The possibilities of presence and absence of odd-even effect in measured partial charge changing cross sections of 158 A GeV Pb ions for Cu and CR-39 targets are described. The charge resolution ({sigma}{sub Z}) achieved in the present experiment is {approx}0.18e-0.21e. The analysis of discrepancies between our experimental results and other published results for the identical reaction is also presented.

  7. A 3D microtomographic system with stacked fan-beam geometry

    International Nuclear Information System (INIS)

    Kohlbrenner, Adrian; Haemmerle, Stefan; Laib, Andres; Rueegsegger, Peter

    2000-01-01

    The move from 2D to 3D analysis, and the increase in spatial resolution characterize recent improvements in CT-based structure assessment. A new desktop micro-CT system with high scanning speed has been developed. The instrument makes use of a novel multiple fan-beam technique: radiation from a line-focus X-ray tube is divided into a stack of fan-beams by a foil collimator with a 30 μm pitch. The stacked fan-beam geometry allows to design compact CT scanners with a source-to-detector distance of only 18 cm. The complete system fits into a standard 19 in. rack. Image reconstruction is based on standard fan-beam algorithms, which eliminates the difficulties and limitations associated with cone-beam reconstruction. The detector comprises a low-noise area CCD sensor, a fiber-optic light guide and a scintillator screen. A complete examination requires 2 min only. The new micro-CT system has a voxel size of 20x20x26 μm 3 . Each 3D image contains a quarter of a billion voxels or a multiple of it. Samples up to 20 mm in diameter and up to 40 mm in length can be imaged. The instrument can be operated in a normal lab environment

  8. Ultrastructure of the surface of dental enamel with molar incisor hypomineralization (MIH) with and without acid etching.

    Science.gov (United States)

    Bozal, Carola B; Kaplan, Andrea; Ortolani, Andrea; Cortese, Silvina G; Biondi, Ana M

    2015-01-01

    The aim of the present work was to analyze the ultrastructure and mineral composition of the surface of the enamel on a molar with MIH, with and without acid etching. A permanent tooth without clinical MIH lesions (control) and a tooth with clinical diagnosis of mild and moderate MIH, with indication for extraction, were processed with and without acid etching (H3PO4 37%, 20") for observation with scanning electron microscope (SEM) ZEISS (Supra 40) and mineral composition analysis with an EDS detector (Oxford Instruments). The control enamel showed normal prismatic surface and etching pattern. The clinically healthy enamel on the tooth with MIH revealed partial loss of prismatic pattern. The mild lesion was porous with occasional cracks. The moderate lesion was more porous, with larger cracks and many scales. The mineral composition of the affected surfaces had lower Ca and P content and higher O and C. On the tooth with MIH, even on normal looking enamel, the demineralization does not correspond to an etching pattern, and exhibits exposure of crystals with rods with rounded ends and less demineralization in the inter-prismatic spaces. Acid etching increased the presence of cracks and deep pores in the adamantine structure of the enamel with lesion. In moderate lesions, the mineral composition had higher content of Ca, P and Cl. Enamel with MIH, even on clinically intact adamantine surfaces, shows severe alterations in the ultrastructure and changes in ionic composition, which affect the acid etching pattern and may interfere with adhesion.

  9. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  10. Determining of the track parameters in solid state nuclear track detectors Cr 39 due to alpha particles

    International Nuclear Information System (INIS)

    Kostic, D.; Nikezic, D.

    1997-01-01

    An equation of the etch pit wall is proposed to be used for simulation of the track growth and calculating the major and the minor axis of etch pit opening. Dependence on the following parameters is set up: distance along a track from the point where the particle entered the detector, ratio of the track etch wall to the bulk etch rate, integration constant determined from particle penetration depth and normal distance from the particle trajectory to the etch pit wall. The corresponding computer program was written. The input parameters of this program are: alpha particles energy, incidence angle and removed layer; the output gives track parameters. The results obtained by this method are compared to another approach given by Somogy and Szalay (1973) and a reasonably good agreement is found. (author)

  11. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  12. Influence of etching conditions on the efficiency and critical angle of plastic detector Makrofol-N

    International Nuclear Information System (INIS)

    Bhatia, R.K.; Singh, R.C.; Virk, H.S.

    1989-01-01

    The effect of concentration and temperature of the etching agent on Makrofol-N is studied using 54 129 Xe ion beam (7.5 MeV/n) at normal as well as oblique incidence. The critical angle for track revelation in Makrofol-N and the efficiency of track registration is estimated using standard formulation proposed by Somogyi and Hunyadi (1979). (author). 8 r efs

  13. Lignite and tin ores exploration in southern part of Thailand by using nuclear track-etch detectors

    International Nuclear Information System (INIS)

    Chittrakarn, T.; Boonnummar, R.; Pongsuwan, T.; Nuannin, P.; Kaew-On, C.

    1993-01-01

    Both lignite and tin mines in Southern of Thailand are associated with uranium ore. In lignite exploration, Bangpudum Lignite Mine at Krabi Province was chosen for this studied because we know the exact location and deposition of coal seam by using other geophysical technique and also confirm by borehole. The size 1x2 cm 2 of cellulose nitrate CN-85 films were used, each film was stuck at the inner bottom of a softdrink cup. Each cup was put up side down at the bottom of a borehole about 75 cm depth from the earth surface and laid about 10 m apart. All the cups were put in the hole along the line in order to cover about 280 metre in the cross sectional direction long of the known coal seam. After one month, all the film detectors were collected and etched with 6.25N NaOH at 60 o C about 25 minutes long in order to enlarge the latent alpha registration tracks. These alpha particles were emitted from radon gas (Rn-222) which was generated from uranium associated with lignite ore. The registration track density per area of each CN-85 film was studied by optical microscope at 400x magnifications. We found that the track densities of the films have high correlation with the depth of the known coal seam while high and low track densities will correspond to the shallow and deep coal seam respectively. Also, track density was significantly higher than background. A similar manner of experiment was designed for tin ore exploration at Ronpibul district, Nakorn Si Thammarat province. The result is in progress and will present at the conference. (Author)

  14. A preliminary study of a miniature planar 6-cell PEMFC stack combined with a small hydrogen storage canister

    Science.gov (United States)

    Zhang, Xigui; Zheng, Dan; Wang, Tao; Chen, Cong; Cao, Jianyu; Yan, Jian; Wang, Wenming; Liu, Juanying; Liu, Haohan; Tian, Juan; Li, Xinxin; Yang, Hui; Xia, Baojia

    The fabrication and performance evaluation of a miniature 6-cell PEMFC stack based on Micro-Electronic-Mechanical-System (MEMS) technology is presented in this paper. The stack with a planar configuration consists of 6-cells in serial interconnection by spot welding one cell anode with another cell cathode. Each cell was made by sandwiching a membrane-electrode-assembly (MEA) between two flow field plates fabricated by a classical MEMS wet etching method using silicon wafer as the original material. The plates were made electrically conductive by sputtering a Ti/Pt/Au composite metal layer on their surfaces. The 6-cells lie in the same plane with a fuel buffer/distributor as their support, which was fabricated by the MEMS silicon-glass bonding technology. A small hydrogen storage canister was used as fuel source. Operating on dry H 2 at a 40 ml min -1 flow rate and air-breathing conditions at room temperature and atmospheric pressure, the linear polarization experiment gave a measured peak power of 0.9 W at 250 mA cm -2 for the stack and average power density of 104 mW cm -2 for each cell. The results suggested that the stack has reasonable performance benefiting from an even fuel supply. But its performance tended to deteriorate with power increase, which became obvious at 600 mW. This suggests that the stack may need some power assistance, from say supercapacitors to maintain its stability when operated at higher power.

  15. Beryllium isotopes in cosmic radiation measured with plastic detectors

    International Nuclear Information System (INIS)

    Fukui, K.; Enge, W.; Beaujean, R.

    1976-01-01

    Plastic stacks consisting of Daicel cellulose nitrate and Kodak cellulose nitrate were flown from Fort Churchill, Canada in 1971 for the study of isotopic components of light nuclei, especially beryllium, in primary cosmic rays. Tracks found in these detectors were analysed for charge and mass identification; the ratio between Be 7 and total Be is obtained as 0.64 +- 0.25 at detector level. (orig.) [de

  16. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  17. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  18. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  19. Detection of heavy nuclei in the plastic track detector CR-39

    International Nuclear Information System (INIS)

    Fumuro, F.; Ihara, R.; Ohta, I.; Sato, Y.; Tezuka, I.; Tasaka, S.; Sugimoto, H.

    1982-01-01

    Relativistic cosmic ray nuclei were detected in an emulsion chamber composed of a pile of solid state track detectors (CR-39) and the emulsion plates. The 460 heavy nuclei were observed by scanning of emulsion and CR-39 plastic plates. The normalized track etch rate (Vsub(t)/Vsub(b))-1 for relativistic iron group was measured as 1.3+-0.05 and bulk etch rate Vsub(b) as 1.63+-0.08 μm/hour after 32 hours etch in 6.8 N NaOH at 70 0 C. The charge detection threshold was obtained to be Z=6 for β=1 with the dip angle larger than 75 degrees. The charge resolution was estimated to be Δ Z=0.7 for iron, and Δ Z=0.4 for magnesium and silicon nuclei

  20. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  1. Fragmentation of Pb-Projectiles at SPS Energies

    CERN Multimedia

    2002-01-01

    % EMU17 \\\\ \\\\ We have exposed stacks consisting of solid state nuclear track detectors (CR-39 plastic and BP-1 glass) and different target materials at the SPS to beams of Pb projectiles. Our detectors record tracks of relativistic nuclei with charge numbers of Z~$\\geq$~6 for CR-39 and Z~$\\geq$75 for BP-1. After development of the tracks by etching they are detected and measured using completely automated microscope systems. Thus experiments with high statistics are possible. \\\\ \\\\BP-1 detectors were exposed to measure total charge changing cross sections and elemental production cross sections for heavy projectile fragments. These experiments were performed for different targets CH$ _{2} $, C, Al, Cu, Ag and Pb. Comparison of the results for different targets allows to investigate contributions to charge changing reactions by electromagnetic dissociation. Multifragmentation events in which several intermediate mass fragments are emitted from the heavy Pb projectile are studied using stacks containing CR-39 d...

  2. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  3. Strip type radiation detector and method of making same

    International Nuclear Information System (INIS)

    Jantsch, O.; Feigt, I.; Willig, W.R.

    1976-01-01

    An improved strip detector and a method for making such a detector in which a high resistivity N conduction semiconductor body has electrode strips formed thereon by diffusion is described. The strips are formed so as to be covered by an oxide layer at the surface point of the PN junction and in which the opposite side of the semiconductor body then has a substantial amount of material etched away to form a thin semiconductor upon which strip electrodes which are perpendicular to the electrodes on the first side are then placed

  4. Non-etching nuclear track visualization in polymers: fluorescent and dyed tracks

    International Nuclear Information System (INIS)

    Somogyi, G.; Toth-Szilagyi, M.; Monnin, M.; Gourcy, J.

    1979-01-01

    A report is presented on progress in two methods of non-etching nuclear track visualization. The basis for one method is to graft polymer chains in the vicinity of the ion path in a polymer, and then to dye it, making the track visible. The second method is similar, but in this case saturated organic molecules can be used, which prevents subsequent polymerization. The detector is soaked with a solution of a sensitization molecule that can react with a convenient dye and fix it. The sensitization molecules may diffuse into the detector either through the bulk of it or/ and along the region damaged by a charged particle. Depending on the detailed procedure used, the tracks may be seen as 'dyed tracks' with visible-light illumination or as 'fluorescent tracks' with u.v. illumination. Experimental procedures and results are given and discussed. (U.K.)

  5. A Two-Dimensional Lamellar Membrane: MXene Nanosheet Stacks.

    Science.gov (United States)

    Ding, Li; Wei, Yanying; Wang, Yanjie; Chen, Hongbin; Caro, Jürgen; Wang, Haihui

    2017-02-06

    Two-dimensional (2D) materials are promising candidates for advanced water purification membranes. A new kind of lamellar membrane is based on a stack of 2D MXene nanosheets. Starting from compact Ti 3 AlC 2 , delaminated nanosheets of the composition Ti 3 C 2 T x with the functional groups T (O, OH, and/or F) can be produced by etching and ultrasonication and stapled on a porous support by vacuum filtration. The MXene membrane supported on anodic aluminum oxide (AAO) substrate shows excellent water permeance (more than 1000 L m -2  h -1  bar -1 ) and favorable rejection rate (over 90 %) for molecules with sizes larger than 2.5 nm. The water permeance through the MXene membrane is much higher than that of the most membranes with similar rejections. Long-time operation also reveals the outstanding stability of the MXene membrane for water purification. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. [Influence of thermalcycling on bonding durability of self-etch adhesives with dentin].

    Science.gov (United States)

    Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2014-04-18

    To investigate influence of thermalcycling on the bonding durability of two one-step products [Adper Prompt (AP) and G-bond (GB)] and one two-step self-etching adhesive [Clearfil SE bond (SE)] with dentin in vitro. Forty-two extracted human molars were selected. The superficial dentin was exposed by grinding off the enamel. The teeth were randomly distributed into six groups with varied bonding protocols. The adhesives were applied to the dentin surface. Composite crowns were built up, then the samples were cut longitudinally into sticks with 1.0 mm×1.0 mm bonding area [for microtensile bond strength (MTBS) testing] or 1.0 mm thick slabs (for nanoleakage observation). Bonding performance was evaluated with or without thermalcyling. For the MTBS testing, the strength values were statistically analysed using One-Way ANOVA. Four slabs in each group were observed for nanoleakage by SEM with a backscattered electron detector. Thermalcycling procedures affected MTBS. In the two one-step groups, the MTBS decreased significantly (Padhesives showed lower MTBS than two-step bonding system after aging.For AP and GB, continuous nanoleakage appearance was notable and more obvious than for SE. Thermalcycling can affect the bonding performance of self-etch adhesives including decrease of bond strength and nanoleakage pattern. one-step self-etch adhesives showed more obvious change compared with their two-step counterparts.

  7. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  8. Particularization of alpha contamination using CR-39 track detectors

    Indian Academy of Sciences (India)

    detecting devices and as a passive system to detect alpha contamination on different sur- faces. This work presents ... these plastic detectors can be cut into sizes and shapes according to the specific area that has to be ... of nuclear track materials observed under a microscope, after chemical etching for the same time and ...

  9. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  10. Kit with track detectors aiming at didactic

    International Nuclear Information System (INIS)

    Cesar, M.F.; Koskinas, M.F.

    1988-01-01

    The kit intends to improve the possibilities in performing experiments of Nuclear Physics in Modern Physics Laboratories of Physics Course introducing the solid state nuclear track detectors. In these materials the passage of heavily ionizing nuclear particles creates paths (tracks) that may be revealed and made visible in an optical microscope. By the help of the kit several experiments and/or demonstrations may be performed. The kit contains solid state nuclear track detectors unirradiated and irradiated, irradiated etched and uneteched sheets; an alpha source of 241 Am and an instrution text with photomicrographs. To use the kit the laboratory must have an ordinary optical microscope. (author) [pt

  11. MoEDAL expands

    CERN Multimedia

    Anaïs Schaeffer

    2011-01-01

    The MoEDAL collaboration deployed a test array of 18 plastic Nuclear Track Etch Detector (NTD) stacks – covering an area of 1 m2 – in the MoEDAL/VELO cavern at Point 8 of the LHC ring in November 2009. This small array was supplemented by a further 110 stacks this past January. The MoEDAL test array, which now covers an area of 8 m2, will reveal its secrets early in 2013. The full MoEDAL detector will be installed in the next long shutdown of the LHC in 2013.   View of the MoEDAL detectors installed at Point 8 of the LHC ring in January 2011. MoEDAL (Monopole and Exotics Detector At the LHC), the seventh LHC experiment, was approved by the CERN Research Board at the end of 2009. Its goal is to search for very specific exotics such as highly ionising massive stable (or pseudo-stable) particles with conventional electrical charge and magnetic monopoles. “The main LHC experiments are designed to detect conventionally charged particles, with conventional ionisation patte...

  12. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B. E-mail: bo.jakobsson@kosufy.lu.se; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J

    2003-03-11

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 {mu}m Si detector, a second 300 {mu}m (or possibly 500 {mu}m) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 {mu}m Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 {mu}m Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution {<=}8%, while the standard 300 {mu}m detectors have {<=}2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10{sup 10} alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented.

  13. CHICSi - a compact ultra-high vacuum compatible detector system for nuclear reaction experiments at storage rings. II. Detectors

    International Nuclear Information System (INIS)

    Golubev, P.; Avdeichikov, V.; Carlen, L.; Jakobsson, B.; Siwek, A.; Veldhuizen, E.J. van; Westerberg, L.; Whitlow, H.J.

    2003-01-01

    We describe the detectors for identification of charged particles and fragments in CHICSi, a large solid angle multi-telescope system mounted inside an ultra-high vacuum (UHV), cluster-jet target chamber. CHICSi performs nuclear reaction experiments at storage rings. The telescopes consist of a first very thin, 10-14 μm Si detector, a second 300 μm (or possibly 500 μm) ion implanted Si detector supplemented by a 6 mm GSO(Ce) scintillator read out by a photodiode (PD) or by a third 300 μm Si detector. The telescopes provide full charge separation up to Z=17 and mass resolution up to A=9 in the energy range 0.7-60A MeV. The thin p-i-n diode detector, etched out from a 280 μm Si wafer, and the GSO/PD detector, both exclusively developed for CHICSi, provide an energy resolution ≤8%, while the standard 300 μm detectors have ≤2% energy resolution. Radiation stability of the Si detectors is confirmed up to an integrated flux of 10 10 alpha particles. The GSO detector has 70% light collection efficiency with the optical coupling to the PD a simple open, 0.2 mm, gap. A new method, developed to perform absolute energy calibration for the GSO/PD detector is presented

  14. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  15. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  16. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  17. The effects of sunlight exposure on the neutron response of CN-85 track detector

    International Nuclear Information System (INIS)

    Ahmad, N.; Mirza, N.M.; Mirza, S.K.; Tufail, M.

    1996-01-01

    The effect of sunlight exposure on the neutron response of CN-85 track detectors has been studied. It has been observed that the response during the first 28 days of sunlight exposure is slightly enhanced (10%) and then deceases continuously with increase in the sunlight exposure. After 84 days of sunlight exposure the response of the exposed detector relative to an unexposed detector is only 22%. It is also observed that the response can not be maintained by wrapping the CN-85 etch track detectors in typewriter black carbon papers if they are exposed to sunlight. (author)

  18. Mechanical Design and Development of TES Bolometer Detector Arrays for the Advanced ACTPol Experiment

    Science.gov (United States)

    Ward, Jonathan T.; Austermann, Jason; Beall, James A.; Choi, Steve K.; Crowley, Kevin T.; Devlin, Mark J.; Duff, Shannon M.; Gallardo, Patricio M.; Henderson, Shawn W.; Ho, Shuay-Pwu Patty; hide

    2016-01-01

    The next generation Advanced ACTPol (AdvACT) experiment is currently underway and will consist of four Transition Edge Sensor (TES) bolometer arrays, with three operating together, totaling 5800 detectors on the sky. Building on experience gained with the ACTPol detector arrays, AdvACT will utilize various new technologies, including 150 mm detector wafers equipped with multichroic pixels, allowing for a more densely packed focal plane. Each set of detectors includes a feedhorn array of stacked silicon wafers which form a spline pro le leading to each pixel. This is then followed by a waveguide interface plate, detector wafer, back short cavity plate, and backshort cap. Each array is housed in a custom designed structure manufactured from high purity copper and then gold plated. In addition to the detector array assembly, the array package also encloses cryogenic readout electronics. We present the full mechanical design of the AdvACT high frequency (HF) detector array package along with a detailed look at the detector array stack assemblies. This experiment will also make use of extensive hardware and software previously developed for ACT, which will be modi ed to incorporate the new AdvACT instruments. Therefore, we discuss the integration of all AdvACT arrays with pre-existing ACTPol infrastructure.

  19. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  20. Ion beam evaluation of silicon carbide membrane structures intended for particle detectors

    Energy Technology Data Exchange (ETDEWEB)

    Pallon, J., E-mail: jan.pallon@nuclear.lu.se [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden); Syväjärvi, M. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Wang, Q. [Sensor System, ACREO Swedish ICT AB, Box 1070, SE-164 25 Kista (Sweden); Yakimova, R.; Iakimov, T. [Linköping University, Department of Physics, Chemistry and Biology, SE-58183 Linköping (Sweden); Graphensic AB, Teknikringen 1F, SE-58330 Linköping (Sweden); Elfman, M.; Kristiansson, P.; Nilsson, E.J.C.; Ros, L. [Division of Nuclear Physics, Physics Department, Lund University, Box 118, SE-221 00 Lund (Sweden)

    2016-03-15

    Thin ion transmission detectors can be used as a part of a telescope detector for mass and energy identification but also as a pre-cell detector in a microbeam system for studies of biological effects from single ion hits on individual living cells. We investigated a structure of graphene on silicon carbide (SiC) with the purpose to explore a thin transmission detector with a very low noise level and having mechanical strength to act as a vacuum window. In order to reach very deep cavities in the SiC wafers for the preparation of the membrane in the detector, we have studied the Inductive Coupled Plasma technique to etch deep circular cavities in 325 μm prototype samples. By a special high temperature process the outermost layers of the etched SiC wafers were converted into a highly conductive graphitic layer. The produced cavities were characterized by electron microscopy, optical microscopy and proton energy loss measurements. The average membrane thickness was found to be less than 40 μm, however, with a slightly curved profile. Small spots representing much thinner membrane were also observed and might have an origin in crystal defects or impurities. Proton energy loss measurement (also called Scanning Transmission Ion Microscopy, STIM) is a well suited technique for this thickness range. This work presents the first steps of fabricating a membrane structure of SiC and graphene which may be an attractive approach as a detector due to the combined properties of SiC and graphene in a monolithic materials structure.

  1. Charged particle spectroscopy with solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Hunyadi, I.; Somogyi, G.

    1984-01-01

    Some of earlier and recent methods for differentiation of charged particles according to their energy, based on the use of polymeric etch-track detectors (CN, CA, PC and CR-39) are outlined. The principle of three track methods suitable for nuclear spectroscopy is discussed. These are based on the analysis of the diameter, surface size and shape of etch-track 'cones' produced by charged particles in polymers, after using shorter or longer chemical etching processes. Examples are presented from the results of the last decade in ATOMKI, Debrecen, Hungary, concerning the application of nuclear track spectroscopy to different low-energy nuclear reaction studies, angular distribution and excitation function measurements. These involve the study of (d,α) reaction on sup(14)N, sup(19)F and sup(27)Al nuclei, (sup(3)He,α) reactions on sup(15)N, (p,α) reaction on sup(27)Al and the process sup(12)C(sup(12)C, sup(8)Be)sup(16)O. (author)

  2. Stacking with stochastic cooling

    Energy Technology Data Exchange (ETDEWEB)

    Caspers, Fritz E-mail: Fritz.Caspers@cern.ch; Moehl, Dieter

    2004-10-11

    Accumulation of large stacks of antiprotons or ions with the aid of stochastic cooling is more delicate than cooling a constant intensity beam. Basically the difficulty stems from the fact that the optimized gain and the cooling rate are inversely proportional to the number of particles 'seen' by the cooling system. Therefore, to maintain fast stacking, the newly injected batch has to be strongly 'protected' from the Schottky noise of the stack. Vice versa the stack has to be efficiently 'shielded' against the high gain cooling system for the injected beam. In the antiproton accumulators with stacking ratios up to 10{sup 5} the problem is solved by radial separation of the injection and the stack orbits in a region of large dispersion. An array of several tapered cooling systems with a matched gain profile provides a continuous particle flux towards the high-density stack core. Shielding of the different systems from each other is obtained both through the spatial separation and via the revolution frequencies (filters). In the 'old AA', where the antiproton collection and stacking was done in one single ring, the injected beam was further shielded during cooling by means of a movable shutter. The complexity of these systems is very high. For more modest stacking ratios, one might use azimuthal rather than radial separation of stack and injected beam. Schematically half of the circumference would be used to accept and cool new beam and the remainder to house the stack. Fast gating is then required between the high gain cooling of the injected beam and the low gain stack cooling. RF-gymnastics are used to merge the pre-cooled batch with the stack, to re-create free space for the next injection, and to capture the new batch. This scheme is less demanding for the storage ring lattice, but at the expense of some reduction in stacking rate. The talk reviews the 'radial' separation schemes and also gives some

  3. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  4. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  5. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  6. EmuStack: An OpenStack-Based DTN Network Emulation Platform (Extended Version

    Directory of Open Access Journals (Sweden)

    Haifeng Li

    2016-01-01

    Full Text Available With the advancement of computing and network virtualization technology, the networking research community shows great interest in network emulation. Compared with network simulation, network emulation can provide more relevant and comprehensive details. In this paper, EmuStack, a large-scale real-time emulation platform for Delay Tolerant Network (DTN, is proposed. EmuStack aims at empowering network emulation to become as simple as network simulation. Based on OpenStack, distributed synchronous emulation modules are developed to enable EmuStack to implement synchronous and dynamic, precise, and real-time network emulation. Meanwhile, the lightweight approach of using Docker container technology and network namespaces allows EmuStack to support a (up to hundreds of nodes large-scale topology with only several physical nodes. In addition, EmuStack integrates the Linux Traffic Control (TC tools with OpenStack for managing and emulating the virtual link characteristics which include variable bandwidth, delay, loss, jitter, reordering, and duplication. Finally, experiences with our initial implementation suggest the ability to run and debug experimental network protocol in real time. EmuStack environment would bring qualitative change in network research works.

  7. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  8. Calibration of new batches and a study of applications of nuclear track detectors under the harsh conditions of nuclear fusion experiments

    Energy Technology Data Exchange (ETDEWEB)

    Malinowska, A., E-mail: a.malinowska@ncbj.gov.pl [National Centre for Nuclear Research, Andrzeja Soltana 7 Str., 05-400 Otwock (Poland); Szydlowski, A.; Jaskola, M.; Korman, A.; Malinowski, K.; Kuk, M. [National Centre for Nuclear Research, Andrzeja Soltana 7 Str., 05-400 Otwock (Poland)

    2012-06-15

    Highlights: Black-Right-Pointing-Pointer Each new batch of PM-355 material should be carefully calibrated. Black-Right-Pointing-Pointer The detectors heated at a temperature higher than 100 Degree-Sign C demonstrate v nearly equal to 1. Black-Right-Pointing-Pointer The dependence of V{sub B} on the temperature is similar to the dependence of V{sub B} on the dose of electron and gamma radiation. Black-Right-Pointing-Pointer The aging effect of these materials also has a significant influence on the track diameter. - Abstract: This paper describes calibration studies of PM-355 detectors manufactured at different times in order to compare their sensitivity to the investigated ions. These studies were motivated by the application of solid-state nuclear track detectors (SSNTDs) in fusion experiments to measure energetic ions escaping from high-temperature plasmas. The CR-39 detector and its new versions such as PM-355, PM-500, PM-600 have been examined for several years at our institute. The PM-355 plastic appeared to be the best, especially for the detection of light ions. However, to use these detectors optimally, especially in spectroscopic measurements, each new batch of PM-355 material should be carefully calibrated. In high temperature plasma experiments the detectors operate under harsh conditions of high temperature, heat impact, intense X-ray, neutron and fast electron radiation. In order to evaluate the effect of these conditions on the crater formation process, some of the {alpha} particle- and proton-irradiated PM-355 detector samples were heated in an oven and then etched and scanned. Other alpha- and proton-irradiated samples were exposed to {gamma} and electron radiation of doses varying from 100 to 2000 kGy. The irradiated samples were then etched in steps and the bulk etching rate v{sub B} of the PM-355 material was determined. The craters induced by the projectiles in both heated and {gamma} and electron irradiated samples differ considerably from the

  9. New development on electrochemical etching processes at the Atomic Energy Organization of Iran

    CERN Document Server

    Sohrabi, M

    1999-01-01

    Some highlights of new developments made in our laboratory at the Atomic Energy Organization of Iran on chemical and electrochemical etching (ECE) of polymer track detectors like polycarbonate (PC) are presented. They include introduction of new ECE chamber systems and methods for production of ECE signs and symbols, and a new versatile ECE chamber (VECE) system for multi-purpose, multi-size, and/or multi-shape detector processing; determination of photoneutron doses in and around high-energy X-ray beams of a 20 MV medical accelerator; verification of the Smythe and Mason equations for ECE of tracks in polymers; ECE of alpha and recoil tracks in PC using PMW, PEW and PEMW etchants; introduction of a novel method using ethylene diamine for treatment of PC detectors with its applications, for example in precision removal of surface layers of PC (e.g. bulk removal rates of about 0.04, 0.15, 0.36, 0.66, and 1.33 mm min sup - sup 1 for 60%, 65%, 70%, 75% and 80% ethylene diamine solution (v/v) in water respectivel...

  10. Comparative Analysis of Direct and Indirect 131I Measurement Methods from the Stack to Outdoor

    Directory of Open Access Journals (Sweden)

    G. Suhariyono

    2017-11-01

    Full Text Available The radioisotope production facility at PUSPIPTEK Serpong produces and processes 131I that can disperse to the settlements (community and the environment around the Serpong Nuclear Area (SNA. 131I is produced routinely for medical uses in hospitals and pharmacies, for both domestic uses and export. 131I is a beta and gamma emitting radioactive material and can cause thyroid cancer. The problem was that there was so far no research and in-depth assessment of the aerial dispersion of 131I radioactivity emitted from the radioisotope production stack to the environment at actual conditions. The research was conducted through simultaneous measurement of 131I radioactivity in the stack of the 131I radioisotope production facility, Serpong, and outdoor in house courtyards around SNA in normal condition (no accident based on the variations of the distance and wind direction. Direct measurements were carried out with a portable in-situ NaI(Tl detector at outdoor, and with a LaBr3 detector in the stack. Indirect measurements were carried out by using charcoal filter and vacuum pump in the stack and outdoor. The direct measurement method has many advantages over the indirect measurement. The direct measurement method was found to be more accurate, less expensive, easier to operate, needing just one operator in its implementation, portable, and can be operated continuously and for long durations. The overall activity concentrations of 131I on average obtained by either direct or indirect method were still below the upper limit of 131I activity concentration in the air (530 Bq/m3 stipulated by the Regulation of the Chairman of BAPETEN (Perka BAPETEN No. 7/2013. 

  11. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  12. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  13. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  14. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  15. Algebraic stacks

    Indian Academy of Sciences (India)

    Deligne, Mumford and Artin [DM, Ar2]) and consider algebraic stacks, then we can cons- truct the 'moduli ... the moduli scheme and the moduli stack of vector bundles. First I will give ... 1–31. © Printed in India. 1 ...... Cultura, Spain. References.

  16. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  17. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  18. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  19. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  20. StackGAN++: Realistic Image Synthesis with Stacked Generative Adversarial Networks

    OpenAIRE

    Zhang, Han; Xu, Tao; Li, Hongsheng; Zhang, Shaoting; Wang, Xiaogang; Huang, Xiaolei; Metaxas, Dimitris

    2017-01-01

    Although Generative Adversarial Networks (GANs) have shown remarkable success in various tasks, they still face challenges in generating high quality images. In this paper, we propose Stacked Generative Adversarial Networks (StackGAN) aiming at generating high-resolution photo-realistic images. First, we propose a two-stage generative adversarial network architecture, StackGAN-v1, for text-to-image synthesis. The Stage-I GAN sketches the primitive shape and colors of the object based on given...

  1. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  2. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  3. Flat-Top and Stacking-Fault-Free GaAs-Related Nanopillars Grown on Si Substrates

    Directory of Open Access Journals (Sweden)

    Kouta Tateno

    2012-01-01

    Full Text Available The VLS (vapor-liquid-solid method is one of the promising techniques for growing vertical III-V compound semiconductor nanowires on Si for application to optoelectronic circuits. Heterostructures grown in the axial direction by the VLS method and in the radial direction by the general layer-by-layer growth method make it possible to fabricate complicated and functional three-dimensional structures in a bottom-up manner. We can grow some vertical heterostructure nanopillars with flat tops on Si(111 substrates, and we have obtained core-multishell Ga(InP/GaAs/GaP nanowires with flat tops and their air-gap structures by using selective wet etching. Simulations indicate that a high- factor of over 2000 can be achieved for this air-gap structure. From the GaAs growth experiments, we found that zincblende GaAs without any stacking faults can be grown after the GaP nanowire growth. Pillars containing a quantum dot and without stacking faults can be grown by using this method. We can also obtain flat-top pillars without removing the Au catalysts when using small Au particles.

  4. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  5. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  6. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  7. Air alpha monitoring device and system for the calibration of the track detectors

    International Nuclear Information System (INIS)

    Danis, A; Oncescu, M.; Ciubotariu, M.

    2001-01-01

    The radon measurement plays a critical role: - in monitoring the human health and safety, due to radon destructive health effects. Sustained exposures of humans to high concentration of radon, in fact to high concentrations of its decay products, can produce lung cancer; - in a variety of geophysical, geochemical, hydrological and atmospheric investigations, such as exploring resources of uranium or hydrocarbons. The transport of radon within the earth, waters and atmosphere makes it a useful tracer in these purposes. in both cases, the reliable long-term measurements are required because usual short-term variations in concentration need to be averaged. These variations are caused by factors such as relative humidity, temperature, atmospheric pressure and their seasonal variations, moisture content in the air, or ventilation in the dwelling or working places. The integrating measurement methods meet these requirements. Among them, the alpha track method is one of the adequate and useful method and it is used by authors in radon measurements in dwelling and working places including mines and house cellars. The best etched track alpha detector for radon measurements proved to be the detector CR-39 due to: - its sensitivity to alpha particles emitted by radon decay products; - its stability against various environmental factors; - its high degree of optical clarity, was used in a proper device for alpha monitoring in air. Its calibration for radon measurements was performed in the proper calibration system. The general descriptions and specifications were given previously. Only some characteristics of these devices are given here. For air alpha monitoring device: i) equipped with filter, during alpha exposure, the alpha particles of radon are registered in the etched track detector mounted inside (ρ Rn - track density); ii) without filter, the alpha particles emitted by radon + its alpha decay products/their aerosols are registered in the detector (ρ tot - track

  8. Further development of a track detector as the spectrometer of linear energy transfer

    International Nuclear Information System (INIS)

    Spurny, F.; Bednar, J.; Vlcek, B.; Botollier-Depois, J.F.

    1998-01-01

    Track revealing in a track etch detector is a phenomenon related to the linear energy transfer (LET) of the particle registered. The measurements of track parameters permit to determine the LET corresponding to each revealed track, i.e. LET spectrum. We have recently developed a spectrometer of LET based on the chemically etched polyallyldiglycolcarbonate (PADC). In this contribution the results obtained with such spectrometer in some neutron fields are presented, analyzed and discussed. Several radionuclide neutron sources have been used, LET spectrometer has been also exposed in high energy neutron reference fields at CERN and JINR Dubna, and on board aircraft. (author)

  9. Intercomparison of radon gas detectors 1997 at PSI

    International Nuclear Information System (INIS)

    Schuler, Christoph; Butterweck-Dempewolf, Gernot

    1998-05-01

    Between Nov 14 and Nov 20, 1997, the Reference Laboratory for Radon Gas Activity Concentration Measurements at Paul Scherrer Institut performed the 1997 Radon Intercomparison Exercise. Radon gas detectors and instruments were exposed in the PSI Radon Chamber during seven days in a reference atmosphere with an average radon gas concentration of 3860 Bqm -3 . The majority of the participants at this intercomparison were Swiss Radon Gas Measurement Laboratories acknowledged by the Swiss Federal Office for Health. Criteria for this acknowledgement are a deviation of the measurement results to the reference value below 15% (traceability criterion) and a standard deviation of the mean of five detector measurement results below 15% (reproducibility criterion). With the exception of three participants, the results of electret ionisation chambers, track etch detectors and measuring instruments fulfilled the demanded traceability and reproducibility criteria. (author)

  10. First fabrication of full 3D-detectors at SINTEF

    International Nuclear Information System (INIS)

    Hansen, Thor-Erik; Kok, Angela; Hansen, Trond A; Lietaer, Nicolas; Mielnik, Michal; Storaas, Preben; Via, Cinzia Da'; Hasi, Jasmine; Kenney, Chris; Parker, Sherwood

    2009-01-01

    3D-detectors, with electrodes penetrating through the entire substrates have drawn great interests for high energy physics and medical imaging applications. Since its introduction by C. Kenney et al in 1995, many laboratories have begun research on different 3D-detector structures to simplify and industrialise the fabrication process. SINTEF MiNaLab joined the 3D collaboration in 2006 and started the first 3D fabrication run in 2007. This is the first step in an effort to fabricate affordable 3D-detectors in small to medium size production volumes. The first run was fully completed in February 2008 and preliminary results are promising. Good p-n junction characteristics have been shown on selected devices at the chip level with a leakage current of less than 0.5 nA per pixel. Thus SINTEF is the second laboratory in the world after the Stanford Nanofabrication Facility that has succeeded in demonstrating full 3D-detectors with active edge. A full 3D-stacked detector system were formed by bump-bonding the detectors to the ATLAS readout electronics, and successful particle hit maps using an Am-241 source were recorded. Most modules, however, showed largely increased leakage currents after assembly, which is due to the active edge and p-spray acting as part of the total chip pn-junction and not as a depletion stop. This paper describes the first fabrication and the encountered processing issues. The preliminary measurements on both the individual detector chips and the integrated 3D-stacked modules are discussed. A new lot has now been started on p-type wafers, which offers a more robust configuration with the active edge acting as depletion stop instead of part of the pn-junction.

  11. OpenStack cloud security

    CERN Document Server

    Locati, Fabio Alessandro

    2015-01-01

    If you are an OpenStack administrator or developer, or wish to build solutions to protect your OpenStack environment, then this book is for you. Experience of Linux administration and familiarity with different OpenStack components is assumed.

  12. Towards radiation hard converter material for SiC-based fast neutron detectors

    Science.gov (United States)

    Tripathi, S.; Upadhyay, C.; Nagaraj, C. P.; Venkatesan, A.; Devan, K.

    2018-05-01

    efficiency of a stacked structure concept has been explored by juxtaposing several converter-detector layers to improve the efficiency of LiH-SiC-based FNDs . It is observed that approximately tenfold efficiency improvement has been achieved—0.93% for ten layers stacked configuration vis-à-vis 0.1% of single converter-detector layer configuration. Finally, stacked detectors have also been simulated for different converter thicknesses to attain the efficiency as high as ~ 3.25% with the help of 50 stacked layers.

  13. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  14. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  15. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  16. The MACRO detector at Gran Sasso

    International Nuclear Information System (INIS)

    Ambrosio, M.; Antolini, R.; Assiro, R.; Auriemma, G.; Bakari, D.; Baldini, A.; Barbarino, G.C.; Barbarito, E.; Barish, B.C.; Battistoni, G.; Becherini, Y.; Bellotti, R.; Bemporad, C.; Bernardini, P.; Bilokon, H.; Bisi, V.; Bloise, C.; Bottazzi, E.; Bower, C.; Brigida, M.; Bussino, S.; Cafagna, F.; Calicchio, M.; Campana, D.; Candela, A.; Carboni, M.; Cecchini, S.; Cei, F.; Ceres, A.; Chiarella, V.; Choudhary, B.C.; Coutu, S.; Cozzi, M.; Creti, P.; De Cataldo, G.; Esposti, L.D.L. Degli; Dekhissi, H.; Marzo, C. De; Mitri, I. De; Derkaoui, J.; Vincenzi, M. De; Credico, A. Di; Ferdinando, D. Di; Diotallevi, R.; Erriquez, O.; Favuzzi, C.; Forti, C.; Fusco, P.; Gebhard, M.; Giacomelli, G.; Giacomelli, R.; Giannini, G.; Giglietto, N.; Giorgini, M.; Giuliani, R.; Goretti, M.; Grassi, M.; Grau, H.; Gray, L.; Grillo, A.; Guarino, F.; Gustavino, C.; Habig, A.; Hanson, J.; Hanson, K.; Hawthorne, A.; Heinz, R.; Hong, J.T.; Iarocci, E.; Katsavounidis, E.; Katsavounidis, I.; Kearns, E.; Kim, H.; Kyriazopoulou, S.; Lamanna, E.; Lane, C.; Leone, A.; Levin, D.S.; Lipari, P.; Liu, G.; Liu, R.; Longley, N.P.; Longo, M.J.; Loparco, F.; Maaroufi, F.; Mancarella, G.; Mandrioli, G.; Manzoor, S.; Marrelli, V.; Margiotta, A.; Marini, A.; Martello, D.; Marzari-Chiesa, A.; Mazziotta, M.N.; Michael, D.G.; Mikheyev, S.; Miller, L.; Monacelli, P.; Mongelli, M.; Montaruli, T.; Monteno, M.; Mossbarger, L.; Mufson, S.; Musser, J.; Nicolo, D.; Nolty, R.; Okada, C.; Orsini, M.; Orth, C.; Osteria, G.; Ouchrif, M.; Palamara, O.; Parlati, S.; Patera, V.; Patrizii, L.; Pazzi, R.; Peck, C.W.; Pellizzoni, G.; Perchiazzi, M.; Perrone, L.; Petrakis, J.; Petrera, S.; Pignatano, N.; Pinto, C.; Pistilli, P.; Popa, V.; Raino, A.; Reynoldson, J.; Ronga, F.; Rrhioua, A.; Sacchetti, A.; Saggese, P.; Satriano, C.; Satta, L.; Scapparone, E.; Scholberg, K.; Sciubba, A.; Serra, P.; Sioli, M.; Sirri, G.; Sitta, M.; Sondergaard, S.; Spinelli, P.; Spinetti, M.; Spurio, M.; Stalio, S.; Steinberg, R.; Stone, J.L.; Sulak, L.R.; Surdo, A.; Tarle, G.; Togo, V.; Vakili, M.; Valieri, C.; Walter, C.W.; Webb, R.; Zaccheo, N.

    2002-01-01

    MACRO was an experiment that ran in the Laboratori Nazionali del Gran Sasso from 1988 to 2000. Its principal goal was to observe magnetic monopoles or set significantly lower experimental flux limits than had been previously available in the velocity range from about β=10 -4 to unity. In addition it made a variety of other observations. Examples are: setting flux limits on other so far unobserved particles such as nuclei and lightly ionizing particles, searching for WIMP annihilations in the Earth and the Sun and for neutrino bursts from stellar collapses in or near our Galaxy, and making measurements relevant to high energy muon and neutrino astronomy and of the flux of up-going muons as a function of nadir angle showing evidence for neutrino oscillations. The apparatus consisted of three principal types of detectors: liquid scintillator counters, limited streamer tubes, and nuclear track etch detectors. In addition, over part of its area it contained a transition radiation detector. The general design philosophy emphasized redundancy and complementarity. This paper describes the technical aspects of the complete MACRO detector, its operational performance, and the techniques used to calibrate it and verify its proper operation. It supplements a previously published paper which described the first portion of the detector that was built and operated

  17. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    Energy Technology Data Exchange (ETDEWEB)

    Groetz, J.E.; Chambaudet, A. [Universite de Franche-Comte, Besancon (France). Lab. de Microanalyses Nucleaires; Lacourt, A. [Laboratoire d`Optique P.M. Duffieux, UMR 6603 CNRS, Universite de Franche-Comte, 16 route de Gray, 25030 Besancon Cedex (France)

    1998-08-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet`s principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.) 14 refs.

  18. Coherent light scattering by nuclear etched tracks in the PADC (a form of CR-39)

    International Nuclear Information System (INIS)

    Groetz, J.E.; Chambaudet, A.

    1998-01-01

    A new kind of measurement has been proposed to improve the reading of the solid state nuclear track detector CR-39. This method is based on coherent light scattering (He-Ne laser) by etched proton tracks, and is complementary to observation under an optical microscope and reading by optical density of the CR-39. The irradiated and chemically etched CR-39 sample is illuminated by a laser beam under a normal incidence angle. The light intensity diffracted by the tracks beyond the sample - defined with the bi-directional transmissive distribution functions - is measured with a photodiode. Thus, the bi-directional transmissive distribution functions depend on the characteristics of the irradiation, namely the track density, track sizes and orientations. We have performed a track light diffraction model calculation through the use of the Fraunhofer diffraction, Babinet's principle and the spatial coherence and incoherence. We compared calculations and experimental results for the different shapes of tracks: conical, oblique and spherical-shaped. (orig.)

  19. Discovery of a new ECE parameter affecting the response of polymer track detectors

    International Nuclear Information System (INIS)

    Sohrabi, M.; Katouzi, M.

    1993-01-01

    The pressure applied to the electrochemical etching (ECE) chamber system and in turn to the rubber washers holding a detector tight in place was discovered to be a new parameter in ECE having a direct effect on internal heating and thus on the detector's response. The type, material, shape and size of the washers showed significant effects on the detector's response. Special pressure ECE (PECE) chambers with measurable and reproducible pressure were designed, constructed and used in this study. The effects observed seem to be due to forced vibrations of the detector in an electric field the degree of which depends on the pressure applied and stretching the detectors, like winding the strings of a musical instrument. The results of the above studies are presented and discussed. (author)

  20. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  1. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  2. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  3. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  4. Charge resolution of a Hungarian brand CR-39(MA-ND) detector exposed to a 84Kr beam of energy 0.45A GeV

    International Nuclear Information System (INIS)

    Bhattacharyya, D.P.; Basu, B.; Pal, P.; Mukherjee, S.C.; Ganguly, A.K.; Hunyady, I.

    1990-01-01

    The Hungarian brand CR-39(MA-ND) plastic has been irradiated with a 84 Kr ion beam of energy 0.45A GeV and etched for four different etching times, viz. 4, 6, 8 and 12 h. The estimated charge resolution of a CR-39(MA-ND) detector for registering the nuclei 32 ≤ Z ≤ 36 was found to be 0.18e which is close to our previous observation of the response with a CR-39(DOP) Pershore made plate exposed to a 1.88A GeV 56 Fe beam at the Lawrence Berkeley Laboratory's Bevalac. It was found that the estimated etch rate ratio V T /V G is independent of etching time. The cone length and minor axis of the etch pits has been found to increase with etching time. (orig.)

  5. Prototyping and tests for an MRPC-based time-of-flight detector for 1 GeV neutrons

    Energy Technology Data Exchange (ETDEWEB)

    Yakorev, D. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Aumann, T. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Bemmerer, D., E-mail: d.bemmerer@hzdr.de [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Boretzky, K. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Caesar, C. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Ciobanu, M. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Cowan, T.; Elekes, Z. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Elvers, M. [Universitaet zu Koeln (Germany); Gonzalez Diaz, D. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Tsinghua University, Beijing (China); Hannaske, R. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Hehner, J.; Heil, M. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Kempe, M. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Maroussov, V. [Universitaet zu Koeln (Germany); Nusair, O. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Al Balqa' Applied University, Salt (Jordan); Simon, H. [GSI Helmholtz zentrum fuer Schwerionenforschung, Darmstadt (Germany); Sobiella, M.; Stach, D.; Wagner, A. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); and others

    2011-10-21

    The NeuLAND detector at the R{sup 3}B experiment at the future FAIR facility in Darmstadt aims to detect fast neutrons (0.2-1.0 GeV) with high time and spatial resolutions ({sigma}{sub t}<100ps,{sigma}{sub x,y,z}<1cm). This task can be performed either with a scintillator or based on the multigap resistive plate chamber (MRPC) technology. Here, prototyping and test for an MRPC-based solution are discussed. In order to reach 90% detection efficiency, the final detector must consist of 50 consecutive MRPC stacks. Each stack contains a 4 mm thick anode made of iron converter material, with an additional 4 mm of converter material between two stacks. The secondary charged particles stemming from hadronic interactions of the high energetic neutrons in the converter will be detected in the MRPCs. As part of the ongoing development effort, a number of prototypes for this detector have been developed and built. They have been tested in experiments with a single-electron beam with picosecond resolution at the superconducting linac ELBE (Dresden, Germany). The results of the tests are presented here, and an outlook is given.

  6. Dosimetry in heavy ion beams using various detectors

    Czech Academy of Sciences Publication Activity Database

    Brabcová, Kateřina; Jadrníčková, Iva; Molokanov, A. G.; Spurný, František

    2010-01-01

    Roč. 45, č. 10 (2010), s. 1384-1386 ISSN 1350-4487. [Neutron and Ion Dosimetry Symposium /11./. Cape Town, 12.10.2009-16.10.2009] R&D Projects: GA ČR GA205/09/0171; GA AV ČR IAA100480902 Institutional research plan: CEZ:AV0Z10480505 Keywords : tack-etched detectors * LET spectra * TLD * heavy ion beams Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.019, year: 2010

  7. Coordinate-sensitive charged particle detector for spectroscopy

    Directory of Open Access Journals (Sweden)

    Sidorenko V. P.

    2016-10-01

    Full Text Available The authors have designed, manufactured and tested a coordinate-sensitive detector for charged particle spectroscopy. The detector can be used in the devices for the elemental analysis of materials, providing simultaneous analysis of all the elemental composition with high sensitivity and precision. The designed device is based on an integrated circuit (IC and a microchannel plate (MCP electron multiplier. The IC is mounted on a ceramic substrate. Ions fall on the MCP mounted above the IC. Giving rise to a pulse which typically exceeds 106 electrons, each ion falls on the detector electrodes and these pulses are counted. In this research, a two stage stack of MCPs (Hamamatsu was used. The MCPs have a channel diameter of 12 μm on a 15 μm pitch. The results of tests carried out in a mass spectrometer are presented. The designed detector is small, light, and low-power.

  8. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  9. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  10. Alpha particle radiography and the track plastic detector CR-39

    International Nuclear Information System (INIS)

    Souza, Bismarck Amilar de.

    1991-05-01

    This work develops the radiographic technique using charged particle beams. This technique complements the X-ray conventional radiography, and presents some advantages in certain cases. The material used as nuclear plastic detector was CR-39, manufactured by Pershre Mould. England, of 250 and 1000 μm nominal thicknesses. The irradiations were made with 7 MeV/Nucleon alpha particles beams, accelerated in the CV-28 Cyclotron of Instituto de Engenharia Nuclear/CNEN - Rio de Janeiro. The etch conditions used were a Na OH 6,25 N solution at 70 0 C, varying the etch time, so that the best etch time was found to be six hours. The calibration curve is presented, which permits images interpretation, showed in terms of light transmittance (obtained using a micro densitometer), and in terms of energy losses suffered by alpha particles in several aluminum degradating thicknesses. This curve was checked by the use of other degradating materials: Mylar, Makrofol, and CR-39 itself. The influence of alpha particle beam FWHM widening on images quality, when it crosses several degradating materials, is also presented. Radiographs of some specimen are presented, including some images obtained varying some irradiation and etch parameters. (author). 62 refs., 22 figs., 19 tabs

  11. Cosmic radiation dose in aircraft - a neutron track etch detector

    Energy Technology Data Exchange (ETDEWEB)

    Vukovic, B.; Radolic, V.; Miklavcic, I.; Poje, M.; Varga, M. [Department of Physics, University of Osijek, 31000 Osijek, P.O. Box 125, Gajev trg 6 (Croatia); Planinic, J. [Department of Physics, University of Osijek, 31000 Osijek, P.O. Box 125, Gajev trg 6 (Croatia)], E-mail: planinic@ffos.hr

    2007-12-15

    Cosmic radiation bombards us at high altitude by ionizing particles. The radiation environment is a complex mixture of charged particles of solar and galactic origin, as well as of secondary particles produced in interaction of the galactic cosmic particles with the nuclei of atmosphere of the Earth. The radiation field at aircraft altitude consists of different types of particles, mainly photons, electrons, positrons and neutrons, with a large energy range. The non-neutron component of cosmic radiation dose aboard ATR 42 and A 320 aircrafts (flight level of 8 and 11 km, respectively) was measured with TLD-100 (LiF:Mg,Ti) detectors and the Mini 6100 semiconductor dosimeter. The estimated occupational effective dose for the aircraft crew (A 320) working 500 h per year was 1.64 mSv. Other experiments, or dose rate measurements with the neutron dosimeter, consisting of LR-115 track detector and boron foil BN-1 or 10B converter, were performed on five intercontinental flights. Comparison of the dose rates of the non-neutron component (low LET) and the neutron one (high LET) of the radiation field at the aircraft flight level showed that the neutron component carried about 50% of the total dose. The dose rate measurements on the flights from the Middle Europe to the South and Middle America, then to Korea and Japan, showed that the flights over or near the equator region carried less dose rate; this was in accordance with the known geomagnetic latitude effect.

  12. Cosmic radiation dose in aircraft - a neutron track etch detector

    International Nuclear Information System (INIS)

    Vukovic, B.; Radolic, V.; Miklavcic, I.; Poje, M.; Varga, M.; Planinic, J.

    2007-01-01

    Cosmic radiation bombards us at high altitude by ionizing particles. The radiation environment is a complex mixture of charged particles of solar and galactic origin, as well as of secondary particles produced in interaction of the galactic cosmic particles with the nuclei of atmosphere of the Earth. The radiation field at aircraft altitude consists of different types of particles, mainly photons, electrons, positrons and neutrons, with a large energy range. The non-neutron component of cosmic radiation dose aboard ATR 42 and A 320 aircrafts (flight level of 8 and 11 km, respectively) was measured with TLD-100 (LiF:Mg,Ti) detectors and the Mini 6100 semiconductor dosimeter. The estimated occupational effective dose for the aircraft crew (A 320) working 500 h per year was 1.64 mSv. Other experiments, or dose rate measurements with the neutron dosimeter, consisting of LR-115 track detector and boron foil BN-1 or 10B converter, were performed on five intercontinental flights. Comparison of the dose rates of the non-neutron component (low LET) and the neutron one (high LET) of the radiation field at the aircraft flight level showed that the neutron component carried about 50% of the total dose. The dose rate measurements on the flights from the Middle Europe to the South and Middle America, then to Korea and Japan, showed that the flights over or near the equator region carried less dose rate; this was in accordance with the known geomagnetic latitude effect

  13. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  14. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  15. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  16. Diallyl phthalate (DAP) solid state nuclear track detector

    CERN Document Server

    Koguchi, Y; Ashida, T; Tsuruta, T

    2003-01-01

    Diallyl phthalate (DAP) solid state nuclear track detector is suitable for detecting heavy ions such as fission fragments, because it is insensitive to right ions such as alpha particles and protons. Detection efficiency of fission tracks is about 100%, which is unaffected under conditions below 240degC lasting for 1h or below 1 MGy of gamma-ray irradiation. Optimum etching condition for the DAP detector for detection of fission fragments is 2-4 h using 30% KOH aqueous solution at 90degC or 8-15 min using PEW-65 solution at 60degC. DAP detector is useful in detecting induced fission tracks for dating of geology or measuring intense heavy ions induced by ultra laser plasma. The fabrication of copolymers of DAP and CR-39 makes it possible to control the discrimination level for detection threshold of heavy ions. (author)

  17. Variation of absorbed doses onboard of ISS Russian Service Module as measured with passive detectors

    Czech Academy of Sciences Publication Activity Database

    Jadrníčková, Iva; Tateyama, R.; Yasuda, N.; Kawashima, H.; Kurano, M.; Uchihori, Y.; Kitamura, H.; Akatov, YU.; Shurshakov, V.; Kobayashi, I.; Ohguchi, H.; Koguchi, Y.; Spurný, František

    2009-01-01

    Roč. 44, 9-10 (2009), s. 901-904 ISSN 1350-4487. [International Conference on Nuclear Tracks in Solids /24./. Bologna, 01.09.2008-05.09.2008] R&D Projects: GA AV ČR KJB100480901; GA ČR GA205/09/0171 Institutional research plan: CEZ:AV0Z10480505 Keywords : space dosimetry * International Space Station * passive detector * track etch detector Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.973, year: 2009

  18. Alpha-particle radiobiological experiments using thin CR-39 detectors

    International Nuclear Information System (INIS)

    Chan, K. F.; Siu, S. Y. M.; McClella, K. E.; Tse, A. K. W.; Lau, B. M. F.; Nikezic, D.; Richardson, B. J.; Lam, P. K. S.; Fong, W. F.; Yu, K. N.

    2006-01-01

    The present paper studied the feasibility of applying comet assay to evaluate the DNA damage in individual HeLa cervix cancer cells after alpha-particle irradiation. We prepared thin CR-39 detectors (<20 μm) as cell-culture substrates, with UV irradiation to shorten the track formation time. After irradiation of the HeLa cells by alpha particles, the tracks on the underside of the CR-39 detector were developed by chemical etching in (while floating on) a 14 N KOH solution at 37 deg. C. Comet assay was then applied. Diffusion of DNA out of the cells could be generally observed from the images of stained DNA. The alpha-particle tracks corresponding to the comets developed on the underside of the CR-39 detectors could also be observed by just changing the focal plane of the confocal microscope. (authors)

  19. The impact of stack geometry and mean pressure on cold end temperature of stack in thermoacoustic refrigeration systems

    Science.gov (United States)

    Wantha, Channarong

    2018-02-01

    This paper reports on the experimental and simulation studies of the influence of stack geometries and different mean pressures on the cold end temperature of the stack in the thermoacoustic refrigeration system. The stack geometry was tested, including spiral stack, circular pore stack and pin array stack. The results of this study show that the mean pressure of the gas in the system has a significant impact on the cold end temperature of the stack. The mean pressure of the gas in the system corresponds to thermal penetration depth, which results in a better cold end temperature of the stack. The results also show that the cold end temperature of the pin array stack decreases more than that of the spiral stack and circular pore stack geometry by approximately 63% and 70%, respectively. In addition, the thermal area and viscous area of the stack are analyzed to explain the results of such temperatures of thermoacoustic stacks.

  20. OpenStack essentials

    CERN Document Server

    Radez, Dan

    2015-01-01

    If you need to get started with OpenStack or want to learn more, then this book is your perfect companion. If you're comfortable with the Linux command line, you'll gain confidence in using OpenStack.

  1. Empirical assessment of the detection efficiency of CR-39 at high proton fluence and a compact, proton detector for high-fluence applications

    Energy Technology Data Exchange (ETDEWEB)

    Rosenberg, M. J., E-mail: mrosenbe@mit.edu; Séguin, F. H.; Waugh, C. J.; Rinderknecht, H. G.; Orozco, D.; Frenje, J. A.; Johnson, M. Gatu; Sio, H.; Zylstra, A. B.; Sinenian, N.; Li, C. K.; Petrasso, R. D. [Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States); Glebov, V. Yu.; Stoeckl, C.; Hohenberger, M.; Sangster, T. C. [Laboratory for Laser Energetics, University of Rochester, Rochester, New York 14623 (United States); LePape, S.; Mackinnon, A. J.; Bionta, R. M.; Landen, O. L. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); and others

    2014-04-15

    CR-39 solid-state nuclear track detectors are widely used in physics and in many inertial confinement fusion (ICF) experiments, and under ideal conditions these detectors have 100% detection efficiency for ∼0.5–8 MeV protons. When the fluence of incident particles becomes too high, overlap of particle tracks leads to under-counting at typical processing conditions (5 h etch in 6N NaOH at 80 °C). Short etch times required to avoid overlap can cause under-counting as well, as tracks are not fully developed. Experiments have determined the minimum etch times for 100% detection of 1.7–4.3-MeV protons and established that for 2.4-MeV protons, relevant for detection of DD protons, the maximum fluence that can be detected using normal processing techniques is ≲3 × 10{sup 6} cm{sup −2}. A CR-39-based proton detector has been developed to mitigate issues related to high particle fluences on ICF facilities. Using a pinhole and scattering foil several mm in front of the CR-39, proton fluences at the CR-39 are reduced by more than a factor of ∼50, increasing the operating yield upper limit by a comparable amount.

  2. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  3. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  4. Simulation of the peak efficiency for a stacked NaI(Tl) spectrometer

    Energy Technology Data Exchange (ETDEWEB)

    Yoshida, H; Murohka, H; Anami, K; Nohtomi, A; Uozumi, Y; Sakae, T; Matoba, M [Kyushu Univ., Fukuoka (Japan). Faculty of Engineering; Koori, N; Maki, T

    1996-07-01

    A stacked NaI(Tl) spectrometer has been developed to measure proton spectra in wide energy range. In the measurement of charged particles with intermediate energy, the detecting efficiency of the spectrometer decreases considerably due to nuclear reactions or out-scattering in the detector material. A Monte Carlo simulation code has been developed to estimate the peak efficiency (peak-to-total ratio) of the spectrometer. The calculated efficiency for intermediate energy is in good agreement with the experimental one. (author)

  5. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  6. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  7. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  8. An antinucleus detector with unprecedented collecting power and resolution

    International Nuclear Information System (INIS)

    Ahlen, S.P.; Price, P.B.; Salamon, M.H.; Tarle, G.; California Univ., Berkeley

    1982-01-01

    We describe the details of a novel technique to detect the presence of antimatter in cosmic rays by taking advantage of the presence of higher order quantum electrodynamic effects involving the interactions of relativistic, heavily ionizing particles with plastic scintillators, track etch detectors and Cherenkov counters. We review the relevant physics, summarize the experimental status involving the response mechanisms of the different types of particle detectors, and give a detailed description of the construction and anticipated performance characteristics of the instrument. By extending the sensitivity of previous antimatter searches by two orders of magnitude, this experiment should be the first to be sensitive to extragalactic antimatter, should the universe contain substantial quantities of antimatter. (orig.)

  9. Application of solid state nuclear track detectors in measurement of natural alpha- radioactivity in environment

    Energy Technology Data Exchange (ETDEWEB)

    Maged, A F; El-Behay, A Z; Borham, E [National Center for Radiation Research and Technology, Atomic Energy Authority, Cairo (Egypt)

    1997-12-31

    The use of solid state nuclear track detectors (SSNTDs) is one of the most convenient techniques to assess the average radiation levels of alpha activities in the environment. This technique has been used to assess radon gas and its daughters in buildings. Exposed SSNTD films are chemically etched in an alkali solution and alpha tracks are evaluated by using the image analyzer system. The detailed procedure for this study and the etched films for conversion of alpha track density to radon concentration in Bq m{sup -}3 are given and discussed in the text.1 fig., 3 tabs.

  10. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  11. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  12. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  13. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  14. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  15. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  16. Calibration of PM-355 nuclear track detectors for low-energy deuterons

    International Nuclear Information System (INIS)

    Malinowski, K.; Skladnik-Sadowska, E.; Sadowski, M.J.; Czaus, K.

    2008-01-01

    A dependence of track diameters on deuteron energy was investigated for PM-355 nuclear track detectors. Deuteron streams were obtained from RPI-IBIS facility at the pulsed injection of deuterium. Mass and energy analysis was performed with a Thomson-type spectrometer and PM-355 samples. An etched deuteron parabola extended from about 20 keV to about 500 keV. The energy resolution of measurements on the parabola at 20 keV was ±0.2keV, and at 500 keV amounted to ±50keV. Accuracy of the determination of deuteron energies decreased for higher energy values. Results are presented in diagrams showing the track diameters as a function of deuteron energy for chosen etching times (1-8 h)

  17. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  18. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  19. Gas microstrip detectors on polymer, silicon and glass substrates

    International Nuclear Information System (INIS)

    Barasch, E.F.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Gaedke, R.M.; Goss, L.T.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.; Vanstraelen, G.; Wahl, J.

    1993-01-01

    We present results on the performance of Gas Microstrip Detectors on various substrates. These include a 300 μm anode-anode pitch pattern on Tempax borosilicate glass and ABS/copolyether, a 200 μm pattern on Upilex ''S'' polyimide, Texin 4215, Tedlar, ion-implanted Kapton, orientation-dependent etched flat-topped silicon (''knife-edge chamber''), and iron-vanadium glass, and a 100 μm pitch pattern on Upilex ''S'' and ion-implanted Kapton. (orig.)

  20. Study of the reduction in detection limits of track detectors used for {sup 10}B(n,α){sup 7}Li reaction rate measure through annealing and chemical etching experiments; Estudo da reducao nos limites de deteccao de detectores de tracos utilizados na medida de taxa de reacao {sup 10}B(n, α){sup 7}Li atraves de experimentos de annealing e ataque quimico

    Energy Technology Data Exchange (ETDEWEB)

    Vasconcellos, Herminiane L.; Smilgys, Barbara; Guedes, Sandro, E-mail: hluizav@ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil). Instituto de Fisica Gleb Wataghin; Castro, Vinicius A. [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil). Centro de Engenharia Nuclear

    2013-08-15

    The Boron Neutron Capture Therapy (BNCT) is an experimental radiotherapy for cancer treatment. It is based on {sup 10}B(n, α){sup 7}Li reaction, which can be measured by track detectors capable of recording events that strike them. With this recording, it is possible to determine the number of alpha particles and recoiling Lithium-7 nucleus, reaction products, and from this information, which amount of radiation dose a patient is exposed to. In this work, PADC detectors were characterized, irradiated at the IEA-R1 IPEN/CNEN reactor to assess the contribution of the{sup 10}B(n, α){sup 7}Li reaction and protons from fast neutron scattering with the elements that compounds the tissue. With the aim of reducing the proton background, the detectors were subjected to heating experiments at 80°C for periods in the range 0-100 hours. This was done in order to restore partially modified structure of the detector, causing a reduction in the size and density of tracks. This effect is known as annealing. For the visualization of tracks at microscope, detectors were made three chemical attacks with sodium hydroxide (NaOH) for 30, 60 and 90 minutes at 70°C. It was observed a reduction in the track density achieving a plateau heating time of 50 hours. For detectors that have not undergone annealing and were etched with another etchant, PEW solution, a reduction of 87% in track density was obtained. (author)

  1. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  2. Accelerated life test of an ONO stacked insulator film for a silicon micro-strip detector

    International Nuclear Information System (INIS)

    Okuno, Shoji; Ikeda, Hirokazu; Saitoh, Yutaka

    1996-01-01

    We have used to acquire the signal through an integrated capacitor for a silicon micro-strip detector. When we have been using a double-sided silicon micro-strip detector, we have required a long-term stability and a high feasibility for the integrated capacitor. An oxide-nitride-oxide (ONO) insulator film was theoretically expected to have a superior nature in terms of long term reliability. In order to test long term reliability for integrated capacitor of a silicon micro-strip detector, we made a multi-channel measuring system for capacitors

  3. The effect of the nuclear track detectors' position on the radon concentration measurements

    International Nuclear Information System (INIS)

    Yilmaz, A.; Kuerkcueoglu, M. E.; Haner, B.

    2009-01-01

    It is important to determine the radon concentration values of the underground mines according to workers' health. For this purpose, to be able to measure radon concentrations by using passive nuclear etched track detectors, CR-39 detectors were placed into 66 points on the way of aeration galleries of Armutcuk, Amasra, Karadon, Kozlu and Uezuelmez bituminous coal mines which are known as the Carboniferous outcrops of the Western Black Sea Area in Turkey. In every measurement point, a pair of detectors, one of them is being perpendicular and the other one is parallel to air flow, were exposed to radon gases over 40 days for four seasons of the year 2008. The relationship between the readings of vertically and horizontally positioned detectors was investigated by evaluating the effect of the detectors' positions on the detected radon concentrations. It can be concluded that, the vertically positioned detectors, in general, recorded higher radon gases concentration values than that of the horizontally positioned ones.

  4. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    Science.gov (United States)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  5. 3-D GaAs radiation detectors

    International Nuclear Information System (INIS)

    Meikle, A.R.; Bates, R.L.; Ledingham, K.; Marsh, J.H.; Mathieson, K.; O'Shea, V.; Smith, K.M.

    2002-01-01

    A novel type of GaAs radiation detector featuring a 3-D array of electrodes that penetrate through the detector bulk is described. The development of the technology to fabricate such a detector is presented along with electrical and radiation source tests. Simulations of the electrical characteristics are given for detectors of various dimensions. Laser drilling, wet chemical etching and metal evaporation were used to create a cell array of nine electrodes, each with a diameter of 60 μm and a pitch of 210 μm. Electrical measurements showed I-V characteristics with low leakage currents and high breakdown voltages. The forward and reverse I-V measurements showed asymmetrical characteristics, which are not seen in planar diodes. Spectra were obtained using alpha particle illumination. A charge collection efficiency of 50% and a S/N ratio of 3 : 1 were obtained. Simulations using the MEDICI software package were performed on cells with various dimensions and were comparable with experimental results. Simulations of a nine-electrode cell with 10 μm electrodes with a 25 μm pitch were also performed. The I-V characteristics again showed a high breakdown voltage with a low leakage current but also showed a full depletion voltage of just 8 V

  6. Some characteristics of the CR-39 solid state nuclear - Track Detector for register of protons and low energy alpha particles

    International Nuclear Information System (INIS)

    Fonseca, E.S. da.

    1983-01-01

    Experimental results related to registration properties of the CR-39 Solid State Nuclear Track Detector for charged particles are presented and discussed. The existence of an inverse proportion between the induction time and the temperature as well as normal concentration of solutions, is showed by the study of CR-39 chemical etching characteristics in NaOH and KOH solutions, comprising varied concentration and temperature. The bulk-etch rate and activation energy of the process were obtained. The critical energy and critical energy-loss rate of CR-39 track-detectors for registration of protons were experimentally determined. Samples were exposed to 24 Mev proton beams in the IEN/CNEN Cyclotron (CV-28), using scattering chamber with a tantalum thin target and aluminium absorbers in contact with the samples, in order to provide the required fluctuation in the scattered beam energy. From the mean track-diameter plotted against incident proton energy the critical energy was obtained. From the calculated energy-loss rate vs. energy curve, the critical energy loss rate were evaluated. The CR-39 response for low energy alpha particles (E = 7h) under the conditions of 6.25 N NaOH at 70 0 C. It is shown that successive chemical etchings do not produce the same track geometry as obtained by means of a continous revelation with the same total etching time. (Author) [pt

  7. Modeling fuel cell stack systems

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J H [Los Alamos National Lab., Los Alamos, NM (United States); Lalk, T R [Dept. of Mech. Eng., Texas A and M Univ., College Station, TX (United States)

    1998-06-15

    A technique for modeling fuel cell stacks is presented along with the results from an investigation designed to test the validity of the technique. The technique was specifically designed so that models developed using it can be used to determine the fundamental thermal-physical behavior of a fuel cell stack for any operating and design configuration. Such models would be useful tools for investigating fuel cell power system parameters. The modeling technique can be applied to any type of fuel cell stack for which performance data is available for a laboratory scale single cell. Use of the technique is demonstrated by generating sample results for a model of a Proton Exchange Membrane Fuel Cell (PEMFC) stack consisting of 125 cells each with an active area of 150 cm{sup 2}. A PEMFC stack was also used in the verification investigation. This stack consisted of four cells, each with an active area of 50 cm{sup 2}. Results from the verification investigation indicate that models developed using the technique are capable of accurately predicting fuel cell stack performance. (orig.)

  8. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  9. A novel transparent charged particle detector for the CPET upgrade at TITAN

    Science.gov (United States)

    Lascar, D.; Kootte, B.; Barquest, B. R.; Chowdhury, U.; Gallant, A. T.; Good, M.; Klawitter, R.; Leistenschneider, E.; Andreoiu, C.; Dilling, J.; Even, J.; Gwinner, G.; Kwiatkowski, A. A.; Leach, K. G.

    2017-10-01

    The detection of an electron bunch exiting a strong magnetic field can prove challenging due to the small mass of the electron. If placed too far from a solenoid's entrance, a detector outside the magnetic field will be too small to reliably intersect with the exiting electron beam because the light electrons will follow the diverging magnetic field outside the solenoid. The TITAN group at TRIUMF in Vancouver, Canada, has made use of advances in the practice and precision of photochemical machining (PCM) to create a new kind of charge collecting detector called the "mesh detector." The TITAN mesh detector was used to solve the problem of trapped electron detection in the new Cooler PEnning Trap (CPET) currently under development at TITAN. This thin array of wires etched out of a copper plate is a novel, low profile, charge agnostic detector that can be made effectively transparent or opaque at the user's discretion.

  10. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  11. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  12. Particularisation of Alpha Contamination using CR-39 Track Detectors

    International Nuclear Information System (INIS)

    Zakia, M.F.; El-Shaer, Y.H.

    2008-01-01

    Solid-state nuclear track detectors have found wide use in various domains of science and technology, e.g. in environmental experiments. The measurement of alpha activity on sources in an environment, such as air is not easy because of short penetration range of the alpha particles. Furthermore, the measurement of alpha activity by most gas ionization detectors suffers from the high background induced by the accompanying gamma radiation. Solid State Nuclear Track Detectors (SSNTDs) have been used successfully as detecting devices as passive system to detect the alpha contamination different surfaces. This work presents the response of CR-39 (for two types) to alpha particles from two sources, 238 Pu with energy 5 MeV and 241 Am with energy 5.4 MeV. The methods of etching and counting are investigated, along with the achievable linearity, efficiency and reproducibility. The sensitivity to low activity and energy resolution are studied

  13. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  14. Development of hybrid track detector using CR39 and photographic plate

    International Nuclear Information System (INIS)

    Kuge, Kenichi; Endo, Yusuke; Hayashi, Kentaro; Hasegawa, Akira; Kumagai, Hiroshi

    2004-01-01

    Hybrid track detector using CR39 and color photography was prepared by coating multi-layered color photographic emulsions on one side of CR39. Etch pits and color tracks were observed at the same time. Photographic plate with different sensitivity emulsions and couplers were exposed to light, α-, β- and γ-rays. We observed sensitivity difference to the radiation by color changes on one plate. (authors)

  15. Radon measurements technique in air using a track plastic detector

    International Nuclear Information System (INIS)

    Pereira, J.F.A.; Silva Estrada, J.J. da; Binns, D.A.C.; Urban, M.

    1983-01-01

    A difusion chamber is used to measure the radon concentration in air through alpha particles tracks in Makrofol E, 300μm thick. This system was developed by Karlsruhe Nuclear Research Centre, Germany, and is already used by the Occupational Radiological Protection Department of IRD/CNEN, for premilimar measurements in Pocos de Caldas and Rio de Janeiro. In the chamber, the plastic detector is set at the lower end and a filter is placed at the upper end. In this way, a known volume is defined in the detector system. To amplify the tracks produced by the alpha particles due to radon and short-lived dadon-daughter products, an electrochemical system is employed. Some theoretical questions about the treeing produced by the electrochemical etching, the detector characteristics, as well as the adapted statistics model are also discussed. (Author) [pt

  16. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  17. Interaction of 80 MeV PI+ with different targets: Track detector studies

    Energy Technology Data Exchange (ETDEWEB)

    Tabassum, L; Chohan, A S [Government Coll., Lahore. (Pakistan) Deptt. of Physics Khan, H.A. (Pakistan Inst. of Nuclear Science and Technology, Islamabad (Pakistan). Nuclear Engineering Div.)

    1990-04-01

    CR-39 and mica track detectors have been used in the study of the interactions of 80 MeV PI+ with thin targets of Bi, Pb, Ho, Sb. The binary fission cross sections, the length and angular distributions of etched tracks have been obtained. The cross-section values obtained by using CR-39 are higher as compared to those obtained with mica. (author).

  18. Interaction of 80 MeV PI+ with different targets: Track detector studies

    International Nuclear Information System (INIS)

    Tabassum, L.; Chohan, A.S.

    1990-01-01

    CR-39 and mica track detectors have been used in the study of the interactions of 80 MeV PI+ with thin targets of Bi, Pb, Ho, Sb. The binary fission cross sections, the length and angular distributions of etched tracks have been obtained. The cross-section values obtained by using CR-39 are higher as compared to those obtained with mica. (author)

  19. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  20. Solid state nuclear track detectors kit for the use in teaching

    International Nuclear Information System (INIS)

    Khouri, M.T.F.C.; Koskinas, M.F.

    1988-11-01

    The kit intends to improve the possibilities in performing experiments of Nuclear Physics in Modern Physics laboratories of Physics Course introducing the solid state nuclear track detectors. In these materials the passage of heavily ionizing nuclear particles creates paths (tracks) that may be revealed and made visible in an optical microscope. By the help of the kit several experiments and/or demonstrations may be performed. The kit contains solid state nuclear track detectors unirradiated and irradiated, irradiated etched and unetched sheets: an alpha source of 241 Am and an instrution text with photomicrographs. To use the kit the laboratory must have an ordinary optical microscope. (author) [pt

  1. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  2. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  3. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  4. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  5. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  6. Focusing of a new germanium counter type : the composite detector. Uses of the TREFLE detector in the EUROGAM multidetector

    International Nuclear Information System (INIS)

    Han, L.

    1995-05-01

    The aim of this thesis is the development of new types of germanium detectors: the composite detectors. Two types of prototypes are then conceived: the stacked planar detector (EDP) and the assembly of coaxial diodes (TREFLE). They are designed for the multidetector EUROGAM destined to the research of nuclear structure at high angular momentum. The four planar diodes of EDP detector were of 7 cm diameter and of 15 to 20 mm thick. The difference between the calculated and measured photopic efficiency is observed. The importance of surface channel induces a weak resistance of neutron damages. The sputtering method for the surface treatment reducing the germanium dead layer as well as a rule of selection concerning the impurity concentration and the thickness of crystal is helpful for the later production of germanium detector. The CLOVER detector consist of for mean size crystals in the same cryostat. The photopic efficiency is much larger than that of the greatest monocrystal detector. And the granulation of composite detector allowed the Doppler broadening correction of gamma ray observed in the nuclear reaction where the recoil velocity is very high. This new type of detector enable the linear polarization measurement of gamma ray. Twenty-four CLOVER detector are actually mounted in the EUROGAM array. The characteristics measured in source as well as in beam, reported in this thesis, meet exactly the charge account. (author). 47 refs., 61 figs., 18 tabs

  7. Facial expression recognition based on improved local ternary pattern and stacked auto-encoder

    Science.gov (United States)

    Wu, Yao; Qiu, Weigen

    2017-08-01

    In order to enhance the robustness of facial expression recognition, we propose a method of facial expression recognition based on improved Local Ternary Pattern (LTP) combined with Stacked Auto-Encoder (SAE). This method uses the improved LTP extraction feature, and then uses the improved depth belief network as the detector and classifier to extract the LTP feature. The combination of LTP and improved deep belief network is realized in facial expression recognition. The recognition rate on CK+ databases has improved significantly.

  8. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  9. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  10. Monopole-track characteristics in plastic detectors

    Science.gov (United States)

    Ahlen, S. P.

    1976-01-01

    Total and restricted energy loss rates are calculated for magnetic monopoles of charge g = 137 e in Lexan polycarbonate. Range-energy curves are also presented. The restricted-energy-loss model is used to estimate the appearance of a monopole track in plastic detectors. The results are applied to the event observed by Price et al. and identified by them as a monopole. It is found that the observed etch rate is consistent with what one would expect for a slow magnetic monopole. These results should also be of use to other investigators for both the design and analysis of monopole experiments.

  11. The effect of solarradiation and UV photons on the CR-39 nuclear track detector

    International Nuclear Information System (INIS)

    Saad, A.F.

    2003-01-01

    The effects induced in the CR-39 polymer detector by total solar radiation (TSR) and UV photons were investigated. Thr exposure of detector samples to solar photons was carried out according to certain conditions. The TSR exposure period started in the middle of july and lasted unitel 12 th of september. 2000: the hottest months in zagazig, egypt. Another set of detector samples was exposed to UV photons from a UV lamp for different intervals. After UV exposure, these detectors were analysed with an FT-IR sepectrometer of jasco type 5300 in transmission mode. The FT-IR spectra does not show any considerable modifications due to UV irradiation in that detector. The effects of UV light were compared with those of solar radiation containing ultraviolet photons , on the registration properties of this polymer detector. Preliminaryresults revealed a proportionate increase in bluk etch rate of CR-39 detector with the increase of exposure time to the solar radiation. The results indicated that the CR-39 polymer detector can be used as a solar radiation dosimeter

  12. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  13. Panel on accelerators and detectors in the 1950s

    International Nuclear Information System (INIS)

    Jones, L.W.; Amaldi, U.; Hofstadter, R.; Kerst, D.W.; Wilson, R.R.

    1989-01-01

    The article takes the form of a panel of famous particle physics scientists discussing accelerator design and detectors used in the 1950s. The discussion ranges over accelerator energy capacities, the invention of alternating-gradient focusing, and colliding beam machines, beam stacking and the application of digital computers to accelerator calculations. The development of particle beams using strong-focusing lenses and electrostatic separators rounded off the decade. Detectors moved from bubble chambers, the use of plastic and inorganic scintillators, to hadron calorimeters, Cherenkov counters and finally spark chambers. Various discoveries made using sodium iodide scintillation counters are noted. (UK)

  14. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  15. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  16. Environmental assessment of phosphogypsum stacks

    International Nuclear Information System (INIS)

    Odat, M.; Al-Attar, L.; Raja, G.; Abdul Ghany, B.

    2008-03-01

    Phosphogypsum is one of the most important by-products of phosphate fertilizer industry. It is kept in large stacks to the west of Homs city. Storing Phosphogypsum as open stacks exposed to various environmental effects, wind and rain, may cause pollution of the surrounding ecosystem (soil, plant, water and air). This study was carried out in order to assess the environmental impact of Phosphogypsum stacks on the surrounding ecosystem. The obtained results show that Phosphogypsum stacks did not increase the concentration of radionuclides, i.e. Radon-222 and Radium-226, the external exposed dose of gamma rays, as well as the concentration of heavy metals in the components of the ecosystem, soil, plant, water and air, as their concentrations did not exceed the permissible limits. However, the concentration of fluorine in the upper layer of soil, located to the east of the Phosphogypsum stacks, increased sufficiently, especially in the dry period of the year. Also, the concentration of fluoride in plants growing up near-by the Phosphogypsum stacks was too high, exceeded the permissible levels. This was reflected in poising plants and animals, feeding on the plants. Consequently, increasing the concentration of fluoride in soil and plants is the main impact of Phosphogypsum stacks on the surrounding ecosystem. Minimising this effect could be achieved by establishing a 50 meter wide protection zone surrounding the Phosphogypsum stacks, which has to be planted with non palatable trees, such as pine and cypress, forming wind barriers. Increasing the concentrations of heavy metals and fluoride in infiltrated water around the stacks was high; hence cautions must be taken to prevent its usage in any application or disposal in adjacent rivers and leaks.(author)

  17. Environmental assessment of phosphogypsum stacks

    International Nuclear Information System (INIS)

    Odat, M.; Al-Attar, L.; Raja, G.; Abdul Ghany, B.

    2009-01-01

    Phosphogypsum is one of the most important by-products of phosphate fertilizer industry. It is kept in large stacks to the west of Homs city. Storing Phosphogypsum as open stacks exposed to various environmental effects, wind and rain, may cause pollution of the surrounding ecosystem (soil, plant, water and air). This study was carried out in order to assess the environmental impact of Phosphogypsum stacks on the surrounding ecosystem. The obtained results show that Phosphogypsum stacks did not increase the concentration of radionuclides, i.e. Radon-222 and Radium-226, the external exposed dose of gamma rays, as well as the concentration of heavy metals in the components of the ecosystem, soil, plant, water and air, as their concentrations did not exceed the permissible limits. However, the concentration of fluorine in the upper layer of soil, located to the east of the Phosphogypsum stacks, increased sufficiently, especially in the dry period of the year. Also, the concentration of fluoride in plants growing up near-by the Phosphogypsum stacks was too high, exceeded the permissible levels. This was reflected in poising plants and animals, feeding on the plants. Consequently, increasing the concentration of fluoride in soil and plants is the main impact of Phosphogypsum stacks on the surrounding ecosystem. Minimising this effect could be achieved by establishing a 50 meter wide protection zone surrounding the Phosphogypsum stacks, which has to be planted with non palatable trees, such as pine and cypress, forming wind barriers. Increasing the concentrations of heavy metals and fluoride in infiltrated water around the stacks was high; hence cautions must be taken to prevent its usage in any application or disposal in adjacent rivers and leaks.(author)

  18. R and D on a New Technology of Micro-pattern Gaseous Detectors Fast Timing Micro-pattern Detector

    CERN Document Server

    Salva Diblen, Sinem

    2016-01-01

    After the upgrades of the Large Hadron Collider (LHC) planned for the second and the third Long Shutdown (LS), the LHC luminosity will approach very high values. Such conditions will affect the performance of the CMS muon system, especially in the very forward region, due to the harsh expected background environment and high pile-up conditions. The CMS collaboration considers upgrading the muon forward region to take advantage of the pixel tracking coverage extension a new detector, ME0 station, possibly behind the new forward calorimeter. New resistive micro-pattern gaseous detectors that are able to handle the very demanding spatial, time resolution and rate capability, are being considered. In this contribution we introduce a new type of MPGD technology the Fast Timing Micro-pattern (FTM) detector, utilizing a fully resistive WELL structure. It consists of a stack of several coupled layers where drift and WELL multiplication stages alternate in the structure, yielding a significant improvement in timing p...

  19. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Costa, Fabio Eduardo da; Silva, Julio Batista Rodrigues da

    2015-01-01

    This work used 5 silicon substrates, n-type with resistivity between 500-20,000 Ω.cm, with 12 mm diameter and 1 mm thickness, from Wacker - Chemitronic, Germany. To produce the surface barrier detectors, the substrates were first cleaned, then, they were etched with HNO 3 solution. After this, a deposition of suitable materials on the crystal was made, to produce the desired population inversion of the crystal characteristics. The substrates received a 10 mm diameter gold contact in one of the surfaces and a 5 mm diameter aluminum in the other. The curves I x V and the energy spectra for 28 keV and 59 keV, for each of the produced detectors, were measured. From the 5 substrates, 4 of them resulted in detectors and one did not present even diode characteristics. The results showed that the procedures used are suitable to produce detectors with this type of silicon substrates. (author)

  20. Calibration of radon-222 detectors using closed circuit radium-226 sources

    International Nuclear Information System (INIS)

    Perna, Allan Felipe Nunes; Paschuk, Sergei Anatolyevich; Correa, Janine Nicolosi; Del Claro, Flavia

    2012-01-01

    This paper presents the results of the calibration of the Radon-222 detectors used by the Laboratories specializing in measuring natural radiation from this gas. The research was conducted in collaboration between UTFPR, CDTN/CNEN, UFRN and IRD/CNEN. During the calibration the detectors were exposed in isolated chambers with radioactive calibrated sources. The calibration procedure was supported with four instant radon monitors AlphaGUARD (SAPHYMO Co.) responsible for radon activity measurements in the experimental chamber. The calibration procedure resulted an equation that relates the number of tracks found in solid-state detector CR-39 (Track-Etch detector) with the concentration of radon in the atmosphere. Obtained results are compatible with previously performed calibration at the National Institute of Radiological Sciences (NIRS, Japan) using high activity levels of radon in air. Present results of calibration give the possibility to expand the calibration curve of CR-39 for medium and low activity levels of radon. (author)

  1. Mastering OpenStack

    CERN Document Server

    Khedher, Omar

    2015-01-01

    This book is intended for system administrators, cloud engineers, and system architects who want to deploy a cloud based on OpenStack in a mid- to large-sized IT infrastructure. If you have a fundamental understanding of cloud computing and OpenStack and want to expand your knowledge, then this book is an excellent checkpoint to move forward.

  2. Solid Oxide Fuel Cell Stack Diagnostics

    DEFF Research Database (Denmark)

    Mosbæk, Rasmus Rode; Barfod, Rasmus Gottrup

    As SOFC technology is moving closer to a commercial break through, methods to measure the “state-of-health” of operating stacks are becoming of increasing interest. This requires application of advanced methods for detailed electrical and electrochemical characterization during operation....... An operating stack is subject to compositional gradients in the gaseous reactant streams, and temperature gradients across each cell and across the stack, which complicates detailed analysis. Several experimental stacks from Topsoe Fuel Cell A/S were characterized using Electrochemical Impedance Spectroscopy...... in the hydrogen fuel gas supplied to the stack. EIS was used to examine the long-term behavior and monitor the evolution of the impedance of each of the repeating units and the whole stack. The observed impedance was analyzed in detail for one of the repeating units and the whole stack and the losses reported...

  3. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  4. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  5. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  6. ooi: OpenStack OCCI interface

    Directory of Open Access Journals (Sweden)

    Álvaro López García

    2016-01-01

    Full Text Available In this document we present an implementation of the Open Grid Forum’s Open Cloud Computing Interface (OCCI for OpenStack, namely ooi (Openstack occi interface, 2015  [1]. OCCI is an open standard for management tasks over cloud resources, focused on interoperability, portability and integration. ooi aims to implement this open interface for the OpenStack cloud middleware, promoting interoperability with other OCCI-enabled cloud management frameworks and infrastructures. ooi focuses on being non-invasive with a vanilla OpenStack installation, not tied to a particular OpenStack release version.

  7. ooi: OpenStack OCCI interface

    Science.gov (United States)

    López García, Álvaro; Fernández del Castillo, Enol; Orviz Fernández, Pablo

    In this document we present an implementation of the Open Grid Forum's Open Cloud Computing Interface (OCCI) for OpenStack, namely ooi (Openstack occi interface, 2015) [1]. OCCI is an open standard for management tasks over cloud resources, focused on interoperability, portability and integration. ooi aims to implement this open interface for the OpenStack cloud middleware, promoting interoperability with other OCCI-enabled cloud management frameworks and infrastructures. ooi focuses on being non-invasive with a vanilla OpenStack installation, not tied to a particular OpenStack release version.

  8. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  9. Semiconductor high-energy radiation scintillation detector

    International Nuclear Information System (INIS)

    Kastalsky, A.; Luryi, S.; Spivak, B.

    2006-01-01

    We propose a new scintillation-type detector in which high-energy radiation generates electron-hole pairs in a direct-gap semiconductor material that subsequently recombine producing infrared light to be registered by a photo-detector. The key issue is how to make the semiconductor essentially transparent to its own infrared light, so that photons generated deep inside the semiconductor could reach its surface without tangible attenuation. We discuss two ways to accomplish this, one based on doping the semiconductor with shallow impurities of one polarity type, preferably donors, the other by heterostructure bandgap engineering. The proposed semiconductor scintillator combines the best properties of currently existing radiation detectors and can be used for both simple radiation monitoring, like a Geiger counter, and for high-resolution spectrography of the high-energy radiation. An important advantage of the proposed detector is its fast response time, about 1 ns, essentially limited only by the recombination time of minority carriers. Notably, the fast response comes without any degradation in brightness. When the scintillator is implemented in a qualified semiconductor material (such as InP or GaAs), the photo-detector and associated circuits can be epitaxially integrated on the scintillator slab and the structure can be stacked-up to achieve virtually any desired absorption capability

  10. Control of the sidewall angle of an absorber stack using the Faraday cage system for the change of pattern printability in EUVL

    Science.gov (United States)

    Jang, Il-Yong; Huh, Sung-Min; Moon, Seong-Yong; Woo, Sang-Gyun; Lee, Jin-Kwan; Moon, Sang Heup; Cho, HanKu

    2008-10-01

    A patterned TaN substrate, which is candidate for a mask absorber in extreme ultra-violet lithography (EUVL), was etched to have inclined sidewalls by using a Faraday cage system under the condition of a 2-step process that allowed the high etch selectivity of TaN over the resist. The sidewall angle (SWA) of the patterned substrate, which was in the shape of a parallelogram after etching, could be controlled by changing the slope of a substrate holder that was placed in the Faraday cage. The performance of an EUV mask, which contained the TaN absorber of an oblique pattern over the molybdenum/silicon multi-layer, was simulated for different cases of SWA. The results indicated that the optical properties, such as the critical dimension (CD), an offset in the CD bias between horizontal and vertical patterns (H-V bias), and a shift in the image position on the wafer, could be controlled by changing the SWA of the absorber stack. The simulation result showed that the effect of the SWA on the optical properties became more significant at larger thicknesses of the absorber and smaller sizes of the target CD. Nevertheless, the contrast of the aerial images was not significantly decreased because the shadow effect caused by either sidewall of the patterned substrate cancelled with each other.

  11. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  12. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  13. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  14. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  15. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  16. Stack gas treatment

    Science.gov (United States)

    Reeves, Adam A.

    1977-04-12

    Hot stack gases transfer contained heat to a gravity flow of pebbles treated with a catalyst, cooled stacked gases and a sulfuric acid mist is withdrawn from the unit, and heat picked up by the pebbles is transferred to air for combustion or other process. The sulfuric acid (or sulfur, depending on the catalyst) is withdrawn in a recovery unit.

  17. A Time-predictable Stack Cache

    DEFF Research Database (Denmark)

    Abbaspour, Sahar; Brandner, Florian; Schoeberl, Martin

    2013-01-01

    Real-time systems need time-predictable architectures to support static worst-case execution time (WCET) analysis. One architectural feature, the data cache, is hard to analyze when different data areas (e.g., heap allocated and stack allocated data) share the same cache. This sharing leads to le...... of a cache for stack allocated data. Our port of the LLVM C++ compiler supports the management of the stack cache. The combination of stack cache instructions and the hardware implementation of the stack cache is a further step towards timepredictable architectures.......Real-time systems need time-predictable architectures to support static worst-case execution time (WCET) analysis. One architectural feature, the data cache, is hard to analyze when different data areas (e.g., heap allocated and stack allocated data) share the same cache. This sharing leads to less...... precise results of the cache analysis part of the WCET analysis. Splitting the data cache for different data areas enables composable data cache analysis. The WCET analysis tool can analyze the accesses to these different data areas independently. In this paper we present the design and implementation...

  18. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  19. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  20. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  1. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  2. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  3. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  4. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  5. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  6. GEM - A novel gaseous particle detector

    CERN Document Server

    Meinschad, T

    2005-01-01

    The work carried out within the framework of this Ph.D. deals with the construction of gaseous prototype detectors using Gas Electron Multiplier electrodes for the amplification of charges released by ionizing particles. The Gas Electron Multiplier (GEM) is a thin metal-clad polymer foil, etched with a high density of narrow holes, typically 50-100mm-2. On the application of a potential difference between the conductive top and bottom sides each hole acts as independent proportional counter. This new fast device permits to reach large amplification factors at high rates with a strong photon and ion-mediated feedback suppression due to the avalanche confinement in the GEM-holes. Here, in particular studies have been performed, which should prove, that the GEM-technology is applicable for an efficient measurement of single Cherenkov photons. These UV-photons can be detected in different ways. An elegant solution to develop large area RICH-detectors is to evaporate a pad-segmented readout-cathode of a multi-wire...

  7. Nuclear Track Detector Characterization via Alpha-Spectrometry for Radioprotection Use

    Energy Technology Data Exchange (ETDEWEB)

    Morelli, D.; Imme, G.; Catalano, R. [Dipartimento di Fisica e Astronomia, Universita degli Studi di Catania, via S. Sofia, 64- 95123 Catania (Italy); Istituto Nazionale di Fisica Nucleare - Sezione di Catania, via S. Sofia, 64- 95123 Catania (Italy); Aranzulla, M. [Istituto Nazionale Geofisica e Vulcanologia - Sezione di Catania, piazza Roma, 2- 95127 Catania (Italy); Tazzer, A. L. Rosselli; Mangano, G. [Dipartimento di Fisica e Astronomia, Universita degli Studi di Catania, via S. Sofia, 64- 95123 Catania (Italy)

    2011-12-13

    Solid Nuclear Track Detectors (SNTDs), CR-39 type, are usually adopted to monitor radon gas concentrations. In order to characterize the detectors according to track geometrical parameters, detectors were irradiated inside a vacuum chamber by alpha particles at twelve energy values, obtained by different Mylar foils in front of a {sup 241}Am source. The alpha energy values were verified using a Si detector. After the exposure to the alpha particles, the detectors were chemically etched to enlarge the tracks, which were then analyzed by means of a semiautomatic system composed of an optical microscope equipped with a CCD camera connected to a personal computer to store images. A suitable routine analyzed the track parameters: major and minor axis length and mean grey level, allowing us to differentiate tracks according to the incident alpha energy and then to individuate the discrimination factors for radon alpha tracks. The combined use of geometrical and optical parameters allows one to overcome the ambiguity in the alpha energy determination due to the non-monotonicity of each parameter versus energy. After track parameter determination, a calibration procedure was performed by means of a radon chamber. The calibration was verified through an inter-comparing survey.

  8. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  9. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  10. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  11. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Lightweight Stacks of Direct Methanol Fuel Cells

    Science.gov (United States)

    Narayanan, Sekharipuram; Valdez, Thomas

    2004-01-01

    An improved design concept for direct methanol fuel cells makes it possible to construct fuel-cell stacks that can weigh as little as one-third as much as do conventional bipolar fuel-cell stacks of equal power. The structural-support components of the improved cells and stacks can be made of relatively inexpensive plastics. Moreover, in comparison with conventional bipolar fuel-cell stacks, the improved fuel-cell stacks can be assembled, disassembled, and diagnosed for malfunctions more easily. These improvements are expected to bring portable direct methanol fuel cells and stacks closer to commercialization. In a conventional bipolar fuel-cell stack, the cells are interspersed with bipolar plates (also called biplates), which are structural components that serve to interconnect the cells and distribute the reactants (methanol and air). The cells and biplates are sandwiched between metal end plates. Usually, the stack is held together under pressure by tie rods that clamp the end plates. The bipolar stack configuration offers the advantage of very low internal electrical resistance. However, when the power output of a stack is only a few watts, the very low internal resistance of a bipolar stack is not absolutely necessary for keeping the internal power loss acceptably low.

  13. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  14. Development of a Compton camera for medical applications based on silicon strip and scintillation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Krimmer, J., E-mail: j.krimmer@ipnl.in2p3.fr [Institut de Physique Nucléaire de Lyon, Université de Lyon, Université Lyon 1, CNRS/IN2P3 UMR 5822, 69622 Villeurbanne cedex (France); Ley, J.-L. [Institut de Physique Nucléaire de Lyon, Université de Lyon, Université Lyon 1, CNRS/IN2P3 UMR 5822, 69622 Villeurbanne cedex (France); Abellan, C.; Cachemiche, J.-P. [Aix-Marseille Université, CNRS/IN2P3, CPPM UMR 7346, 13288 Marseille (France); Caponetto, L.; Chen, X.; Dahoumane, M.; Dauvergne, D. [Institut de Physique Nucléaire de Lyon, Université de Lyon, Université Lyon 1, CNRS/IN2P3 UMR 5822, 69622 Villeurbanne cedex (France); Freud, N. [Université de Lyon, CREATIS, CNRS UMR5220, Inserm U1044, INSA - Lyon, Université Lyon 1, Centre Léon Bérard (France); Joly, B.; Lambert, D.; Lestand, L. [Clermont Université, Université Blaise Pascal, CNRS/IN2P3, Laboratoire de Physique Corpusculaire, BP 10448, F-63000 Clermont-Ferrand (France); Létang, J.M. [Université de Lyon, CREATIS, CNRS UMR5220, Inserm U1044, INSA - Lyon, Université Lyon 1, Centre Léon Bérard (France); Magne, M. [Clermont Université, Université Blaise Pascal, CNRS/IN2P3, Laboratoire de Physique Corpusculaire, BP 10448, F-63000 Clermont-Ferrand (France); and others

    2015-07-01

    A Compton camera is being developed for the purpose of ion-range monitoring during hadrontherapy via the detection of prompt-gamma rays. The system consists of a scintillating fiber beam tagging hodoscope, a stack of double sided silicon strip detectors (90×90×2 mm{sup 3}, 2×64 strips) as scatter detectors, as well as bismuth germanate (BGO) scintillation detectors (38×35×30 mm{sup 3}, 100 blocks) as absorbers. The individual components will be described, together with the status of their characterization.

  15. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  16. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  17. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  18. Indium-bump-free antimonide superlattice membrane detectors on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zamiri, M., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu; Klein, B.; Schuler-Sandy, T.; Dahiya, V.; Cavallo, F. [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Myers, S. [SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States); Krishna, S., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States)

    2016-02-29

    We present an approach to realize antimonide superlattices on silicon substrates without using conventional Indium-bump hybridization. In this approach, PIN superlattices are grown on top of a 60 nm Al{sub 0.6}Ga{sub 0.4}Sb sacrificial layer on a GaSb host substrate. Following the growth, the individual pixels are transferred using our epitaxial-lift off technique, which consists of a wet-etch to undercut the pixels followed by a dry-stamp process to transfer the pixels to a silicon substrate prepared with a gold layer. Structural and optical characterization of the transferred pixels was done using an optical microscope, scanning electron microscopy, and photoluminescence. The interface between the transferred pixels and the new substrate was abrupt, and no significant degradation in the optical quality was observed. An Indium-bump-free membrane detector was then fabricated using this approach. Spectral response measurements provided a 100% cut-off wavelength of 4.3 μm at 77 K. The performance of the membrane detector was compared to a control detector on the as-grown substrate. The membrane detector was limited by surface leakage current. The proposed approach could pave the way for wafer-level integration of photonic detectors on silicon substrates, which could dramatically reduce the cost of these detectors.

  19. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  20. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  1. Detection of boron in metal alloys with solid state nuclear track detector by neutron induced autoradiography

    International Nuclear Information System (INIS)

    Ali Nabipour; Hosseini, A.; Afarideh, H.

    2002-01-01

    Neutron induced autoradiography is very useful technique for detection as well as measurement of Boron densities in metal alloys. The method is relatively simple and quite sensitive in comparison with other techniques with resolution in the range of PPM. Using this technique with it is also possible to investigate microscopic scattering of Boron in metal alloys. In comparison with most techniques neutron induced autoradiography has its own difficulties and limitations. In this research measurement of Boron densities and investigation of that diffusion in metal alloys has been carried out. A flat nicely polished Boron doped metal samples is covered with a track detecting plastic (CR-39 solid state nuclear track detector) and exposed to thermal neutron dose. After irradiation the plastic detector have been removed and put in an etching solution. Since the diffusion rate of corrosive solution in those area, which heavy ions have been, produces as the result of nuclear reaction with thermal neutron are more than the other areas, some cavities are formed. The diameter of cavities or tracks cross section are increased with increasing the etching time, to some extent that it is possible to observe the cavities with optical microscopes. The density of tracks on the detector surface is directly related to the Boron concentration in the sample and thermal neutron dose. So by measuring the number of tracks on surface of the detector it would possible to calculate the concentration of Boron in metal samples. (Author)

  2. Fast neutron irradiation effects on CR-39 nuclear track detector for dosimetric applications

    International Nuclear Information System (INIS)

    Kader, M.H.

    2005-01-01

    The effect of neutron irradiation on the dosimetric properties of CR-39 solid-state nuclear track detector have been investigated. CR-39 samples were irradiated with neutrons of energies follow a Maxwellian distribution centered about 2 MeV. These samples were irradiated with different doses in the range 0.1-1 Sv. The background and track density were measured as a function of etching time. In addition, the dependence of sensitivity of CR-39 detector on the neutrons dose has been investigated. The results show that the Sensitivity started to increase at 0.4 Sv neutrons dose, so this sample were chosen to be a subject for further study to investigate the effect of gamma dose on its properties. The sample irradiated with 0.4 Sv were exposed to different doses of gamma rays at levels between 10 and 80 kGy. The effect of gamma doses on the bulk etching rate VB, the track diameter and the sensitivity of the CR-39 samples was investigated. The results show that the dosimetric properties of CR-39 SSNTD are greatly affected by both neutron and gamma irradiation

  3. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  4. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  5. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  6. Development of a modular directional and spectral neutron detection system using solid-state detectors

    Energy Technology Data Exchange (ETDEWEB)

    Weltz, A., E-mail: weltza3@gmail.com; Torres, B.; McElwain, L.; Dahal, R.; Huang, J.; Bhat, I.; Lu, J.; Danon, Y.

    2015-08-21

    A detection system using room-temperature, microstructured solid-state thermal neutron detectors with very low leakage current has been developed at Rensselaer Polytechnic Institute (RPI) with the ability to provide positional and spectral information about an unknown neutron source. The Directional and Spectral Neutron Detection System (DSNDS) utilizes a set of small-but-scalable, zero-bias solid-state thermal neutron detectors which have demonstrated high thermal neutron efficiency and adequate gamma insensitivity. The DSNDS can gather spectral information about an unknown neutron source with a relatively small number of detectors, simplifying the detector electronics and minimizing cost; however, the DSNDS is modular in design, providing the capability to increase the detection efficiency and angular resolution. The system used in this paper was comprised of a stack of five high-density polyethylene (HDPE) disks with a thickness of 5 cm and a diameter of 30 cm, the middle disk containing 16 detectors positioned as one internal (moderated) and one external (unmoderated) ring of solid-state neutron detectors. These two detector rings provide the ability to determine the directionality of a neutron source. The system gathers spectral information about a neutron source in two ways: by measuring the relative responses of the internal ring of detectors as well as measuring the ratio of the internal-to-external detector responses. Experiments were performed with variable neutron spectra: a {sup 252}Cf spontaneous fission neutron source which was HDPE moderated, HDPE reflected, lead (Pb) shielded, and bare in order to benchmark the system for spectral sensitivity. Simulations were performed in order to characterize the neutron spectra corresponding to each of the source configurations and showed agreement with experimental measurements. The DSNDS demonstrates the ability to determine the relative angle of the source and the hardness of the neutron spectrum. By using the

  7. The fabrication of nitrogen detector porous silicon nanostructures

    Science.gov (United States)

    Husairi, F. S.; Othman, N.; Eswar, K. A.; Guliling, Muliyadi; Khusaimi, Z.; Rusop, M.; Abdullah, S.

    2018-05-01

    In this study the porous silicon nanostructure used as a the nitrogen detector was fabricated by using anodization method because of simple and easy to handle. This method using 20 mA/ cm2 of current density and the etching time is from 10 - 40 minutes. The properties of the porous silicon nanostructure analyzed using I-V testing (electrical properties) and photoluminescence spectroscopy. From the I-V testing, sample PsiE40 where the sensitivity is 25.4% is a sensitivity of PSiE40 at 10 seconds exposure time.

  8. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  9. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  10. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  11. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  12. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  13. Solid track detectors used for the dating by thermoluminescence

    International Nuclear Information System (INIS)

    Miallier, D.

    1982-10-01

    A new α counting technic is presented in the field of the thermoluminescent dating method. It is based upon α particles registration in plastic detectors LR-115 Kodak in close contact with archeological or geological samples. The control of etching and counting conditions allowed to improve the usual precision of the detector. The following data are collected: α and β dose rates deposited by Uranium and Thorium series; an approximative value for the Uranium-Thorium ratio; spatial distribution of α emittors within samples and the radon escape rated. This method was used simultaneously with the ''quartz inclusion technic'' to date several samples: volcanic lava flows from the ''Chaine des Puys'' (Center France); the Butte de Clermont basaltic maar; a neolithic ''cardial'' pot-sherd and bricks from a minoaan palace (Mallia, Crete) [fr

  14. Response of LR-115 type II and CR-39 plastic track detectors to Am-Be and 14.1-MeV neutrons

    International Nuclear Information System (INIS)

    Bradley, D.A.; Chong, C.S.; Saat, Ahmat; Sidik, A.G.; Ghose, A.M.

    1987-01-01

    The fast-neutron response of the plastic LR-115 type II and CR-39 track detectors have been compared, using a 14.1-MeV neutron generator and a radionuclide Am-Be neutron source (effective primary neutron energy 4.5-MeV). The distribution of track diameters for a range of etching times has been evaluated, taking into account track registration efficiency and the relevant fast neutron scattering cross-sections. The efficiency of etched-track formation in LR-115 type II due to neutron irradiation is approximately double that in CR-39. The 14.1-MeV neutrons also tend to produce tracks in both materials with somewhat greater efficiency than do the lower energy neutrons from the radionuclide source, for a given etching time. (author)

  15. Helping Students Design HyperCard Stacks.

    Science.gov (United States)

    Dunham, Ken

    1995-01-01

    Discusses how to teach students to design HyperCard stacks. Highlights include introducing HyperCard, developing storyboards, introducing design concepts and scripts, presenting stacks, evaluating storyboards, and continuing projects. A sidebar presents a HyperCard stack evaluation form. (AEF)

  16. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    Science.gov (United States)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  17. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  18. Modular fuel-cell stack assembly

    Science.gov (United States)

    Patel, Pinakin

    2010-07-13

    A fuel cell assembly having a plurality of fuel cells arranged in a stack. An end plate assembly abuts the fuel cell at an end of said stack. The end plate assembly has an inlet area adapted to receive an exhaust gas from the stack, an outlet area and a passage connecting the inlet area and outlet area and adapted to carry the exhaust gas received at the inlet area from the inlet area to the outlet area. A further end plate assembly abuts the fuel cell at a further opposing end of the stack. The further end plate assembly has a further inlet area adapted to receive a further exhaust gas from the stack, a further outlet area and a further passage connecting the further inlet area and further outlet area and adapted to carry the further exhaust gas received at the further inlet area from the further inlet area to the further outlet area.

  19. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  20. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.